From d310dd73fb36f7e842e9512cb5dc3d934bb5e5ec Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Christoph=20M=C3=BCllner?= Date: Thu, 6 Apr 2023 13:33:48 +0200 Subject: [PATCH] Add support for the Zfa ISA extension MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit This patch introduces the RISC-V Zfa extension, which introduces additional floating-point extensions: * fli (load-immediate) with pre-defined immediates * fminm/fmaxm (like fmin/fmax but with different NaN behaviour) * fround/froundmx (round to integer) * fcvtmod.w.d (Modular Convert-to-Integer) * fmv* to access high bits of float register bigger than XLEN * Quiet comparison instructions (fleq/fltq) Zfa defines its instructions in combination with the following extensions: * single-precision floating-point (F) * double-precision floating-point (D) * quad-precision floating-point (Q) * half-precision floating-point (Zfh) Since the RISC-V architecture test framework does not support the RISC-V quad-precision floating-point ISA extension (Q) and the RISC-V half-precision floating-point ISA extension (Zfh), this patch does not include tests for instructions that depend on these extensions. Given missing infrastructure support, the fli.* instruction tests are hand written and not generated. All other test files are generated using riscv-ctg. The generated test files have been generated using the following command (with $BASEISA={rv32i,rv64i} and $FLEN={32,64}): riscv_ctg.py \ --cgf coverage/dataset.cgf \ --cgf coverage/zicond.cgf \ --base-isa $BASEISA \ --flen $FLEN \ -d tests_$BASEISA_$FLEN/ Exceptions are: * fcvtmod.w.d.cgf is for FLEN=32 only * fmvh.x.d and fmvp.d.x are for BASEISA=rv32i only The resulting tests have been copied to the target directory. The generation of the Zfa test cases depends on a PR in the riscv-ctg repository: https://github.com/riscv-software-src/riscv-ctg/pull/60 The Zfa specification is not frozen at the moment (which is why this patch is RFC) and can be found here: https://github.com/riscv/riscv-isa-manual/blob/master/src/zfa.tex Signed-off-by: Christoph Müllner --- CHANGELOG.md | 3 + .../rv32i_m/D_Zfa/src/fcvtmod.w.d_b1-01.S | 320 + .../rv32i_m/D_Zfa/src/fcvtmod.w.d_b22-01.S | 383 + .../rv32i_m/D_Zfa/src/fcvtmod.w.d_b23-01.S | 418 + .../rv32i_m/D_Zfa/src/fcvtmod.w.d_b24-01.S | 838 ++ .../rv32i_m/D_Zfa/src/fcvtmod.w.d_b27-01.S | 320 + .../rv32i_m/D_Zfa/src/fcvtmod.w.d_b28-01.S | 320 + .../rv32i_m/D_Zfa/src/fcvtmod.w.d_b29-01.S | 663 + .../rv32i_m/D_Zfa/src/fleq.d_b1-01.S | 4740 +++++++ .../rv32i_m/D_Zfa/src/fleq.d_b19-01.S | 8928 ++++++++++++ .../rv32i_m/D_Zfa/src/fleq_b1-01.S | 368 + .../rv32i_m/D_Zfa/src/fleq_b19-01.S | 368 + riscv-test-suite/rv32i_m/D_Zfa/src/fli.d-01.S | 204 + .../rv32i_m/D_Zfa/src/fltq.d_b1-01.S | 4740 +++++++ .../rv32i_m/D_Zfa/src/fltq.d_b19-01.S | 9344 +++++++++++++ .../rv32i_m/D_Zfa/src/fltq_b1-01.S | 368 + .../rv32i_m/D_Zfa/src/fltq_b19-01.S | 368 + .../rv32i_m/D_Zfa/src/fmaxm.d_b1-01.S | 5889 ++++++++ .../rv32i_m/D_Zfa/src/fmaxm.d_b19-01.S | 11279 ++++++++++++++++ .../rv32i_m/D_Zfa/src/fmaxm_b1-01.S | 409 + .../rv32i_m/D_Zfa/src/fmaxm_b19-01.S | 409 + .../rv32i_m/D_Zfa/src/fminm.d_b1-01.S | 5889 ++++++++ .../rv32i_m/D_Zfa/src/fminm.d_b19-01.S | 11109 +++++++++++++++ .../rv32i_m/D_Zfa/src/fminm_b1-01.S | 429 + .../rv32i_m/D_Zfa/src/fminm_b19-01.S | 429 + .../rv32i_m/D_Zfa/src/fmvh.x.d_b1-01.S | 320 + .../rv32i_m/D_Zfa/src/fmvh.x.d_b22-01.S | 320 + .../rv32i_m/D_Zfa/src/fmvh.x.d_b23-01.S | 320 + .../rv32i_m/D_Zfa/src/fmvh.x.d_b24-01.S | 320 + .../rv32i_m/D_Zfa/src/fmvh.x.d_b27-01.S | 320 + .../rv32i_m/D_Zfa/src/fmvh.x.d_b28-01.S | 320 + .../rv32i_m/D_Zfa/src/fmvh.x.d_b29-01.S | 320 + .../rv32i_m/D_Zfa/src/fround.d_b1-01.S | 353 + .../rv32i_m/D_Zfa/src/fround_b1-01.S | 353 + .../rv32i_m/F_Zfa/src/fleq_b1-01.S | 4740 +++++++ .../rv32i_m/F_Zfa/src/fleq_b19-01.S | 8712 ++++++++++++ riscv-test-suite/rv32i_m/F_Zfa/src/fli.s-01.S | 204 + .../rv32i_m/F_Zfa/src/fltq_b1-01.S | 4740 +++++++ .../rv32i_m/F_Zfa/src/fltq_b19-01.S | 8027 +++++++++++ .../rv32i_m/F_Zfa/src/fmaxm_b1-01.S | 5889 ++++++++ .../rv32i_m/F_Zfa/src/fmaxm_b19-01.S | 9704 +++++++++++++ .../rv32i_m/F_Zfa/src/fminm_b1-01.S | 5889 ++++++++ .../rv32i_m/F_Zfa/src/fminm_b19-01.S | 10074 ++++++++++++++ .../rv32i_m/F_Zfa/src/fround_b1-01.S | 353 + .../rv32i_m/F_Zfa/src/fsqrt_b1-01.S | 353 + .../rv32i_m/F_Zfa/src/fsqrt_b2-01.S | 353 + .../rv32i_m/F_Zfa/src/fsqrt_b20-01.S | 609 + .../rv32i_m/F_Zfa/src/fsqrt_b3-01.S | 353 + .../rv32i_m/F_Zfa/src/fsqrt_b4-01.S | 353 + .../rv32i_m/F_Zfa/src/fsqrt_b5-01.S | 353 + .../rv32i_m/F_Zfa/src/fsqrt_b7-01.S | 353 + .../rv32i_m/F_Zfa/src/fsqrt_b8-01.S | 353 + .../rv32i_m/F_Zfa/src/fsqrt_b9-01.S | 1646 +++ .../rv64i_m/D_Zfa/src/fcvtmod.w.d_b1-01.S | 320 + .../rv64i_m/D_Zfa/src/fcvtmod.w.d_b22-01.S | 383 + .../rv64i_m/D_Zfa/src/fcvtmod.w.d_b23-01.S | 418 + .../rv64i_m/D_Zfa/src/fcvtmod.w.d_b24-01.S | 838 ++ .../rv64i_m/D_Zfa/src/fcvtmod.w.d_b27-01.S | 320 + .../rv64i_m/D_Zfa/src/fcvtmod.w.d_b28-01.S | 320 + .../rv64i_m/D_Zfa/src/fcvtmod.w.d_b29-01.S | 663 + .../rv64i_m/D_Zfa/src/fleq.d_b1-01.S | 4740 +++++++ .../rv64i_m/D_Zfa/src/fleq.d_b19-01.S | 8928 ++++++++++++ .../rv64i_m/D_Zfa/src/fleq_b1-01.S | 368 + .../rv64i_m/D_Zfa/src/fleq_b19-01.S | 368 + riscv-test-suite/rv64i_m/D_Zfa/src/fli.d-01.S | 204 + .../rv64i_m/D_Zfa/src/fltq.d_b1-01.S | 4740 +++++++ .../rv64i_m/D_Zfa/src/fltq.d_b19-01.S | 9344 +++++++++++++ .../rv64i_m/D_Zfa/src/fltq_b1-01.S | 368 + .../rv64i_m/D_Zfa/src/fltq_b19-01.S | 368 + .../rv64i_m/D_Zfa/src/fmaxm.d_b1-01.S | 5889 ++++++++ .../rv64i_m/D_Zfa/src/fmaxm.d_b19-01.S | 11279 ++++++++++++++++ .../rv64i_m/D_Zfa/src/fmaxm_b1-01.S | 429 + .../rv64i_m/D_Zfa/src/fmaxm_b19-01.S | 429 + .../rv64i_m/D_Zfa/src/fminm.d_b1-01.S | 5889 ++++++++ .../rv64i_m/D_Zfa/src/fminm.d_b19-01.S | 11109 +++++++++++++++ .../rv64i_m/D_Zfa/src/fminm_b1-01.S | 409 + .../rv64i_m/D_Zfa/src/fminm_b19-01.S | 409 + .../rv64i_m/D_Zfa/src/fround.d_b1-01.S | 353 + .../rv64i_m/D_Zfa/src/fround_b1-01.S | 353 + .../rv64i_m/F_Zfa/src/fleq_b1-01.S | 4740 +++++++ .../rv64i_m/F_Zfa/src/fleq_b19-01.S | 8712 ++++++++++++ riscv-test-suite/rv64i_m/F_Zfa/src/fli.s-01.S | 204 + .../rv64i_m/F_Zfa/src/fltq_b1-01.S | 4740 +++++++ .../rv64i_m/F_Zfa/src/fltq_b19-01.S | 8027 +++++++++++ .../rv64i_m/F_Zfa/src/fmaxm_b1-01.S | 5889 ++++++++ .../rv64i_m/F_Zfa/src/fmaxm_b19-01.S | 9704 +++++++++++++ .../rv64i_m/F_Zfa/src/fminm_b1-01.S | 5889 ++++++++ .../rv64i_m/F_Zfa/src/fminm_b19-01.S | 10074 ++++++++++++++ .../rv64i_m/F_Zfa/src/fround_b1-01.S | 353 + 89 files changed, 262109 insertions(+) create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b1-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b22-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b23-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b24-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b27-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b28-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b29-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fleq.d_b1-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fleq.d_b19-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fleq_b1-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fleq_b19-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fli.d-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fltq.d_b1-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fltq.d_b19-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fltq_b1-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fltq_b19-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fmaxm.d_b1-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fmaxm.d_b19-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fmaxm_b1-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fmaxm_b19-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fminm.d_b1-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fminm.d_b19-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fminm_b1-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fminm_b19-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b1-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b22-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b23-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b24-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b27-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b28-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b29-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fround.d_b1-01.S create mode 100644 riscv-test-suite/rv32i_m/D_Zfa/src/fround_b1-01.S create mode 100644 riscv-test-suite/rv32i_m/F_Zfa/src/fleq_b1-01.S create mode 100644 riscv-test-suite/rv32i_m/F_Zfa/src/fleq_b19-01.S create mode 100644 riscv-test-suite/rv32i_m/F_Zfa/src/fli.s-01.S create mode 100644 riscv-test-suite/rv32i_m/F_Zfa/src/fltq_b1-01.S create mode 100644 riscv-test-suite/rv32i_m/F_Zfa/src/fltq_b19-01.S create mode 100644 riscv-test-suite/rv32i_m/F_Zfa/src/fmaxm_b1-01.S create mode 100644 riscv-test-suite/rv32i_m/F_Zfa/src/fmaxm_b19-01.S create mode 100644 riscv-test-suite/rv32i_m/F_Zfa/src/fminm_b1-01.S create mode 100644 riscv-test-suite/rv32i_m/F_Zfa/src/fminm_b19-01.S create mode 100644 riscv-test-suite/rv32i_m/F_Zfa/src/fround_b1-01.S create mode 100644 riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b1-01.S create mode 100644 riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b2-01.S create mode 100644 riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b20-01.S create mode 100644 riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b3-01.S create mode 100644 riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b4-01.S create mode 100644 riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b5-01.S create mode 100644 riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b7-01.S create mode 100644 riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b8-01.S create mode 100644 riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b9-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b1-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b22-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b23-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b24-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b27-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b28-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b29-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fleq.d_b1-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fleq.d_b19-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fleq_b1-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fleq_b19-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fli.d-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fltq.d_b1-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fltq.d_b19-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fltq_b1-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fltq_b19-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fmaxm.d_b1-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fmaxm.d_b19-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fmaxm_b1-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fmaxm_b19-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fminm.d_b1-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fminm.d_b19-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fminm_b1-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fminm_b19-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fround.d_b1-01.S create mode 100644 riscv-test-suite/rv64i_m/D_Zfa/src/fround_b1-01.S create mode 100644 riscv-test-suite/rv64i_m/F_Zfa/src/fleq_b1-01.S create mode 100644 riscv-test-suite/rv64i_m/F_Zfa/src/fleq_b19-01.S create mode 100644 riscv-test-suite/rv64i_m/F_Zfa/src/fli.s-01.S create mode 100644 riscv-test-suite/rv64i_m/F_Zfa/src/fltq_b1-01.S create mode 100644 riscv-test-suite/rv64i_m/F_Zfa/src/fltq_b19-01.S create mode 100644 riscv-test-suite/rv64i_m/F_Zfa/src/fmaxm_b1-01.S create mode 100644 riscv-test-suite/rv64i_m/F_Zfa/src/fmaxm_b19-01.S create mode 100644 riscv-test-suite/rv64i_m/F_Zfa/src/fminm_b1-01.S create mode 100644 riscv-test-suite/rv64i_m/F_Zfa/src/fminm_b19-01.S create mode 100644 riscv-test-suite/rv64i_m/F_Zfa/src/fround_b1-01.S diff --git a/CHANGELOG.md b/CHANGELOG.md index 3a3d8e6af..0a38a99d7 100644 --- a/CHANGELOG.md +++ b/CHANGELOG.md @@ -1,5 +1,8 @@ # CHANGELOG +## [3.6.3] - 2023-04-06 +- Add Zfa support. + ## [3.6.2] - 2023-02-08 - Remove RV64IB from ISA list of zext test. diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b1-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b1-01.S new file mode 100644 index 000000000..d0b9b47a1 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b1-01.S @@ -0,0 +1,320 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:50 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fcvtmod.w.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fcvtmod.w.d instruction of the RISC-V RV32FD_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fcvtmod.w.d_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fcvtmod.w.d_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1==f31, rd==x31,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x0; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, rtz, 0, 0, x3, 0*FLEN/8, x4, x1, x2,FLREG) + +inst_1:// rs1==f30, rd==x30,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f30; dest:x30; op1val:0x8000000000000000; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x30, f30, rtz, 0, 0, x3, 1*FLEN/8, x4, x1, x2,FLREG) + +inst_2:// rs1==f29, rd==x29,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f29; dest:x29; op1val:0x1; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x29, f29, rtz, 0, 0, x3, 2*FLEN/8, x4, x1, x2,FLREG) + +inst_3:// rs1==f28, rd==x28,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f28; dest:x28; op1val:0x8000000000000001; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x28, f28, rtz, 0, 0, x3, 3*FLEN/8, x4, x1, x2,FLREG) + +inst_4:// rs1==f27, rd==x27,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f27; dest:x27; op1val:0x2; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x27, f27, rtz, 0, 0, x3, 4*FLEN/8, x4, x1, x2,FLREG) + +inst_5:// rs1==f26, rd==x26,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f26; dest:x26; op1val:0x8000000000000002; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x26, f26, rtz, 0, 0, x3, 5*FLEN/8, x4, x1, x2,FLREG) + +inst_6:// rs1==f25, rd==x25,fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f25; dest:x25; op1val:0xfffffffffffff; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x25, f25, rtz, 0, 0, x3, 6*FLEN/8, x4, x1, x2,FLREG) + +inst_7:// rs1==f24, rd==x24,fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f24; dest:x24; op1val:0x800fffffffffffff; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x24, f24, rtz, 0, 0, x3, 7*FLEN/8, x4, x1, x2,FLREG) + +inst_8:// rs1==f23, rd==x23,fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f23; dest:x23; op1val:0x10000000000000; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x23, f23, rtz, 0, 0, x3, 8*FLEN/8, x4, x1, x2,FLREG) + +inst_9:// rs1==f22, rd==x22,fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f22; dest:x22; op1val:0x8010000000000000; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x22, f22, rtz, 0, 0, x3, 9*FLEN/8, x4, x1, x2,FLREG) + +inst_10:// rs1==f21, rd==x21,fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f21; dest:x21; op1val:0x10000000000002; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x21, f21, rtz, 0, 0, x3, 10*FLEN/8, x4, x1, x2,FLREG) + +inst_11:// rs1==f20, rd==x20,fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f20; dest:x20; op1val:0x8010000000000002; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x20, f20, rtz, 0, 0, x3, 11*FLEN/8, x4, x1, x2,FLREG) + +inst_12:// rs1==f19, rd==x19,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f19; dest:x19; op1val:0x7fefffffffffffff; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x19, f19, rtz, 0, 0, x3, 12*FLEN/8, x4, x1, x2,FLREG) + +inst_13:// rs1==f18, rd==x18,fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f18; dest:x18; op1val:0xffefffffffffffff; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x18, f18, rtz, 0, 0, x3, 13*FLEN/8, x4, x1, x2,FLREG) + +inst_14:// rs1==f17, rd==x17,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f17; dest:x17; op1val:0x7ff0000000000000; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x17, f17, rtz, 0, 0, x3, 14*FLEN/8, x4, x1, x2,FLREG) + +inst_15:// rs1==f16, rd==x16,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f16; dest:x16; op1val:0xfff0000000000000; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x16, f16, rtz, 0, 0, x3, 15*FLEN/8, x4, x1, x2,FLREG) + +inst_16:// rs1==f15, rd==x15,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f15; dest:x15; op1val:0x7ff8000000000000; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x15, f15, rtz, 0, 0, x3, 16*FLEN/8, x4, x1, x2,FLREG) + +inst_17:// rs1==f14, rd==x14,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f14; dest:x14; op1val:0xfff8000000000000; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x14, f14, rtz, 0, 0, x3, 17*FLEN/8, x4, x1, x2,FLREG) + +inst_18:// rs1==f13, rd==x13,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f13; dest:x13; op1val:0x7ff8000000000001; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x13, f13, rtz, 0, 0, x3, 18*FLEN/8, x4, x1, x2,FLREG) + +inst_19:// rs1==f12, rd==x12,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f12; dest:x12; op1val:0xfff8000000000001; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x12, f12, rtz, 0, 0, x3, 19*FLEN/8, x4, x1, x2,FLREG) + +inst_20:// rs1==f11, rd==x11,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f11; dest:x11; op1val:0x7ff0000000000001; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x11, f11, rtz, 0, 0, x3, 20*FLEN/8, x4, x1, x2,FLREG) + +inst_21:// rs1==f10, rd==x10,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f10; dest:x10; op1val:0xfff0000000000001; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x10, f10, rtz, 0, 0, x3, 21*FLEN/8, x4, x1, x2,FLREG) + +inst_22:// rs1==f9, rd==x9,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f9; dest:x9; op1val:0x3ff0000000000000; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x9, f9, rtz, 0, 0, x3, 22*FLEN/8, x4, x1, x2,FLREG) + +inst_23:// rs1==f8, rd==x8,fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f8; dest:x8; op1val:0xbf80000000000000; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x8, f8, rtz, 0, 0, x3, 23*FLEN/8, x4, x1, x2,FLREG) +RVTEST_VALBASEUPD(x8,test_dataset_1) + +inst_24:// rs1==f7, rd==x7, +/* opcode: fcvtmod.w.d ; op1:f7; dest:x7; op1val:0x0; valaddr_reg:x8; +val_offset:0*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x7, f7, rtz, 0, 0, x8, 0*FLEN/8, x9, x1, x2,FLREG) + +inst_25:// rs1==f6, rd==x6, +/* opcode: fcvtmod.w.d ; op1:f6; dest:x6; op1val:0x0; valaddr_reg:x8; +val_offset:1*FLEN/8; rmval:rtz; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x6, f6, rtz, 0, 0, x8, 1*FLEN/8, x9, x1, x2,FLREG) + +inst_26:// rs1==f5, rd==x5, +/* opcode: fcvtmod.w.d ; op1:f5; dest:x5; op1val:0x0; valaddr_reg:x8; +val_offset:2*FLEN/8; rmval:rtz; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x5, f5, rtz, 0, 0, x8, 2*FLEN/8, x9, x1, x6,FLREG) +RVTEST_SIGBASE(x5,signature_x5_0) + +inst_27:// rs1==f4, rd==x4, +/* opcode: fcvtmod.w.d ; op1:f4; dest:x4; op1val:0x0; valaddr_reg:x8; +val_offset:3*FLEN/8; rmval:rtz; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x4, f4, rtz, 0, 0, x8, 3*FLEN/8, x9, x5, x6,FLREG) + +inst_28:// rs1==f3, rd==x3, +/* opcode: fcvtmod.w.d ; op1:f3; dest:x3; op1val:0x0; valaddr_reg:x8; +val_offset:4*FLEN/8; rmval:rtz; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x3, f3, rtz, 0, 0, x8, 4*FLEN/8, x9, x5, x6,FLREG) + +inst_29:// rs1==f2, rd==x2, +/* opcode: fcvtmod.w.d ; op1:f2; dest:x2; op1val:0x0; valaddr_reg:x8; +val_offset:5*FLEN/8; rmval:rtz; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x2, f2, rtz, 0, 0, x8, 5*FLEN/8, x9, x5, x6,FLREG) + +inst_30:// rs1==f1, rd==x1, +/* opcode: fcvtmod.w.d ; op1:f1; dest:x1; op1val:0x0; valaddr_reg:x8; +val_offset:6*FLEN/8; rmval:rtz; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x1, f1, rtz, 0, 0, x8, 6*FLEN/8, x9, x5, x6,FLREG) + +inst_31:// rs1==f0, rd==x0, +/* opcode: fcvtmod.w.d ; op1:f0; dest:x0; op1val:0x0; valaddr_reg:x8; +val_offset:7*FLEN/8; rmval:rtz; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x0, f0, rtz, 0, 0, x8, 7*FLEN/8, x9, x5, x6,FLREG) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +test_dataset_1: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 54*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x5_0: + .fill 10*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b22-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b22-01.S new file mode 100644 index 000000000..e6d3c68e2 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b22-01.S @@ -0,0 +1,383 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:50 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fcvtmod.w.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fcvtmod.w.d instruction of the RISC-V RV32FD_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fcvtmod.w.d_b22 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fcvtmod.w.d_b22) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1==f31, rd==x31,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08577924770d3 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fc08577924770d3; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2,FLREG) + +inst_1:// rs1==f30, rd==x30,fs1 == 0 and fe1 == 0x3fd and fm1 == 0x93fdc7b89296c and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f30; dest:x30; op1val:0x3fd93fdc7b89296c; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x30, f30, dyn, 0, 0, x3, 1*FLEN/8, x4, x1, x2,FLREG) + +inst_2:// rs1==f29, rd==x29,fs1 == 1 and fe1 == 0x3fe and fm1 == 0x766ba34c2da80 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f29; dest:x29; op1val:0xbfe766ba34c2da80; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x29, f29, dyn, 0, 0, x3, 2*FLEN/8, x4, x1, x2,FLREG) + +inst_3:// rs1==f28, rd==x28,fs1 == 0 and fe1 == 0x3ff and fm1 == 0xd2d6b7dc59a3a and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f28; dest:x28; op1val:0x3ffd2d6b7dc59a3a; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x28, f28, dyn, 0, 0, x3, 3*FLEN/8, x4, x1, x2,FLREG) + +inst_4:// rs1==f27, rd==x27,fs1 == 0 and fe1 == 0x400 and fm1 == 0xcf84ba749f9c5 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f27; dest:x27; op1val:0x400cf84ba749f9c5; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x27, f27, dyn, 0, 0, x3, 4*FLEN/8, x4, x1, x2,FLREG) + +inst_5:// rs1==f26, rd==x26,fs1 == 0 and fe1 == 0x401 and fm1 == 0x854a908ceac39 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f26; dest:x26; op1val:0x401854a908ceac39; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x26, f26, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2,FLREG) + +inst_6:// rs1==f25, rd==x25,fs1 == 0 and fe1 == 0x402 and fm1 == 0x137a953e8eb43 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f25; dest:x25; op1val:0x402137a953e8eb43; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x25, f25, dyn, 0, 0, x3, 6*FLEN/8, x4, x1, x2,FLREG) + +inst_7:// rs1==f24, rd==x24,fs1 == 1 and fe1 == 0x403 and fm1 == 0xf3ebcf3d06f86 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f24; dest:x24; op1val:0xc03f3ebcf3d06f86; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x24, f24, dyn, 0, 0, x3, 7*FLEN/8, x4, x1, x2,FLREG) + +inst_8:// rs1==f23, rd==x23,fs1 == 0 and fe1 == 0x404 and fm1 == 0x5c74eff1e5bef and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f23; dest:x23; op1val:0x4045c74eff1e5bef; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x23, f23, dyn, 0, 0, x3, 8*FLEN/8, x4, x1, x2,FLREG) + +inst_9:// rs1==f22, rd==x22,fs1 == 0 and fe1 == 0x405 and fm1 == 0xdc3386b9f15c4 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f22; dest:x22; op1val:0x405dc3386b9f15c4; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x22, f22, dyn, 0, 0, x3, 9*FLEN/8, x4, x1, x2,FLREG) + +inst_10:// rs1==f21, rd==x21,fs1 == 0 and fe1 == 0x406 and fm1 == 0x5ae6a9a6ab329 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f21; dest:x21; op1val:0x4065ae6a9a6ab329; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x21, f21, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2,FLREG) + +inst_11:// rs1==f20, rd==x20,fs1 == 1 and fe1 == 0x407 and fm1 == 0x489b36bd7f503 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f20; dest:x20; op1val:0xc07489b36bd7f503; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x20, f20, dyn, 0, 0, x3, 11*FLEN/8, x4, x1, x2,FLREG) + +inst_12:// rs1==f19, rd==x19,fs1 == 0 and fe1 == 0x408 and fm1 == 0x43277acca7f0d and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f19; dest:x19; op1val:0x40843277acca7f0d; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x19, f19, dyn, 0, 0, x3, 12*FLEN/8, x4, x1, x2,FLREG) + +inst_13:// rs1==f18, rd==x18,fs1 == 0 and fe1 == 0x409 and fm1 == 0xaf9492cb7362c and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f18; dest:x18; op1val:0x409af9492cb7362c; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x18, f18, dyn, 0, 0, x3, 13*FLEN/8, x4, x1, x2,FLREG) + +inst_14:// rs1==f17, rd==x17,fs1 == 0 and fe1 == 0x40a and fm1 == 0x5cd28a96ec2b3 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f17; dest:x17; op1val:0x40a5cd28a96ec2b3; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x17, f17, dyn, 0, 0, x3, 14*FLEN/8, x4, x1, x2,FLREG) + +inst_15:// rs1==f16, rd==x16,fs1 == 1 and fe1 == 0x40b and fm1 == 0xc491074f942cb and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f16; dest:x16; op1val:0xc0bc491074f942cb; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x16, f16, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2,FLREG) + +inst_16:// rs1==f15, rd==x15,fs1 == 1 and fe1 == 0x40c and fm1 == 0x3d480fb7f6f5d and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f15; dest:x15; op1val:0xc0c3d480fb7f6f5d; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x15, f15, dyn, 0, 0, x3, 16*FLEN/8, x4, x1, x2,FLREG) + +inst_17:// rs1==f14, rd==x14,fs1 == 0 and fe1 == 0x40d and fm1 == 0x9d02f708cc1b6 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f14; dest:x14; op1val:0x40d9d02f708cc1b6; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x14, f14, dyn, 0, 0, x3, 17*FLEN/8, x4, x1, x2,FLREG) + +inst_18:// rs1==f13, rd==x13,fs1 == 0 and fe1 == 0x40e and fm1 == 0x953b00b54aa22 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f13; dest:x13; op1val:0x40e953b00b54aa22; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x13, f13, dyn, 0, 0, x3, 18*FLEN/8, x4, x1, x2,FLREG) + +inst_19:// rs1==f12, rd==x12,fs1 == 0 and fe1 == 0x40f and fm1 == 0x224c03c53d0e3 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f12; dest:x12; op1val:0x40f224c03c53d0e3; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x12, f12, dyn, 0, 0, x3, 19*FLEN/8, x4, x1, x2,FLREG) + +inst_20:// rs1==f11, rd==x11,fs1 == 0 and fe1 == 0x410 and fm1 == 0xe8dacf0e58650 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f11; dest:x11; op1val:0x410e8dacf0e58650; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x11, f11, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2,FLREG) + +inst_21:// rs1==f10, rd==x10,fs1 == 1 and fe1 == 0x411 and fm1 == 0x5dbbb894deab4 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f10; dest:x10; op1val:0xc115dbbb894deab4; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x10, f10, dyn, 0, 0, x3, 21*FLEN/8, x4, x1, x2,FLREG) + +inst_22:// rs1==f9, rd==x9,fs1 == 0 and fe1 == 0x412 and fm1 == 0x3d7c9e5f0307e and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f9; dest:x9; op1val:0x4123d7c9e5f0307e; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x9, f9, dyn, 0, 0, x3, 22*FLEN/8, x4, x1, x2,FLREG) + +inst_23:// rs1==f8, rd==x8,fs1 == 0 and fe1 == 0x413 and fm1 == 0x8c8a1aaac3142 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f8; dest:x8; op1val:0x4138c8a1aaac3142; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x8, f8, dyn, 0, 0, x3, 23*FLEN/8, x4, x1, x2,FLREG) +RVTEST_VALBASEUPD(x8,test_dataset_1) + +inst_24:// rs1==f7, rd==x7,fs1 == 0 and fe1 == 0x414 and fm1 == 0x785036f9fb997 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f7; dest:x7; op1val:0x414785036f9fb997; valaddr_reg:x8; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x7, f7, dyn, 0, 0, x8, 0*FLEN/8, x9, x1, x2,FLREG) + +inst_25:// rs1==f6, rd==x6,fs1 == 0 and fe1 == 0x415 and fm1 == 0x95a4da7298c66 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f6; dest:x6; op1val:0x41595a4da7298c66; valaddr_reg:x8; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x6, f6, dyn, 0, 0, x8, 1*FLEN/8, x9, x1, x2,FLREG) + +inst_26:// rs1==f5, rd==x5,fs1 == 0 and fe1 == 0x416 and fm1 == 0x807dad814d575 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f5; dest:x5; op1val:0x416807dad814d575; valaddr_reg:x8; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x5, f5, dyn, 0, 0, x8, 2*FLEN/8, x9, x1, x6,FLREG) +RVTEST_SIGBASE(x5,signature_x5_0) + +inst_27:// rs1==f4, rd==x4,fs1 == 1 and fe1 == 0x417 and fm1 == 0x396bad798c9cf and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f4; dest:x4; op1val:0xc17396bad798c9cf; valaddr_reg:x8; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x4, f4, dyn, 0, 0, x8, 3*FLEN/8, x9, x5, x6,FLREG) + +inst_28:// rs1==f3, rd==x3,fs1 == 0 and fe1 == 0x418 and fm1 == 0x3d06169b1dcbf and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f3; dest:x3; op1val:0x4183d06169b1dcbf; valaddr_reg:x8; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x3, f3, dyn, 0, 0, x8, 4*FLEN/8, x9, x5, x6,FLREG) + +inst_29:// rs1==f2, rd==x2,fs1 == 0 and fe1 == 0x419 and fm1 == 0x7f21608208d09 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f2; dest:x2; op1val:0x4197f21608208d09; valaddr_reg:x8; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x2, f2, dyn, 0, 0, x8, 5*FLEN/8, x9, x5, x6,FLREG) + +inst_30:// rs1==f1, rd==x1,fs1 == 1 and fe1 == 0x41a and fm1 == 0x9b4f3d167533a and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f1; dest:x1; op1val:0xc1a9b4f3d167533a; valaddr_reg:x8; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x1, f1, dyn, 0, 0, x8, 6*FLEN/8, x9, x5, x6,FLREG) + +inst_31:// rs1==f0, rd==x0,fs1 == 1 and fe1 == 0x41b and fm1 == 0x889261270dee2 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f0; dest:x0; op1val:0xc1b889261270dee2; valaddr_reg:x8; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x0, f0, dyn, 0, 0, x8, 7*FLEN/8, x9, x5, x6,FLREG) + +inst_32:// fs1 == 0 and fe1 == 0x41c and fm1 == 0x14b91dae98554 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x41c14b91dae98554; valaddr_reg:x8; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 8*FLEN/8, x9, x5, x6,FLREG) + +inst_33:// fs1 == 1 and fe1 == 0x41d and fm1 == 0x9136562694646 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xc1d9136562694646; valaddr_reg:x8; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 9*FLEN/8, x9, x5, x6,FLREG) + +inst_34:// fs1 == 1 and fe1 == 0x41e and fm1 == 0xe9b7e5fc9eba4 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xc1ee9b7e5fc9eba4; valaddr_reg:x8; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 10*FLEN/8, x9, x5, x6,FLREG) + +inst_35:// fs1 == 1 and fe1 == 0x41f and fm1 == 0x1ce80265039f6 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xc1f1ce80265039f6; valaddr_reg:x8; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 11*FLEN/8, x9, x5, x6,FLREG) + +inst_36:// fs1 == 0 and fe1 == 0x420 and fm1 == 0xc5ec6c6880007 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x420c5ec6c6880007; valaddr_reg:x8; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 12*FLEN/8, x9, x5, x6,FLREG) + +inst_37:// fs1 == 1 and fe1 == 0x421 and fm1 == 0x2a96d71097999 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xc212a96d71097999; valaddr_reg:x8; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 13*FLEN/8, x9, x5, x6,FLREG) + +inst_38:// fs1 == 0 and fe1 == 0x3ca and fm1 == 0x30e08ceb506f6 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ca30e08ceb506f6; valaddr_reg:x8; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 14*FLEN/8, x9, x5, x6,FLREG) + +inst_39:// fs1 == 0 and fe1 == 0x5ca and fm1 == 0xf871c6ee84270 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x5caf871c6ee84270; valaddr_reg:x8; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 15*FLEN/8, x9, x5, x6,FLREG) + +inst_40:// fs1 == 1 and fe1 == 0x41b and fm1 == 0x889261270dee2 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xc1b889261270dee2; valaddr_reg:x8; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 16*FLEN/8, x9, x5, x6,FLREG) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(4593818368519663827,64,FLEN) +NAN_BOXED(4600778710533613932,64,FLEN) +NAN_BOXED(13828134130799532672,64,FLEN) +NAN_BOXED(4610891533192108602,64,FLEN) +NAN_BOXED(4615336721960794565,64,FLEN) +NAN_BOXED(4618534502842412089,64,FLEN) +NAN_BOXED(4621035893055613763,64,FLEN) +NAN_BOXED(13852859960080232326,64,FLEN) +NAN_BOXED(4631326933921979375,64,FLEN) +NAN_BOXED(4638077838352651716,64,FLEN) +NAN_BOXED(4640306763955614505,64,FLEN) +NAN_BOXED(13867860556282066179,64,FLEN) +NAN_BOXED(4648896204934643469,64,FLEN) +NAN_BOXED(4655307257518962220,64,FLEN) +NAN_BOXED(4658354964109640371,64,FLEN) +NAN_BOXED(13888055685934564043,64,FLEN) +NAN_BOXED(13890179326181076829,64,FLEN) +NAN_BOXED(4672994990543913398,64,FLEN) +NAN_BOXED(4677361703570418210,64,FLEN) +NAN_BOXED(4679843370855813347,64,FLEN) +NAN_BOXED(4687840036054730320,64,FLEN) +NAN_BOXED(13913268222339967668,64,FLEN) +NAN_BOXED(4693832498796310654,64,FLEN) +NAN_BOXED(4699726807839813954,64,FLEN) +test_dataset_1: +NAN_BOXED(4703874585615907223,64,FLEN) +NAN_BOXED(4708894174956063846,64,FLEN) +NAN_BOXED(4713025646552733045,64,FLEN) +NAN_BOXED(13939651000867015119,64,FLEN) +NAN_BOXED(4720845951218080959,64,FLEN) +NAN_BOXED(4726512510388178185,64,FLEN) +NAN_BOXED(13954883879667454778,64,FLEN) +NAN_BOXED(13959057841646001890,64,FLEN) +NAN_BOXED(4738151372785550676,64,FLEN) +NAN_BOXED(13968217045429995078,64,FLEN) +NAN_BOXED(13974277660852480932,64,FLEN) +NAN_BOXED(13975178168501287414,64,FLEN) +NAN_BOXED(4759283114051108871,64,FLEN) +NAN_BOXED(13984426080451787161,64,FLEN) +NAN_BOXED(4369351494470010614,64,FLEN) +NAN_BOXED(6678705328603284080,64,FLEN) +NAN_BOXED(13959057841646001890,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 54*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x5_0: + .fill 28*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b23-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b23-01.S new file mode 100644 index 000000000..53c2120fb --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b23-01.S @@ -0,0 +1,418 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:50 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fcvtmod.w.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fcvtmod.w.d instruction of the RISC-V RV32FD_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fcvtmod.w.d_b23 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fcvtmod.w.d_b23) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1==f31, rd==x31,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffc and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43dffffffffffffc; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2,FLREG) + +inst_1:// rs1==f30, rd==x30,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffc and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f30; dest:x30; op1val:0x43dffffffffffffc; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x30, f30, dyn, 32, 0, x3, 1*FLEN/8, x4, x1, x2,FLREG) + +inst_2:// rs1==f29, rd==x29,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffc and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f29; dest:x29; op1val:0x43dffffffffffffc; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x29, f29, dyn, 64, 0, x3, 2*FLEN/8, x4, x1, x2,FLREG) + +inst_3:// rs1==f28, rd==x28,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffc and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f28; dest:x28; op1val:0x43dffffffffffffc; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x28, f28, dyn, 96, 0, x3, 3*FLEN/8, x4, x1, x2,FLREG) + +inst_4:// rs1==f27, rd==x27,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffc and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f27; dest:x27; op1val:0x43dffffffffffffc; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x27, f27, dyn, 128, 0, x3, 4*FLEN/8, x4, x1, x2,FLREG) + +inst_5:// rs1==f26, rd==x26,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffd and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f26; dest:x26; op1val:0x43dffffffffffffd; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x26, f26, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2,FLREG) + +inst_6:// rs1==f25, rd==x25,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffd and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f25; dest:x25; op1val:0x43dffffffffffffd; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x25, f25, dyn, 32, 0, x3, 6*FLEN/8, x4, x1, x2,FLREG) + +inst_7:// rs1==f24, rd==x24,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffd and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f24; dest:x24; op1val:0x43dffffffffffffd; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x24, f24, dyn, 64, 0, x3, 7*FLEN/8, x4, x1, x2,FLREG) + +inst_8:// rs1==f23, rd==x23,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffd and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f23; dest:x23; op1val:0x43dffffffffffffd; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x23, f23, dyn, 96, 0, x3, 8*FLEN/8, x4, x1, x2,FLREG) + +inst_9:// rs1==f22, rd==x22,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffd and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f22; dest:x22; op1val:0x43dffffffffffffd; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x22, f22, dyn, 128, 0, x3, 9*FLEN/8, x4, x1, x2,FLREG) + +inst_10:// rs1==f21, rd==x21,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffe and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f21; dest:x21; op1val:0x43dffffffffffffe; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x21, f21, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2,FLREG) + +inst_11:// rs1==f20, rd==x20,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffe and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f20; dest:x20; op1val:0x43dffffffffffffe; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x20, f20, dyn, 32, 0, x3, 11*FLEN/8, x4, x1, x2,FLREG) + +inst_12:// rs1==f19, rd==x19,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffe and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f19; dest:x19; op1val:0x43dffffffffffffe; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x19, f19, dyn, 64, 0, x3, 12*FLEN/8, x4, x1, x2,FLREG) + +inst_13:// rs1==f18, rd==x18,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffe and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f18; dest:x18; op1val:0x43dffffffffffffe; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x18, f18, dyn, 96, 0, x3, 13*FLEN/8, x4, x1, x2,FLREG) + +inst_14:// rs1==f17, rd==x17,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffe and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f17; dest:x17; op1val:0x43dffffffffffffe; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x17, f17, dyn, 128, 0, x3, 14*FLEN/8, x4, x1, x2,FLREG) + +inst_15:// rs1==f16, rd==x16,fs1 == 0 and fe1 == 0x43d and fm1 == 0xfffffffffffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f16; dest:x16; op1val:0x43dfffffffffffff; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x16, f16, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2,FLREG) + +inst_16:// rs1==f15, rd==x15,fs1 == 0 and fe1 == 0x43d and fm1 == 0xfffffffffffff and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f15; dest:x15; op1val:0x43dfffffffffffff; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x15, f15, dyn, 32, 0, x3, 16*FLEN/8, x4, x1, x2,FLREG) + +inst_17:// rs1==f14, rd==x14,fs1 == 0 and fe1 == 0x43d and fm1 == 0xfffffffffffff and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f14; dest:x14; op1val:0x43dfffffffffffff; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x14, f14, dyn, 64, 0, x3, 17*FLEN/8, x4, x1, x2,FLREG) + +inst_18:// rs1==f13, rd==x13,fs1 == 0 and fe1 == 0x43d and fm1 == 0xfffffffffffff and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f13; dest:x13; op1val:0x43dfffffffffffff; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x13, f13, dyn, 96, 0, x3, 18*FLEN/8, x4, x1, x2,FLREG) + +inst_19:// rs1==f12, rd==x12,fs1 == 0 and fe1 == 0x43d and fm1 == 0xfffffffffffff and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f12; dest:x12; op1val:0x43dfffffffffffff; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x12, f12, dyn, 128, 0, x3, 19*FLEN/8, x4, x1, x2,FLREG) + +inst_20:// rs1==f11, rd==x11,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f11; dest:x11; op1val:0x43e0000000000000; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x11, f11, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2,FLREG) + +inst_21:// rs1==f10, rd==x10,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000000 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f10; dest:x10; op1val:0x43e0000000000000; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x10, f10, dyn, 32, 0, x3, 21*FLEN/8, x4, x1, x2,FLREG) + +inst_22:// rs1==f9, rd==x9,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000000 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f9; dest:x9; op1val:0x43e0000000000000; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x9, f9, dyn, 64, 0, x3, 22*FLEN/8, x4, x1, x2,FLREG) + +inst_23:// rs1==f8, rd==x8,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000000 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f8; dest:x8; op1val:0x43e0000000000000; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x8, f8, dyn, 96, 0, x3, 23*FLEN/8, x4, x1, x2,FLREG) +RVTEST_VALBASEUPD(x8,test_dataset_1) + +inst_24:// rs1==f7, rd==x7,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000000 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f7; dest:x7; op1val:0x43e0000000000000; valaddr_reg:x8; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x7, f7, dyn, 128, 0, x8, 0*FLEN/8, x9, x1, x2,FLREG) + +inst_25:// rs1==f6, rd==x6,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f6; dest:x6; op1val:0x43e0000000000001; valaddr_reg:x8; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x6, f6, dyn, 0, 0, x8, 1*FLEN/8, x9, x1, x2,FLREG) + +inst_26:// rs1==f5, rd==x5,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000001 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f5; dest:x5; op1val:0x43e0000000000001; valaddr_reg:x8; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x5, f5, dyn, 32, 0, x8, 2*FLEN/8, x9, x1, x6,FLREG) +RVTEST_SIGBASE(x5,signature_x5_0) + +inst_27:// rs1==f4, rd==x4,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000001 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f4; dest:x4; op1val:0x43e0000000000001; valaddr_reg:x8; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x4, f4, dyn, 64, 0, x8, 3*FLEN/8, x9, x5, x6,FLREG) + +inst_28:// rs1==f3, rd==x3,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000001 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f3; dest:x3; op1val:0x43e0000000000001; valaddr_reg:x8; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x3, f3, dyn, 96, 0, x8, 4*FLEN/8, x9, x5, x6,FLREG) + +inst_29:// rs1==f2, rd==x2,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000001 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f2; dest:x2; op1val:0x43e0000000000001; valaddr_reg:x8; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x2, f2, dyn, 128, 0, x8, 5*FLEN/8, x9, x5, x6,FLREG) + +inst_30:// rs1==f1, rd==x1,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f1; dest:x1; op1val:0x43e0000000000002; valaddr_reg:x8; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x1, f1, dyn, 0, 0, x8, 6*FLEN/8, x9, x5, x6,FLREG) + +inst_31:// rs1==f0, rd==x0,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000002 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f0; dest:x0; op1val:0x43e0000000000002; valaddr_reg:x8; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x0, f0, dyn, 32, 0, x8, 7*FLEN/8, x9, x5, x6,FLREG) + +inst_32:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000002 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000002; valaddr_reg:x8; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 8*FLEN/8, x9, x5, x6,FLREG) + +inst_33:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000002 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000002; valaddr_reg:x8; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 9*FLEN/8, x9, x5, x6,FLREG) + +inst_34:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000002 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000002; valaddr_reg:x8; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 10*FLEN/8, x9, x5, x6,FLREG) + +inst_35:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000003 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000003; valaddr_reg:x8; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 11*FLEN/8, x9, x5, x6,FLREG) + +inst_36:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000003 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000003; valaddr_reg:x8; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 12*FLEN/8, x9, x5, x6,FLREG) + +inst_37:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000003 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000003; valaddr_reg:x8; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 13*FLEN/8, x9, x5, x6,FLREG) + +inst_38:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000003 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000003; valaddr_reg:x8; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 14*FLEN/8, x9, x5, x6,FLREG) + +inst_39:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000003 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000003; valaddr_reg:x8; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 15*FLEN/8, x9, x5, x6,FLREG) + +inst_40:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000004 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000004; valaddr_reg:x8; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 16*FLEN/8, x9, x5, x6,FLREG) + +inst_41:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000004 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000004; valaddr_reg:x8; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 17*FLEN/8, x9, x5, x6,FLREG) + +inst_42:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000004 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000004; valaddr_reg:x8; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 18*FLEN/8, x9, x5, x6,FLREG) + +inst_43:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000004 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000004; valaddr_reg:x8; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 19*FLEN/8, x9, x5, x6,FLREG) + +inst_44:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000004 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000004; valaddr_reg:x8; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 20*FLEN/8, x9, x5, x6,FLREG) + +inst_45:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000002 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000002; valaddr_reg:x8; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 21*FLEN/8, x9, x5, x6,FLREG) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(4890909195324358652,64,FLEN) +NAN_BOXED(4890909195324358652,64,FLEN) +NAN_BOXED(4890909195324358652,64,FLEN) +NAN_BOXED(4890909195324358652,64,FLEN) +NAN_BOXED(4890909195324358652,64,FLEN) +NAN_BOXED(4890909195324358653,64,FLEN) +NAN_BOXED(4890909195324358653,64,FLEN) +NAN_BOXED(4890909195324358653,64,FLEN) +NAN_BOXED(4890909195324358653,64,FLEN) +NAN_BOXED(4890909195324358653,64,FLEN) +NAN_BOXED(4890909195324358654,64,FLEN) +NAN_BOXED(4890909195324358654,64,FLEN) +NAN_BOXED(4890909195324358654,64,FLEN) +NAN_BOXED(4890909195324358654,64,FLEN) +NAN_BOXED(4890909195324358654,64,FLEN) +NAN_BOXED(4890909195324358655,64,FLEN) +NAN_BOXED(4890909195324358655,64,FLEN) +NAN_BOXED(4890909195324358655,64,FLEN) +NAN_BOXED(4890909195324358655,64,FLEN) +NAN_BOXED(4890909195324358655,64,FLEN) +NAN_BOXED(4890909195324358656,64,FLEN) +NAN_BOXED(4890909195324358656,64,FLEN) +NAN_BOXED(4890909195324358656,64,FLEN) +NAN_BOXED(4890909195324358656,64,FLEN) +test_dataset_1: +NAN_BOXED(4890909195324358656,64,FLEN) +NAN_BOXED(4890909195324358657,64,FLEN) +NAN_BOXED(4890909195324358657,64,FLEN) +NAN_BOXED(4890909195324358657,64,FLEN) +NAN_BOXED(4890909195324358657,64,FLEN) +NAN_BOXED(4890909195324358657,64,FLEN) +NAN_BOXED(4890909195324358658,64,FLEN) +NAN_BOXED(4890909195324358658,64,FLEN) +NAN_BOXED(4890909195324358658,64,FLEN) +NAN_BOXED(4890909195324358658,64,FLEN) +NAN_BOXED(4890909195324358658,64,FLEN) +NAN_BOXED(4890909195324358659,64,FLEN) +NAN_BOXED(4890909195324358659,64,FLEN) +NAN_BOXED(4890909195324358659,64,FLEN) +NAN_BOXED(4890909195324358659,64,FLEN) +NAN_BOXED(4890909195324358659,64,FLEN) +NAN_BOXED(4890909195324358660,64,FLEN) +NAN_BOXED(4890909195324358660,64,FLEN) +NAN_BOXED(4890909195324358660,64,FLEN) +NAN_BOXED(4890909195324358660,64,FLEN) +NAN_BOXED(4890909195324358660,64,FLEN) +NAN_BOXED(4890909195324358658,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 54*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x5_0: + .fill 38*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b24-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b24-01.S new file mode 100644 index 000000000..d16df37a2 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b24-01.S @@ -0,0 +1,838 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:50 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fcvtmod.w.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fcvtmod.w.d instruction of the RISC-V RV32FD_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fcvtmod.w.d_b24 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fcvtmod.w.d_b24) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1==f31, rd==x31,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x0; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2,FLREG) + +inst_1:// rs1==f30, rd==x30,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f30; dest:x30; op1val:0x0; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x30, f30, dyn, 32, 0, x3, 1*FLEN/8, x4, x1, x2,FLREG) + +inst_2:// rs1==f29, rd==x29,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f29; dest:x29; op1val:0x0; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x29, f29, dyn, 64, 0, x3, 2*FLEN/8, x4, x1, x2,FLREG) + +inst_3:// rs1==f28, rd==x28,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f28; dest:x28; op1val:0x0; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x28, f28, dyn, 96, 0, x3, 3*FLEN/8, x4, x1, x2,FLREG) + +inst_4:// rs1==f27, rd==x27,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f27; dest:x27; op1val:0x0; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x27, f27, dyn, 128, 0, x3, 4*FLEN/8, x4, x1, x2,FLREG) + +inst_5:// rs1==f26, rd==x26,fs1 == 0 and fe1 == 0x3fb and fm1 == 0x999999999999a and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f26; dest:x26; op1val:0x3fb999999999999a; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x26, f26, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2,FLREG) + +inst_6:// rs1==f25, rd==x25,fs1 == 0 and fe1 == 0x3fb and fm1 == 0x999999999999a and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f25; dest:x25; op1val:0x3fb999999999999a; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x25, f25, dyn, 32, 0, x3, 6*FLEN/8, x4, x1, x2,FLREG) + +inst_7:// rs1==f24, rd==x24,fs1 == 0 and fe1 == 0x3fb and fm1 == 0x999999999999a and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f24; dest:x24; op1val:0x3fb999999999999a; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x24, f24, dyn, 64, 0, x3, 7*FLEN/8, x4, x1, x2,FLREG) + +inst_8:// rs1==f23, rd==x23,fs1 == 0 and fe1 == 0x3fb and fm1 == 0x999999999999a and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f23; dest:x23; op1val:0x3fb999999999999a; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x23, f23, dyn, 96, 0, x3, 8*FLEN/8, x4, x1, x2,FLREG) + +inst_9:// rs1==f22, rd==x22,fs1 == 0 and fe1 == 0x3fb and fm1 == 0x999999999999a and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f22; dest:x22; op1val:0x3fb999999999999a; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x22, f22, dyn, 128, 0, x3, 9*FLEN/8, x4, x1, x2,FLREG) + +inst_10:// rs1==f21, rd==x21,fs1 == 1 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f21; dest:x21; op1val:0xbff028f5c28f5c29; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x21, f21, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2,FLREG) + +inst_11:// rs1==f20, rd==x20,fs1 == 1 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f20; dest:x20; op1val:0xbff028f5c28f5c29; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x20, f20, dyn, 32, 0, x3, 11*FLEN/8, x4, x1, x2,FLREG) + +inst_12:// rs1==f19, rd==x19,fs1 == 1 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f19; dest:x19; op1val:0xbff028f5c28f5c29; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x19, f19, dyn, 64, 0, x3, 12*FLEN/8, x4, x1, x2,FLREG) + +inst_13:// rs1==f18, rd==x18,fs1 == 1 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f18; dest:x18; op1val:0xbff028f5c28f5c29; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x18, f18, dyn, 96, 0, x3, 13*FLEN/8, x4, x1, x2,FLREG) + +inst_14:// rs1==f17, rd==x17,fs1 == 1 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f17; dest:x17; op1val:0xbff028f5c28f5c29; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x17, f17, dyn, 128, 0, x3, 14*FLEN/8, x4, x1, x2,FLREG) + +inst_15:// rs1==f16, rd==x16,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x199999999999a and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f16; dest:x16; op1val:0x3ff199999999999a; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x16, f16, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2,FLREG) + +inst_16:// rs1==f15, rd==x15,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x199999999999a and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f15; dest:x15; op1val:0x3ff199999999999a; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x15, f15, dyn, 32, 0, x3, 16*FLEN/8, x4, x1, x2,FLREG) + +inst_17:// rs1==f14, rd==x14,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x199999999999a and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f14; dest:x14; op1val:0x3ff199999999999a; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x14, f14, dyn, 64, 0, x3, 17*FLEN/8, x4, x1, x2,FLREG) + +inst_18:// rs1==f13, rd==x13,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x199999999999a and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f13; dest:x13; op1val:0x3ff199999999999a; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x13, f13, dyn, 96, 0, x3, 18*FLEN/8, x4, x1, x2,FLREG) + +inst_19:// rs1==f12, rd==x12,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x199999999999a and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f12; dest:x12; op1val:0x3ff199999999999a; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x12, f12, dyn, 128, 0, x3, 19*FLEN/8, x4, x1, x2,FLREG) + +inst_20:// rs1==f11, rd==x11,fs1 == 0 and fe1 == 0x3fb and fm1 == 0xc28f5c28f5c29 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f11; dest:x11; op1val:0x3fbc28f5c28f5c29; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x11, f11, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2,FLREG) + +inst_21:// rs1==f10, rd==x10,fs1 == 0 and fe1 == 0x3fb and fm1 == 0xc28f5c28f5c29 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f10; dest:x10; op1val:0x3fbc28f5c28f5c29; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x10, f10, dyn, 32, 0, x3, 21*FLEN/8, x4, x1, x2,FLREG) + +inst_22:// rs1==f9, rd==x9,fs1 == 0 and fe1 == 0x3fb and fm1 == 0xc28f5c28f5c29 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f9; dest:x9; op1val:0x3fbc28f5c28f5c29; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x9, f9, dyn, 64, 0, x3, 22*FLEN/8, x4, x1, x2,FLREG) + +inst_23:// rs1==f8, rd==x8,fs1 == 0 and fe1 == 0x3fb and fm1 == 0xc28f5c28f5c29 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f8; dest:x8; op1val:0x3fbc28f5c28f5c29; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x8, f8, dyn, 96, 0, x3, 23*FLEN/8, x4, x1, x2,FLREG) +RVTEST_VALBASEUPD(x8,test_dataset_1) + +inst_24:// rs1==f7, rd==x7,fs1 == 0 and fe1 == 0x3fb and fm1 == 0xc28f5c28f5c29 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f7; dest:x7; op1val:0x3fbc28f5c28f5c29; valaddr_reg:x8; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x7, f7, dyn, 128, 0, x8, 0*FLEN/8, x9, x1, x2,FLREG) + +inst_25:// rs1==f6, rd==x6,fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x47ae147ae147b and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f6; dest:x6; op1val:0xbf847ae147ae147b; valaddr_reg:x8; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x6, f6, dyn, 0, 0, x8, 1*FLEN/8, x9, x1, x2,FLREG) + +inst_26:// rs1==f5, rd==x5,fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x47ae147ae147b and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f5; dest:x5; op1val:0xbf847ae147ae147b; valaddr_reg:x8; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x5, f5, dyn, 32, 0, x8, 2*FLEN/8, x9, x1, x6,FLREG) +RVTEST_SIGBASE(x5,signature_x5_0) + +inst_27:// rs1==f4, rd==x4,fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x47ae147ae147b and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f4; dest:x4; op1val:0xbf847ae147ae147b; valaddr_reg:x8; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x4, f4, dyn, 64, 0, x8, 3*FLEN/8, x9, x5, x6,FLREG) + +inst_28:// rs1==f3, rd==x3,fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x47ae147ae147b and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f3; dest:x3; op1val:0xbf847ae147ae147b; valaddr_reg:x8; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x3, f3, dyn, 96, 0, x8, 4*FLEN/8, x9, x5, x6,FLREG) + +inst_29:// rs1==f2, rd==x2,fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x47ae147ae147b and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f2; dest:x2; op1val:0xbf847ae147ae147b; valaddr_reg:x8; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x2, f2, dyn, 128, 0, x8, 5*FLEN/8, x9, x5, x6,FLREG) + +inst_30:// rs1==f1, rd==x1,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f1; dest:x1; op1val:0x3ff028f5c28f5c29; valaddr_reg:x8; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x1, f1, dyn, 0, 0, x8, 6*FLEN/8, x9, x5, x6,FLREG) + +inst_31:// rs1==f0, rd==x0,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f0; dest:x0; op1val:0x3ff028f5c28f5c29; valaddr_reg:x8; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x0, f0, dyn, 32, 0, x8, 7*FLEN/8, x9, x5, x6,FLREG) + +inst_32:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff028f5c28f5c29; valaddr_reg:x8; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 8*FLEN/8, x9, x5, x6,FLREG) + +inst_33:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff028f5c28f5c29; valaddr_reg:x8; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 9*FLEN/8, x9, x5, x6,FLREG) + +inst_34:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff028f5c28f5c29; valaddr_reg:x8; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 10*FLEN/8, x9, x5, x6,FLREG) + +inst_35:// fs1 == 0 and fe1 == 0x3f8 and fm1 == 0x47ae147ae147b and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3f847ae147ae147b; valaddr_reg:x8; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 11*FLEN/8, x9, x5, x6,FLREG) + +inst_36:// fs1 == 0 and fe1 == 0x3f8 and fm1 == 0x47ae147ae147b and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3f847ae147ae147b; valaddr_reg:x8; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 12*FLEN/8, x9, x5, x6,FLREG) + +inst_37:// fs1 == 0 and fe1 == 0x3f8 and fm1 == 0x47ae147ae147b and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3f847ae147ae147b; valaddr_reg:x8; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 13*FLEN/8, x9, x5, x6,FLREG) + +inst_38:// fs1 == 0 and fe1 == 0x3f8 and fm1 == 0x47ae147ae147b and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3f847ae147ae147b; valaddr_reg:x8; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 14*FLEN/8, x9, x5, x6,FLREG) + +inst_39:// fs1 == 0 and fe1 == 0x3f8 and fm1 == 0x47ae147ae147b and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3f847ae147ae147b; valaddr_reg:x8; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 15*FLEN/8, x9, x5, x6,FLREG) + +inst_40:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xccccccccccccd and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfeccccccccccccd; valaddr_reg:x8; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 16*FLEN/8, x9, x5, x6,FLREG) + +inst_41:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xccccccccccccd and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfeccccccccccccd; valaddr_reg:x8; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 17*FLEN/8, x9, x5, x6,FLREG) + +inst_42:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xccccccccccccd and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfeccccccccccccd; valaddr_reg:x8; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 18*FLEN/8, x9, x5, x6,FLREG) + +inst_43:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xccccccccccccd and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfeccccccccccccd; valaddr_reg:x8; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 19*FLEN/8, x9, x5, x6,FLREG) + +inst_44:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xccccccccccccd and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfeccccccccccccd; valaddr_reg:x8; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 20*FLEN/8, x9, x5, x6,FLREG) + +inst_45:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff0000000000000; valaddr_reg:x8; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 21*FLEN/8, x9, x5, x6,FLREG) + +inst_46:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff0000000000000; valaddr_reg:x8; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 22*FLEN/8, x9, x5, x6,FLREG) + +inst_47:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff0000000000000; valaddr_reg:x8; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 23*FLEN/8, x9, x5, x6,FLREG) + +inst_48:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff0000000000000; valaddr_reg:x8; +val_offset:24*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 24*FLEN/8, x9, x5, x6,FLREG) + +inst_49:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff0000000000000; valaddr_reg:x8; +val_offset:25*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 25*FLEN/8, x9, x5, x6,FLREG) + +inst_50:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xfae147ae147ae and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfefae147ae147ae; valaddr_reg:x8; +val_offset:26*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 26*FLEN/8, x9, x5, x6,FLREG) + +inst_51:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xfae147ae147ae and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfefae147ae147ae; valaddr_reg:x8; +val_offset:27*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 27*FLEN/8, x9, x5, x6,FLREG) + +inst_52:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xfae147ae147ae and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfefae147ae147ae; valaddr_reg:x8; +val_offset:28*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 28*FLEN/8, x9, x5, x6,FLREG) + +inst_53:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xfae147ae147ae and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfefae147ae147ae; valaddr_reg:x8; +val_offset:29*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 29*FLEN/8, x9, x5, x6,FLREG) + +inst_54:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xfae147ae147ae and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfefae147ae147ae; valaddr_reg:x8; +val_offset:30*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 30*FLEN/8, x9, x5, x6,FLREG) + +inst_55:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xccccccccccccd and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3feccccccccccccd; valaddr_reg:x8; +val_offset:31*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 31*FLEN/8, x9, x5, x6,FLREG) + +inst_56:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xccccccccccccd and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3feccccccccccccd; valaddr_reg:x8; +val_offset:32*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 32*FLEN/8, x9, x5, x6,FLREG) + +inst_57:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xccccccccccccd and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3feccccccccccccd; valaddr_reg:x8; +val_offset:33*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 33*FLEN/8, x9, x5, x6,FLREG) + +inst_58:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xccccccccccccd and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3feccccccccccccd; valaddr_reg:x8; +val_offset:34*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 34*FLEN/8, x9, x5, x6,FLREG) + +inst_59:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xccccccccccccd and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3feccccccccccccd; valaddr_reg:x8; +val_offset:35*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 35*FLEN/8, x9, x5, x6,FLREG) + +inst_60:// fs1 == 1 and fe1 == 0x3fb and fm1 == 0x999999999999a and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfb999999999999a; valaddr_reg:x8; +val_offset:36*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 36*FLEN/8, x9, x5, x6,FLREG) + +inst_61:// fs1 == 1 and fe1 == 0x3fb and fm1 == 0x999999999999a and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfb999999999999a; valaddr_reg:x8; +val_offset:37*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 37*FLEN/8, x9, x5, x6,FLREG) + +inst_62:// fs1 == 1 and fe1 == 0x3fb and fm1 == 0x999999999999a and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfb999999999999a; valaddr_reg:x8; +val_offset:38*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 38*FLEN/8, x9, x5, x6,FLREG) + +inst_63:// fs1 == 1 and fe1 == 0x3fb and fm1 == 0x999999999999a and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfb999999999999a; valaddr_reg:x8; +val_offset:39*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 39*FLEN/8, x9, x5, x6,FLREG) + +inst_64:// fs1 == 1 and fe1 == 0x3fb and fm1 == 0x999999999999a and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfb999999999999a; valaddr_reg:x8; +val_offset:40*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 40*FLEN/8, x9, x5, x6,FLREG) + +inst_65:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xc7ae147ae147b and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fec7ae147ae147b; valaddr_reg:x8; +val_offset:41*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 41*FLEN/8, x9, x5, x6,FLREG) + +inst_66:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xc7ae147ae147b and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fec7ae147ae147b; valaddr_reg:x8; +val_offset:42*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 42*FLEN/8, x9, x5, x6,FLREG) + +inst_67:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xc7ae147ae147b and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fec7ae147ae147b; valaddr_reg:x8; +val_offset:43*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 43*FLEN/8, x9, x5, x6,FLREG) + +inst_68:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xc7ae147ae147b and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fec7ae147ae147b; valaddr_reg:x8; +val_offset:44*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 44*FLEN/8, x9, x5, x6,FLREG) + +inst_69:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xc7ae147ae147b and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fec7ae147ae147b; valaddr_reg:x8; +val_offset:45*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 45*FLEN/8, x9, x5, x6,FLREG) + +inst_70:// fs1 == 1 and fe1 == 0x3fb and fm1 == 0xc28f5c28f5c29 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfbc28f5c28f5c29; valaddr_reg:x8; +val_offset:46*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 46*FLEN/8, x9, x5, x6,FLREG) + +inst_71:// fs1 == 1 and fe1 == 0x3fb and fm1 == 0xc28f5c28f5c29 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfbc28f5c28f5c29; valaddr_reg:x8; +val_offset:47*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 47*FLEN/8, x9, x5, x6,FLREG) + +inst_72:// fs1 == 1 and fe1 == 0x3fb and fm1 == 0xc28f5c28f5c29 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfbc28f5c28f5c29; valaddr_reg:x8; +val_offset:48*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 48*FLEN/8, x9, x5, x6,FLREG) + +inst_73:// fs1 == 1 and fe1 == 0x3fb and fm1 == 0xc28f5c28f5c29 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfbc28f5c28f5c29; valaddr_reg:x8; +val_offset:49*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 49*FLEN/8, x9, x5, x6,FLREG) + +inst_74:// fs1 == 1 and fe1 == 0x3fb and fm1 == 0xc28f5c28f5c29 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfbc28f5c28f5c29; valaddr_reg:x8; +val_offset:50*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 50*FLEN/8, x9, x5, x6,FLREG) + +inst_75:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xc7ae147ae147b and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfec7ae147ae147b; valaddr_reg:x8; +val_offset:51*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 51*FLEN/8, x9, x5, x6,FLREG) + +inst_76:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xc7ae147ae147b and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfec7ae147ae147b; valaddr_reg:x8; +val_offset:52*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 52*FLEN/8, x9, x5, x6,FLREG) + +inst_77:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xc7ae147ae147b and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfec7ae147ae147b; valaddr_reg:x8; +val_offset:53*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 53*FLEN/8, x9, x5, x6,FLREG) + +inst_78:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xc7ae147ae147b and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfec7ae147ae147b; valaddr_reg:x8; +val_offset:54*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 54*FLEN/8, x9, x5, x6,FLREG) + +inst_79:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xc7ae147ae147b and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfec7ae147ae147b; valaddr_reg:x8; +val_offset:55*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 55*FLEN/8, x9, x5, x6,FLREG) + +inst_80:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff0000000000000; valaddr_reg:x8; +val_offset:56*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 56*FLEN/8, x9, x5, x6,FLREG) + +inst_81:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff0000000000000; valaddr_reg:x8; +val_offset:57*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 57*FLEN/8, x9, x5, x6,FLREG) + +inst_82:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff0000000000000; valaddr_reg:x8; +val_offset:58*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 58*FLEN/8, x9, x5, x6,FLREG) + +inst_83:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff0000000000000; valaddr_reg:x8; +val_offset:59*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 59*FLEN/8, x9, x5, x6,FLREG) + +inst_84:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff0000000000000; valaddr_reg:x8; +val_offset:60*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 60*FLEN/8, x9, x5, x6,FLREG) + +inst_85:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff1c28f5c28f5c3; valaddr_reg:x8; +val_offset:61*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 61*FLEN/8, x9, x5, x6,FLREG) + +inst_86:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff1c28f5c28f5c3; valaddr_reg:x8; +val_offset:62*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 62*FLEN/8, x9, x5, x6,FLREG) + +inst_87:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff1c28f5c28f5c3; valaddr_reg:x8; +val_offset:63*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 63*FLEN/8, x9, x5, x6,FLREG) + +inst_88:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff1c28f5c28f5c3; valaddr_reg:x8; +val_offset:64*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 64*FLEN/8, x9, x5, x6,FLREG) + +inst_89:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff1c28f5c28f5c3; valaddr_reg:x8; +val_offset:65*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 65*FLEN/8, x9, x5, x6,FLREG) + +inst_90:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xfae147ae147ae and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fefae147ae147ae; valaddr_reg:x8; +val_offset:66*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 66*FLEN/8, x9, x5, x6,FLREG) + +inst_91:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xfae147ae147ae and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fefae147ae147ae; valaddr_reg:x8; +val_offset:67*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 67*FLEN/8, x9, x5, x6,FLREG) + +inst_92:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xfae147ae147ae and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fefae147ae147ae; valaddr_reg:x8; +val_offset:68*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 68*FLEN/8, x9, x5, x6,FLREG) + +inst_93:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xfae147ae147ae and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fefae147ae147ae; valaddr_reg:x8; +val_offset:69*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 69*FLEN/8, x9, x5, x6,FLREG) + +inst_94:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xfae147ae147ae and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fefae147ae147ae; valaddr_reg:x8; +val_offset:70*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 70*FLEN/8, x9, x5, x6,FLREG) + +inst_95:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff1c28f5c28f5c3; valaddr_reg:x8; +val_offset:71*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 71*FLEN/8, x9, x5, x6,FLREG) + +inst_96:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff1c28f5c28f5c3; valaddr_reg:x8; +val_offset:72*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 72*FLEN/8, x9, x5, x6,FLREG) + +inst_97:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff1c28f5c28f5c3; valaddr_reg:x8; +val_offset:73*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 73*FLEN/8, x9, x5, x6,FLREG) + +inst_98:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff1c28f5c28f5c3; valaddr_reg:x8; +val_offset:74*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 74*FLEN/8, x9, x5, x6,FLREG) + +inst_99:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff1c28f5c28f5c3; valaddr_reg:x8; +val_offset:75*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 75*FLEN/8, x9, x5, x6,FLREG) + +inst_100:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x199999999999a and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff199999999999a; valaddr_reg:x8; +val_offset:76*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 76*FLEN/8, x9, x5, x6,FLREG) + +inst_101:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x199999999999a and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff199999999999a; valaddr_reg:x8; +val_offset:77*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 77*FLEN/8, x9, x5, x6,FLREG) + +inst_102:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x199999999999a and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff199999999999a; valaddr_reg:x8; +val_offset:78*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 78*FLEN/8, x9, x5, x6,FLREG) + +inst_103:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x199999999999a and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff199999999999a; valaddr_reg:x8; +val_offset:79*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 79*FLEN/8, x9, x5, x6,FLREG) + +inst_104:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x199999999999a and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff199999999999a; valaddr_reg:x8; +val_offset:80*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 80*FLEN/8, x9, x5, x6,FLREG) + +inst_105:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff028f5c28f5c29; valaddr_reg:x8; +val_offset:81*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 81*FLEN/8, x9, x5, x6,FLREG) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4591870180066957722,64,FLEN) +NAN_BOXED(4591870180066957722,64,FLEN) +NAN_BOXED(4591870180066957722,64,FLEN) +NAN_BOXED(4591870180066957722,64,FLEN) +NAN_BOXED(4591870180066957722,64,FLEN) +NAN_BOXED(13830599491651066921,64,FLEN) +NAN_BOXED(13830599491651066921,64,FLEN) +NAN_BOXED(13830599491651066921,64,FLEN) +NAN_BOXED(13830599491651066921,64,FLEN) +NAN_BOXED(13830599491651066921,64,FLEN) +NAN_BOXED(4607632778762754458,64,FLEN) +NAN_BOXED(4607632778762754458,64,FLEN) +NAN_BOXED(4607632778762754458,64,FLEN) +NAN_BOXED(4607632778762754458,64,FLEN) +NAN_BOXED(4607632778762754458,64,FLEN) +NAN_BOXED(4592590756007337001,64,FLEN) +NAN_BOXED(4592590756007337001,64,FLEN) +NAN_BOXED(4592590756007337001,64,FLEN) +NAN_BOXED(4592590756007337001,64,FLEN) +test_dataset_1: +NAN_BOXED(4592590756007337001,64,FLEN) +NAN_BOXED(13800290266158863483,64,FLEN) +NAN_BOXED(13800290266158863483,64,FLEN) +NAN_BOXED(13800290266158863483,64,FLEN) +NAN_BOXED(13800290266158863483,64,FLEN) +NAN_BOXED(13800290266158863483,64,FLEN) +NAN_BOXED(4607227454796291113,64,FLEN) +NAN_BOXED(4607227454796291113,64,FLEN) +NAN_BOXED(4607227454796291113,64,FLEN) +NAN_BOXED(4607227454796291113,64,FLEN) +NAN_BOXED(4607227454796291113,64,FLEN) +NAN_BOXED(4576918229304087675,64,FLEN) +NAN_BOXED(4576918229304087675,64,FLEN) +NAN_BOXED(4576918229304087675,64,FLEN) +NAN_BOXED(4576918229304087675,64,FLEN) +NAN_BOXED(4576918229304087675,64,FLEN) +NAN_BOXED(13829653735729319117,64,FLEN) +NAN_BOXED(13829653735729319117,64,FLEN) +NAN_BOXED(13829653735729319117,64,FLEN) +NAN_BOXED(13829653735729319117,64,FLEN) +NAN_BOXED(13829653735729319117,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13830464383662245806,64,FLEN) +NAN_BOXED(13830464383662245806,64,FLEN) +NAN_BOXED(13830464383662245806,64,FLEN) +NAN_BOXED(13830464383662245806,64,FLEN) +NAN_BOXED(13830464383662245806,64,FLEN) +NAN_BOXED(4606281698874543309,64,FLEN) +NAN_BOXED(4606281698874543309,64,FLEN) +NAN_BOXED(4606281698874543309,64,FLEN) +NAN_BOXED(4606281698874543309,64,FLEN) +NAN_BOXED(4606281698874543309,64,FLEN) +NAN_BOXED(13815242216921733530,64,FLEN) +NAN_BOXED(13815242216921733530,64,FLEN) +NAN_BOXED(13815242216921733530,64,FLEN) +NAN_BOXED(13815242216921733530,64,FLEN) +NAN_BOXED(13815242216921733530,64,FLEN) +NAN_BOXED(4606191626881995899,64,FLEN) +NAN_BOXED(4606191626881995899,64,FLEN) +NAN_BOXED(4606191626881995899,64,FLEN) +NAN_BOXED(4606191626881995899,64,FLEN) +NAN_BOXED(4606191626881995899,64,FLEN) +NAN_BOXED(13815962792862112809,64,FLEN) +NAN_BOXED(13815962792862112809,64,FLEN) +NAN_BOXED(13815962792862112809,64,FLEN) +NAN_BOXED(13815962792862112809,64,FLEN) +NAN_BOXED(13815962792862112809,64,FLEN) +NAN_BOXED(13829563663736771707,64,FLEN) +NAN_BOXED(13829563663736771707,64,FLEN) +NAN_BOXED(13829563663736771707,64,FLEN) +NAN_BOXED(13829563663736771707,64,FLEN) +NAN_BOXED(13829563663736771707,64,FLEN) +NAN_BOXED(13830554455654793216,64,FLEN) +NAN_BOXED(13830554455654793216,64,FLEN) +NAN_BOXED(13830554455654793216,64,FLEN) +NAN_BOXED(13830554455654793216,64,FLEN) +NAN_BOXED(13830554455654793216,64,FLEN) +NAN_BOXED(4607677814759028163,64,FLEN) +NAN_BOXED(4607677814759028163,64,FLEN) +NAN_BOXED(4607677814759028163,64,FLEN) +NAN_BOXED(4607677814759028163,64,FLEN) +NAN_BOXED(4607677814759028163,64,FLEN) +NAN_BOXED(4607092346807469998,64,FLEN) +NAN_BOXED(4607092346807469998,64,FLEN) +NAN_BOXED(4607092346807469998,64,FLEN) +NAN_BOXED(4607092346807469998,64,FLEN) +NAN_BOXED(4607092346807469998,64,FLEN) +NAN_BOXED(13831049851613803971,64,FLEN) +NAN_BOXED(13831049851613803971,64,FLEN) +NAN_BOXED(13831049851613803971,64,FLEN) +NAN_BOXED(13831049851613803971,64,FLEN) +NAN_BOXED(13831049851613803971,64,FLEN) +NAN_BOXED(13831004815617530266,64,FLEN) +NAN_BOXED(13831004815617530266,64,FLEN) +NAN_BOXED(13831004815617530266,64,FLEN) +NAN_BOXED(13831004815617530266,64,FLEN) +NAN_BOXED(13831004815617530266,64,FLEN) +NAN_BOXED(4607227454796291113,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 54*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x5_0: + .fill 158*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b27-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b27-01.S new file mode 100644 index 000000000..e97a0ef34 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b27-01.S @@ -0,0 +1,320 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:50 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fcvtmod.w.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fcvtmod.w.d instruction of the RISC-V RV32FD_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fcvtmod.w.d_b27 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fcvtmod.w.d_b27) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1==f31, rd==x31,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x7ff0000000000001; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2,FLREG) + +inst_1:// rs1==f30, rd==x30,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f30; dest:x30; op1val:0xfff0000000000001; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x30, f30, dyn, 0, 0, x3, 1*FLEN/8, x4, x1, x2,FLREG) + +inst_2:// rs1==f29, rd==x29,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x4aaaaaaaaaaaa and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f29; dest:x29; op1val:0x7ff4aaaaaaaaaaaa; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x29, f29, dyn, 0, 0, x3, 2*FLEN/8, x4, x1, x2,FLREG) + +inst_3:// rs1==f28, rd==x28,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x4aaaaaaaaaaaa and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f28; dest:x28; op1val:0xfff4aaaaaaaaaaaa; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x28, f28, dyn, 0, 0, x3, 3*FLEN/8, x4, x1, x2,FLREG) + +inst_4:// rs1==f27, rd==x27,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f27; dest:x27; op1val:0x7ff8000000000001; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x27, f27, dyn, 0, 0, x3, 4*FLEN/8, x4, x1, x2,FLREG) + +inst_5:// rs1==f26, rd==x26,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f26; dest:x26; op1val:0xfff8000000000001; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x26, f26, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2,FLREG) + +inst_6:// rs1==f25, rd==x25,fs1 == 0 and fe1 == 0x7ff and fm1 == 0xc000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f25; dest:x25; op1val:0x7ffc000000000001; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x25, f25, dyn, 0, 0, x3, 6*FLEN/8, x4, x1, x2,FLREG) + +inst_7:// rs1==f24, rd==x24,fs1 == 1 and fe1 == 0x7ff and fm1 == 0xc000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f24; dest:x24; op1val:0xfffc000000000001; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x24, f24, dyn, 0, 0, x3, 7*FLEN/8, x4, x1, x2,FLREG) + +inst_8:// rs1==f23, rd==x23, +/* opcode: fcvtmod.w.d ; op1:f23; dest:x23; op1val:0x0; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x23, f23, dyn, 0, 0, x3, 8*FLEN/8, x4, x1, x2,FLREG) + +inst_9:// rs1==f22, rd==x22, +/* opcode: fcvtmod.w.d ; op1:f22; dest:x22; op1val:0x0; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x22, f22, dyn, 0, 0, x3, 9*FLEN/8, x4, x1, x2,FLREG) + +inst_10:// rs1==f21, rd==x21, +/* opcode: fcvtmod.w.d ; op1:f21; dest:x21; op1val:0x0; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x21, f21, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2,FLREG) + +inst_11:// rs1==f20, rd==x20, +/* opcode: fcvtmod.w.d ; op1:f20; dest:x20; op1val:0x0; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x20, f20, dyn, 0, 0, x3, 11*FLEN/8, x4, x1, x2,FLREG) + +inst_12:// rs1==f19, rd==x19, +/* opcode: fcvtmod.w.d ; op1:f19; dest:x19; op1val:0x0; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x19, f19, dyn, 0, 0, x3, 12*FLEN/8, x4, x1, x2,FLREG) + +inst_13:// rs1==f18, rd==x18, +/* opcode: fcvtmod.w.d ; op1:f18; dest:x18; op1val:0x0; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x18, f18, dyn, 0, 0, x3, 13*FLEN/8, x4, x1, x2,FLREG) + +inst_14:// rs1==f17, rd==x17, +/* opcode: fcvtmod.w.d ; op1:f17; dest:x17; op1val:0x0; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x17, f17, dyn, 0, 0, x3, 14*FLEN/8, x4, x1, x2,FLREG) + +inst_15:// rs1==f16, rd==x16, +/* opcode: fcvtmod.w.d ; op1:f16; dest:x16; op1val:0x0; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x16, f16, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2,FLREG) + +inst_16:// rs1==f15, rd==x15, +/* opcode: fcvtmod.w.d ; op1:f15; dest:x15; op1val:0x0; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x15, f15, dyn, 0, 0, x3, 16*FLEN/8, x4, x1, x2,FLREG) + +inst_17:// rs1==f14, rd==x14, +/* opcode: fcvtmod.w.d ; op1:f14; dest:x14; op1val:0x0; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x14, f14, dyn, 0, 0, x3, 17*FLEN/8, x4, x1, x2,FLREG) + +inst_18:// rs1==f13, rd==x13, +/* opcode: fcvtmod.w.d ; op1:f13; dest:x13; op1val:0x0; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x13, f13, dyn, 0, 0, x3, 18*FLEN/8, x4, x1, x2,FLREG) + +inst_19:// rs1==f12, rd==x12, +/* opcode: fcvtmod.w.d ; op1:f12; dest:x12; op1val:0x0; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x12, f12, dyn, 0, 0, x3, 19*FLEN/8, x4, x1, x2,FLREG) + +inst_20:// rs1==f11, rd==x11, +/* opcode: fcvtmod.w.d ; op1:f11; dest:x11; op1val:0x0; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x11, f11, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2,FLREG) + +inst_21:// rs1==f10, rd==x10, +/* opcode: fcvtmod.w.d ; op1:f10; dest:x10; op1val:0x0; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x10, f10, dyn, 0, 0, x3, 21*FLEN/8, x4, x1, x2,FLREG) + +inst_22:// rs1==f9, rd==x9, +/* opcode: fcvtmod.w.d ; op1:f9; dest:x9; op1val:0x0; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x9, f9, dyn, 0, 0, x3, 22*FLEN/8, x4, x1, x2,FLREG) + +inst_23:// rs1==f8, rd==x8, +/* opcode: fcvtmod.w.d ; op1:f8; dest:x8; op1val:0x0; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x8, f8, dyn, 0, 0, x3, 23*FLEN/8, x4, x1, x2,FLREG) +RVTEST_VALBASEUPD(x8,test_dataset_1) + +inst_24:// rs1==f7, rd==x7, +/* opcode: fcvtmod.w.d ; op1:f7; dest:x7; op1val:0x0; valaddr_reg:x8; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x7, f7, dyn, 0, 0, x8, 0*FLEN/8, x9, x1, x2,FLREG) + +inst_25:// rs1==f6, rd==x6, +/* opcode: fcvtmod.w.d ; op1:f6; dest:x6; op1val:0x0; valaddr_reg:x8; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x6, f6, dyn, 0, 0, x8, 1*FLEN/8, x9, x1, x2,FLREG) + +inst_26:// rs1==f5, rd==x5, +/* opcode: fcvtmod.w.d ; op1:f5; dest:x5; op1val:0x0; valaddr_reg:x8; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x5, f5, dyn, 0, 0, x8, 2*FLEN/8, x9, x1, x6,FLREG) +RVTEST_SIGBASE(x5,signature_x5_0) + +inst_27:// rs1==f4, rd==x4, +/* opcode: fcvtmod.w.d ; op1:f4; dest:x4; op1val:0x0; valaddr_reg:x8; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x4, f4, dyn, 0, 0, x8, 3*FLEN/8, x9, x5, x6,FLREG) + +inst_28:// rs1==f3, rd==x3, +/* opcode: fcvtmod.w.d ; op1:f3; dest:x3; op1val:0x0; valaddr_reg:x8; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x3, f3, dyn, 0, 0, x8, 4*FLEN/8, x9, x5, x6,FLREG) + +inst_29:// rs1==f2, rd==x2, +/* opcode: fcvtmod.w.d ; op1:f2; dest:x2; op1val:0x0; valaddr_reg:x8; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x2, f2, dyn, 0, 0, x8, 5*FLEN/8, x9, x5, x6,FLREG) + +inst_30:// rs1==f1, rd==x1, +/* opcode: fcvtmod.w.d ; op1:f1; dest:x1; op1val:0x0; valaddr_reg:x8; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x1, f1, dyn, 0, 0, x8, 6*FLEN/8, x9, x5, x6,FLREG) + +inst_31:// rs1==f0, rd==x0, +/* opcode: fcvtmod.w.d ; op1:f0; dest:x0; op1val:0x0; valaddr_reg:x8; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x0, f0, dyn, 0, 0, x8, 7*FLEN/8, x9, x5, x6,FLREG) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9220181987118721706,64,FLEN) +NAN_BOXED(18443554023973497514,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9222246136947933185,64,FLEN) +NAN_BOXED(18445618173802708993,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +test_dataset_1: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 54*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x5_0: + .fill 10*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b28-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b28-01.S new file mode 100644 index 000000000..4aa1f05af --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b28-01.S @@ -0,0 +1,320 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:50 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fcvtmod.w.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fcvtmod.w.d instruction of the RISC-V RV32FD_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fcvtmod.w.d_b28 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fcvtmod.w.d_b28) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1==f31, rd==x31,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x0; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2,FLREG) + +inst_1:// rs1==f30, rd==x30,fs1 == 0 and fe1 == 0x3fe and fm1 == 0x248ee18215dfa and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f30; dest:x30; op1val:0x3fe248ee18215dfa; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x30, f30, dyn, 0, 0, x3, 1*FLEN/8, x4, x1, x2,FLREG) + +inst_2:// rs1==f29, rd==x29,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f29; dest:x29; op1val:0x3ff0000000000000; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x29, f29, dyn, 0, 0, x3, 2*FLEN/8, x4, x1, x2,FLREG) + +inst_3:// rs1==f28, rd==x28,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x4000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f28; dest:x28; op1val:0x3ff4000000000000; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x28, f28, dyn, 0, 0, x3, 3*FLEN/8, x4, x1, x2,FLREG) + +inst_4:// rs1==f27, rd==x27,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x8000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f27; dest:x27; op1val:0x3ff8000000000000; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x27, f27, dyn, 0, 0, x3, 4*FLEN/8, x4, x1, x2,FLREG) + +inst_5:// rs1==f26, rd==x26,fs1 == 0 and fe1 == 0x3ff and fm1 == 0xc000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f26; dest:x26; op1val:0x3ffc000000000000; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x26, f26, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2,FLREG) + +inst_6:// rs1==f25, rd==x25,fs1 == 0 and fe1 == 0x400 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f25; dest:x25; op1val:0x4000000000000000; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x25, f25, dyn, 0, 0, x3, 6*FLEN/8, x4, x1, x2,FLREG) + +inst_7:// rs1==f24, rd==x24,fs1 == 0 and fe1 == 0x400 and fm1 == 0x2000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f24; dest:x24; op1val:0x4002000000000000; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x24, f24, dyn, 0, 0, x3, 7*FLEN/8, x4, x1, x2,FLREG) + +inst_8:// rs1==f23, rd==x23,fs1 == 0 and fe1 == 0x400 and fm1 == 0x4000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f23; dest:x23; op1val:0x4004000000000000; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x23, f23, dyn, 0, 0, x3, 8*FLEN/8, x4, x1, x2,FLREG) + +inst_9:// rs1==f22, rd==x22,fs1 == 0 and fe1 == 0x400 and fm1 == 0x6000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f22; dest:x22; op1val:0x4006000000000000; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x22, f22, dyn, 0, 0, x3, 9*FLEN/8, x4, x1, x2,FLREG) + +inst_10:// rs1==f21, rd==x21,fs1 == 0 and fe1 == 0x43c and fm1 == 0xb72eb13dc494a and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f21; dest:x21; op1val:0x43cb72eb13dc494a; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x21, f21, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2,FLREG) + +inst_11:// rs1==f20, rd==x20,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f20; dest:x20; op1val:0x43e0000000000000; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x20, f20, dyn, 0, 0, x3, 11*FLEN/8, x4, x1, x2,FLREG) + +inst_12:// rs1==f19, rd==x19,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f19; dest:x19; op1val:0x7ff0000000000000; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x19, f19, dyn, 0, 0, x3, 12*FLEN/8, x4, x1, x2,FLREG) + +inst_13:// rs1==f18, rd==x18,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f18; dest:x18; op1val:0x7ff0000000000001; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x18, f18, dyn, 0, 0, x3, 13*FLEN/8, x4, x1, x2,FLREG) + +inst_14:// rs1==f17, rd==x17,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f17; dest:x17; op1val:0x7ff8000000000001; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x17, f17, dyn, 0, 0, x3, 14*FLEN/8, x4, x1, x2,FLREG) + +inst_15:// rs1==f16, rd==x16,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f16; dest:x16; op1val:0x8000000000000000; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x16, f16, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2,FLREG) + +inst_16:// rs1==f15, rd==x15,fs1 == 1 and fe1 == 0x3fd and fm1 == 0xb008d57e19f88 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f15; dest:x15; op1val:0xbfdb008d57e19f88; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x15, f15, dyn, 0, 0, x3, 16*FLEN/8, x4, x1, x2,FLREG) + +inst_17:// rs1==f14, rd==x14,fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f14; dest:x14; op1val:0xbf80000000000000; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x14, f14, dyn, 0, 0, x3, 17*FLEN/8, x4, x1, x2,FLREG) + +inst_18:// rs1==f13, rd==x13,fs1 == 1 and fe1 == 0x400 and fm1 == 0x6000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f13; dest:x13; op1val:0xc006000000000000; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x13, f13, dyn, 0, 0, x3, 18*FLEN/8, x4, x1, x2,FLREG) + +inst_19:// rs1==f12, rd==x12,fs1 == 1 and fe1 == 0x400 and fm1 == 0x4000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f12; dest:x12; op1val:0xc004000000000000; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x12, f12, dyn, 0, 0, x3, 19*FLEN/8, x4, x1, x2,FLREG) + +inst_20:// rs1==f11, rd==x11,fs1 == 1 and fe1 == 0x400 and fm1 == 0x2000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f11; dest:x11; op1val:0xc002000000000000; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x11, f11, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2,FLREG) + +inst_21:// rs1==f10, rd==x10,fs1 == 1 and fe1 == 0x400 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f10; dest:x10; op1val:0xc000000000000000; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x10, f10, dyn, 0, 0, x3, 21*FLEN/8, x4, x1, x2,FLREG) + +inst_22:// rs1==f9, rd==x9,fs1 == 1 and fe1 == 0x3ff and fm1 == 0xc000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f9; dest:x9; op1val:0xbffc000000000000; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x9, f9, dyn, 0, 0, x3, 22*FLEN/8, x4, x1, x2,FLREG) + +inst_23:// rs1==f8, rd==x8,fs1 == 1 and fe1 == 0x3ff and fm1 == 0x8000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f8; dest:x8; op1val:0xbff8000000000000; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x8, f8, dyn, 0, 0, x3, 23*FLEN/8, x4, x1, x2,FLREG) +RVTEST_VALBASEUPD(x8,test_dataset_1) + +inst_24:// rs1==f7, rd==x7,fs1 == 1 and fe1 == 0x3ff and fm1 == 0x4000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f7; dest:x7; op1val:0xbff4000000000000; valaddr_reg:x8; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x7, f7, dyn, 0, 0, x8, 0*FLEN/8, x9, x1, x2,FLREG) + +inst_25:// rs1==f6, rd==x6,fs1 == 1 and fe1 == 0x43d and fm1 == 0x967a4ae26514c and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f6; dest:x6; op1val:0xc3d967a4ae26514c; valaddr_reg:x8; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x6, f6, dyn, 0, 0, x8, 1*FLEN/8, x9, x1, x2,FLREG) + +inst_26:// rs1==f5, rd==x5,fs1 == 1 and fe1 == 0x43e and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f5; dest:x5; op1val:0xc3e0000000000000; valaddr_reg:x8; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x5, f5, dyn, 0, 0, x8, 2*FLEN/8, x9, x1, x6,FLREG) +RVTEST_SIGBASE(x5,signature_x5_0) + +inst_27:// rs1==f4, rd==x4,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f4; dest:x4; op1val:0xfff0000000000000; valaddr_reg:x8; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x4, f4, dyn, 0, 0, x8, 3*FLEN/8, x9, x5, x6,FLREG) + +inst_28:// rs1==f3, rd==x3, +/* opcode: fcvtmod.w.d ; op1:f3; dest:x3; op1val:0x0; valaddr_reg:x8; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x3, f3, dyn, 0, 0, x8, 4*FLEN/8, x9, x5, x6,FLREG) + +inst_29:// rs1==f2, rd==x2, +/* opcode: fcvtmod.w.d ; op1:f2; dest:x2; op1val:0x0; valaddr_reg:x8; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x2, f2, dyn, 0, 0, x8, 5*FLEN/8, x9, x5, x6,FLREG) + +inst_30:// rs1==f1, rd==x1, +/* opcode: fcvtmod.w.d ; op1:f1; dest:x1; op1val:0x0; valaddr_reg:x8; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x1, f1, dyn, 0, 0, x8, 6*FLEN/8, x9, x5, x6,FLREG) + +inst_31:// rs1==f0, rd==x0, +/* opcode: fcvtmod.w.d ; op1:f0; dest:x0; op1val:0x0; valaddr_reg:x8; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x0, f0, dyn, 0, 0, x8, 7*FLEN/8, x9, x5, x6,FLREG) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4603321956570324474,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4608308318706860032,64,FLEN) +NAN_BOXED(4609434218613702656,64,FLEN) +NAN_BOXED(4610560118520545280,64,FLEN) +NAN_BOXED(4611686018427387904,64,FLEN) +NAN_BOXED(4612248968380809216,64,FLEN) +NAN_BOXED(4612811918334230528,64,FLEN) +NAN_BOXED(4613374868287651840,64,FLEN) +NAN_BOXED(4885124574789519690,64,FLEN) +NAN_BOXED(4890909195324358656,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(13824644088208662408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(13836746905142427648,64,FLEN) +NAN_BOXED(13836183955189006336,64,FLEN) +NAN_BOXED(13835621005235585024,64,FLEN) +NAN_BOXED(13835058055282163712,64,FLEN) +NAN_BOXED(13833932155375321088,64,FLEN) +NAN_BOXED(13832806255468478464,64,FLEN) +test_dataset_1: +NAN_BOXED(13831680355561635840,64,FLEN) +NAN_BOXED(14112424864336204108,64,FLEN) +NAN_BOXED(14114281232179134464,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 54*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x5_0: + .fill 10*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b29-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b29-01.S new file mode 100644 index 000000000..0f20f5c45 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fcvtmod.w.d_b29-01.S @@ -0,0 +1,663 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:50 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fcvtmod.w.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fcvtmod.w.d instruction of the RISC-V RV32FD_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fcvtmod.w.d_b29 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fcvtmod.w.d_b29) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1==f31, rd==x31,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b8698 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fc08574923b8698; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2,FLREG) + +inst_1:// rs1==f30, rd==x30,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b8698 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f30; dest:x30; op1val:0x3fc08574923b8698; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x30, f30, dyn, 32, 0, x3, 1*FLEN/8, x4, x1, x2,FLREG) + +inst_2:// rs1==f29, rd==x29,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b8698 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f29; dest:x29; op1val:0x3fc08574923b8698; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x29, f29, dyn, 64, 0, x3, 2*FLEN/8, x4, x1, x2,FLREG) + +inst_3:// rs1==f28, rd==x28,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b8698 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f28; dest:x28; op1val:0x3fc08574923b8698; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x28, f28, dyn, 96, 0, x3, 3*FLEN/8, x4, x1, x2,FLREG) + +inst_4:// rs1==f27, rd==x27,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b8698 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f27; dest:x27; op1val:0x3fc08574923b8698; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x27, f27, dyn, 128, 0, x3, 4*FLEN/8, x4, x1, x2,FLREG) + +inst_5:// rs1==f26, rd==x26,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b8699 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f26; dest:x26; op1val:0x3fc08574923b8699; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x26, f26, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2,FLREG) + +inst_6:// rs1==f25, rd==x25,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b8699 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f25; dest:x25; op1val:0x3fc08574923b8699; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x25, f25, dyn, 32, 0, x3, 6*FLEN/8, x4, x1, x2,FLREG) + +inst_7:// rs1==f24, rd==x24,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b8699 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f24; dest:x24; op1val:0x3fc08574923b8699; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x24, f24, dyn, 64, 0, x3, 7*FLEN/8, x4, x1, x2,FLREG) + +inst_8:// rs1==f23, rd==x23,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b8699 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f23; dest:x23; op1val:0x3fc08574923b8699; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x23, f23, dyn, 96, 0, x3, 8*FLEN/8, x4, x1, x2,FLREG) + +inst_9:// rs1==f22, rd==x22,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b8699 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f22; dest:x22; op1val:0x3fc08574923b8699; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x22, f22, dyn, 128, 0, x3, 9*FLEN/8, x4, x1, x2,FLREG) + +inst_10:// rs1==f21, rd==x21,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869a and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f21; dest:x21; op1val:0x3fc08574923b869a; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x21, f21, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2,FLREG) + +inst_11:// rs1==f20, rd==x20,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869a and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f20; dest:x20; op1val:0x3fc08574923b869a; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x20, f20, dyn, 32, 0, x3, 11*FLEN/8, x4, x1, x2,FLREG) + +inst_12:// rs1==f19, rd==x19,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869a and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f19; dest:x19; op1val:0x3fc08574923b869a; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x19, f19, dyn, 64, 0, x3, 12*FLEN/8, x4, x1, x2,FLREG) + +inst_13:// rs1==f18, rd==x18,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869a and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f18; dest:x18; op1val:0x3fc08574923b869a; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x18, f18, dyn, 96, 0, x3, 13*FLEN/8, x4, x1, x2,FLREG) + +inst_14:// rs1==f17, rd==x17,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869a and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f17; dest:x17; op1val:0x3fc08574923b869a; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x17, f17, dyn, 128, 0, x3, 14*FLEN/8, x4, x1, x2,FLREG) + +inst_15:// rs1==f16, rd==x16,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869b and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f16; dest:x16; op1val:0x3fc08574923b869b; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x16, f16, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2,FLREG) + +inst_16:// rs1==f15, rd==x15,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869b and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f15; dest:x15; op1val:0x3fc08574923b869b; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x15, f15, dyn, 32, 0, x3, 16*FLEN/8, x4, x1, x2,FLREG) + +inst_17:// rs1==f14, rd==x14,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869b and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f14; dest:x14; op1val:0x3fc08574923b869b; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x14, f14, dyn, 64, 0, x3, 17*FLEN/8, x4, x1, x2,FLREG) + +inst_18:// rs1==f13, rd==x13,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869b and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f13; dest:x13; op1val:0x3fc08574923b869b; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x13, f13, dyn, 96, 0, x3, 18*FLEN/8, x4, x1, x2,FLREG) + +inst_19:// rs1==f12, rd==x12,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869b and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f12; dest:x12; op1val:0x3fc08574923b869b; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x12, f12, dyn, 128, 0, x3, 19*FLEN/8, x4, x1, x2,FLREG) + +inst_20:// rs1==f11, rd==x11,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869c and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f11; dest:x11; op1val:0x3fc08574923b869c; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x11, f11, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2,FLREG) + +inst_21:// rs1==f10, rd==x10,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869c and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f10; dest:x10; op1val:0x3fc08574923b869c; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x10, f10, dyn, 32, 0, x3, 21*FLEN/8, x4, x1, x2,FLREG) + +inst_22:// rs1==f9, rd==x9,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869c and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f9; dest:x9; op1val:0x3fc08574923b869c; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x9, f9, dyn, 64, 0, x3, 22*FLEN/8, x4, x1, x2,FLREG) + +inst_23:// rs1==f8, rd==x8,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869c and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f8; dest:x8; op1val:0x3fc08574923b869c; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x8, f8, dyn, 96, 0, x3, 23*FLEN/8, x4, x1, x2,FLREG) +RVTEST_VALBASEUPD(x8,test_dataset_1) + +inst_24:// rs1==f7, rd==x7,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869c and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f7; dest:x7; op1val:0x3fc08574923b869c; valaddr_reg:x8; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x7, f7, dyn, 128, 0, x8, 0*FLEN/8, x9, x1, x2,FLREG) + +inst_25:// rs1==f6, rd==x6,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869d and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f6; dest:x6; op1val:0x3fc08574923b869d; valaddr_reg:x8; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x6, f6, dyn, 0, 0, x8, 1*FLEN/8, x9, x1, x2,FLREG) + +inst_26:// rs1==f5, rd==x5,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869d and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f5; dest:x5; op1val:0x3fc08574923b869d; valaddr_reg:x8; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x5, f5, dyn, 32, 0, x8, 2*FLEN/8, x9, x1, x6,FLREG) +RVTEST_SIGBASE(x5,signature_x5_0) + +inst_27:// rs1==f4, rd==x4,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869d and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f4; dest:x4; op1val:0x3fc08574923b869d; valaddr_reg:x8; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x4, f4, dyn, 64, 0, x8, 3*FLEN/8, x9, x5, x6,FLREG) + +inst_28:// rs1==f3, rd==x3,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869d and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f3; dest:x3; op1val:0x3fc08574923b869d; valaddr_reg:x8; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x3, f3, dyn, 96, 0, x8, 4*FLEN/8, x9, x5, x6,FLREG) + +inst_29:// rs1==f2, rd==x2,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869d and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f2; dest:x2; op1val:0x3fc08574923b869d; valaddr_reg:x8; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x2, f2, dyn, 128, 0, x8, 5*FLEN/8, x9, x5, x6,FLREG) + +inst_30:// rs1==f1, rd==x1,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f1; dest:x1; op1val:0x3fc08574923b869e; valaddr_reg:x8; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x1, f1, dyn, 0, 0, x8, 6*FLEN/8, x9, x5, x6,FLREG) + +inst_31:// rs1==f0, rd==x0,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f0; dest:x0; op1val:0x3fc08574923b869e; valaddr_reg:x8; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x0, f0, dyn, 32, 0, x8, 7*FLEN/8, x9, x5, x6,FLREG) + +inst_32:// fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fc08574923b869e; valaddr_reg:x8; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 8*FLEN/8, x9, x5, x6,FLREG) + +inst_33:// fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fc08574923b869e; valaddr_reg:x8; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 9*FLEN/8, x9, x5, x6,FLREG) + +inst_34:// fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fc08574923b869e; valaddr_reg:x8; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 10*FLEN/8, x9, x5, x6,FLREG) + +inst_35:// fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869f and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fc08574923b869f; valaddr_reg:x8; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 11*FLEN/8, x9, x5, x6,FLREG) + +inst_36:// fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869f and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fc08574923b869f; valaddr_reg:x8; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 12*FLEN/8, x9, x5, x6,FLREG) + +inst_37:// fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869f and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fc08574923b869f; valaddr_reg:x8; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 13*FLEN/8, x9, x5, x6,FLREG) + +inst_38:// fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869f and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fc08574923b869f; valaddr_reg:x8; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 14*FLEN/8, x9, x5, x6,FLREG) + +inst_39:// fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869f and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fc08574923b869f; valaddr_reg:x8; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 15*FLEN/8, x9, x5, x6,FLREG) + +inst_40:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b8698 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b8698; valaddr_reg:x8; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 16*FLEN/8, x9, x5, x6,FLREG) + +inst_41:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b8698 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b8698; valaddr_reg:x8; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 17*FLEN/8, x9, x5, x6,FLREG) + +inst_42:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b8698 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b8698; valaddr_reg:x8; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 18*FLEN/8, x9, x5, x6,FLREG) + +inst_43:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b8698 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b8698; valaddr_reg:x8; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 19*FLEN/8, x9, x5, x6,FLREG) + +inst_44:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b8698 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b8698; valaddr_reg:x8; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 20*FLEN/8, x9, x5, x6,FLREG) + +inst_45:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b8699 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b8699; valaddr_reg:x8; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 21*FLEN/8, x9, x5, x6,FLREG) + +inst_46:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b8699 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b8699; valaddr_reg:x8; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 22*FLEN/8, x9, x5, x6,FLREG) + +inst_47:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b8699 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b8699; valaddr_reg:x8; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 23*FLEN/8, x9, x5, x6,FLREG) + +inst_48:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b8699 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b8699; valaddr_reg:x8; +val_offset:24*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 24*FLEN/8, x9, x5, x6,FLREG) + +inst_49:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b8699 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b8699; valaddr_reg:x8; +val_offset:25*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 25*FLEN/8, x9, x5, x6,FLREG) + +inst_50:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869a and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869a; valaddr_reg:x8; +val_offset:26*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 26*FLEN/8, x9, x5, x6,FLREG) + +inst_51:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869a and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869a; valaddr_reg:x8; +val_offset:27*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 27*FLEN/8, x9, x5, x6,FLREG) + +inst_52:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869a and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869a; valaddr_reg:x8; +val_offset:28*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 28*FLEN/8, x9, x5, x6,FLREG) + +inst_53:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869a and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869a; valaddr_reg:x8; +val_offset:29*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 29*FLEN/8, x9, x5, x6,FLREG) + +inst_54:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869a and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869a; valaddr_reg:x8; +val_offset:30*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 30*FLEN/8, x9, x5, x6,FLREG) + +inst_55:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869b and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869b; valaddr_reg:x8; +val_offset:31*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 31*FLEN/8, x9, x5, x6,FLREG) + +inst_56:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869b and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869b; valaddr_reg:x8; +val_offset:32*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 32*FLEN/8, x9, x5, x6,FLREG) + +inst_57:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869b and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869b; valaddr_reg:x8; +val_offset:33*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 33*FLEN/8, x9, x5, x6,FLREG) + +inst_58:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869b and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869b; valaddr_reg:x8; +val_offset:34*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 34*FLEN/8, x9, x5, x6,FLREG) + +inst_59:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869b and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869b; valaddr_reg:x8; +val_offset:35*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 35*FLEN/8, x9, x5, x6,FLREG) + +inst_60:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869c and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869c; valaddr_reg:x8; +val_offset:36*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 36*FLEN/8, x9, x5, x6,FLREG) + +inst_61:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869c and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869c; valaddr_reg:x8; +val_offset:37*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 37*FLEN/8, x9, x5, x6,FLREG) + +inst_62:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869c and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869c; valaddr_reg:x8; +val_offset:38*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 38*FLEN/8, x9, x5, x6,FLREG) + +inst_63:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869c and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869c; valaddr_reg:x8; +val_offset:39*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 39*FLEN/8, x9, x5, x6,FLREG) + +inst_64:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869c and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869c; valaddr_reg:x8; +val_offset:40*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 40*FLEN/8, x9, x5, x6,FLREG) + +inst_65:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869d and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869d; valaddr_reg:x8; +val_offset:41*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 41*FLEN/8, x9, x5, x6,FLREG) + +inst_66:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869d and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869d; valaddr_reg:x8; +val_offset:42*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 42*FLEN/8, x9, x5, x6,FLREG) + +inst_67:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869d and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869d; valaddr_reg:x8; +val_offset:43*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 43*FLEN/8, x9, x5, x6,FLREG) + +inst_68:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869d and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869d; valaddr_reg:x8; +val_offset:44*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 44*FLEN/8, x9, x5, x6,FLREG) + +inst_69:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869d and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869d; valaddr_reg:x8; +val_offset:45*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 45*FLEN/8, x9, x5, x6,FLREG) + +inst_70:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869e; valaddr_reg:x8; +val_offset:46*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 46*FLEN/8, x9, x5, x6,FLREG) + +inst_71:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869e; valaddr_reg:x8; +val_offset:47*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 47*FLEN/8, x9, x5, x6,FLREG) + +inst_72:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869e; valaddr_reg:x8; +val_offset:48*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 48*FLEN/8, x9, x5, x6,FLREG) + +inst_73:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869e; valaddr_reg:x8; +val_offset:49*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 49*FLEN/8, x9, x5, x6,FLREG) + +inst_74:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869e; valaddr_reg:x8; +val_offset:50*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 50*FLEN/8, x9, x5, x6,FLREG) + +inst_75:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869f and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869f; valaddr_reg:x8; +val_offset:51*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 51*FLEN/8, x9, x5, x6,FLREG) + +inst_76:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869f and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869f; valaddr_reg:x8; +val_offset:52*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 52*FLEN/8, x9, x5, x6,FLREG) + +inst_77:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869f and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869f; valaddr_reg:x8; +val_offset:53*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 53*FLEN/8, x9, x5, x6,FLREG) + +inst_78:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869f and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869f; valaddr_reg:x8; +val_offset:54*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 54*FLEN/8, x9, x5, x6,FLREG) + +inst_79:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869f and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869f; valaddr_reg:x8; +val_offset:55*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 55*FLEN/8, x9, x5, x6,FLREG) + +inst_80:// fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fc08574923b869e; valaddr_reg:x8; +val_offset:56*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 56*FLEN/8, x9, x5, x6,FLREG) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(4593818355633981080,64,FLEN) +NAN_BOXED(4593818355633981080,64,FLEN) +NAN_BOXED(4593818355633981080,64,FLEN) +NAN_BOXED(4593818355633981080,64,FLEN) +NAN_BOXED(4593818355633981080,64,FLEN) +NAN_BOXED(4593818355633981081,64,FLEN) +NAN_BOXED(4593818355633981081,64,FLEN) +NAN_BOXED(4593818355633981081,64,FLEN) +NAN_BOXED(4593818355633981081,64,FLEN) +NAN_BOXED(4593818355633981081,64,FLEN) +NAN_BOXED(4593818355633981082,64,FLEN) +NAN_BOXED(4593818355633981082,64,FLEN) +NAN_BOXED(4593818355633981082,64,FLEN) +NAN_BOXED(4593818355633981082,64,FLEN) +NAN_BOXED(4593818355633981082,64,FLEN) +NAN_BOXED(4593818355633981083,64,FLEN) +NAN_BOXED(4593818355633981083,64,FLEN) +NAN_BOXED(4593818355633981083,64,FLEN) +NAN_BOXED(4593818355633981083,64,FLEN) +NAN_BOXED(4593818355633981083,64,FLEN) +NAN_BOXED(4593818355633981084,64,FLEN) +NAN_BOXED(4593818355633981084,64,FLEN) +NAN_BOXED(4593818355633981084,64,FLEN) +NAN_BOXED(4593818355633981084,64,FLEN) +test_dataset_1: +NAN_BOXED(4593818355633981084,64,FLEN) +NAN_BOXED(4593818355633981085,64,FLEN) +NAN_BOXED(4593818355633981085,64,FLEN) +NAN_BOXED(4593818355633981085,64,FLEN) +NAN_BOXED(4593818355633981085,64,FLEN) +NAN_BOXED(4593818355633981085,64,FLEN) +NAN_BOXED(4593818355633981086,64,FLEN) +NAN_BOXED(4593818355633981086,64,FLEN) +NAN_BOXED(4593818355633981086,64,FLEN) +NAN_BOXED(4593818355633981086,64,FLEN) +NAN_BOXED(4593818355633981086,64,FLEN) +NAN_BOXED(4593818355633981087,64,FLEN) +NAN_BOXED(4593818355633981087,64,FLEN) +NAN_BOXED(4593818355633981087,64,FLEN) +NAN_BOXED(4593818355633981087,64,FLEN) +NAN_BOXED(4593818355633981087,64,FLEN) +NAN_BOXED(13817190392488756888,64,FLEN) +NAN_BOXED(13817190392488756888,64,FLEN) +NAN_BOXED(13817190392488756888,64,FLEN) +NAN_BOXED(13817190392488756888,64,FLEN) +NAN_BOXED(13817190392488756888,64,FLEN) +NAN_BOXED(13817190392488756889,64,FLEN) +NAN_BOXED(13817190392488756889,64,FLEN) +NAN_BOXED(13817190392488756889,64,FLEN) +NAN_BOXED(13817190392488756889,64,FLEN) +NAN_BOXED(13817190392488756889,64,FLEN) +NAN_BOXED(13817190392488756890,64,FLEN) +NAN_BOXED(13817190392488756890,64,FLEN) +NAN_BOXED(13817190392488756890,64,FLEN) +NAN_BOXED(13817190392488756890,64,FLEN) +NAN_BOXED(13817190392488756890,64,FLEN) +NAN_BOXED(13817190392488756891,64,FLEN) +NAN_BOXED(13817190392488756891,64,FLEN) +NAN_BOXED(13817190392488756891,64,FLEN) +NAN_BOXED(13817190392488756891,64,FLEN) +NAN_BOXED(13817190392488756891,64,FLEN) +NAN_BOXED(13817190392488756892,64,FLEN) +NAN_BOXED(13817190392488756892,64,FLEN) +NAN_BOXED(13817190392488756892,64,FLEN) +NAN_BOXED(13817190392488756892,64,FLEN) +NAN_BOXED(13817190392488756892,64,FLEN) +NAN_BOXED(13817190392488756893,64,FLEN) +NAN_BOXED(13817190392488756893,64,FLEN) +NAN_BOXED(13817190392488756893,64,FLEN) +NAN_BOXED(13817190392488756893,64,FLEN) +NAN_BOXED(13817190392488756893,64,FLEN) +NAN_BOXED(13817190392488756894,64,FLEN) +NAN_BOXED(13817190392488756894,64,FLEN) +NAN_BOXED(13817190392488756894,64,FLEN) +NAN_BOXED(13817190392488756894,64,FLEN) +NAN_BOXED(13817190392488756894,64,FLEN) +NAN_BOXED(13817190392488756895,64,FLEN) +NAN_BOXED(13817190392488756895,64,FLEN) +NAN_BOXED(13817190392488756895,64,FLEN) +NAN_BOXED(13817190392488756895,64,FLEN) +NAN_BOXED(13817190392488756895,64,FLEN) +NAN_BOXED(4593818355633981086,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 54*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x5_0: + .fill 108*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fleq.d_b1-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fleq.d_b1-01.S new file mode 100644 index 000000000..e32655703 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fleq.d_b1-01.S @@ -0,0 +1,4740 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:41:31 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fleq.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fleq.d instruction of the RISC-V RV32FD_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fleq.d_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fleq.d_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 != rs2, rs1==f31, rs2==f30, rd==x31,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x0; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 == rs2, rs1==f29, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f29; op2:f29; dest:x30; op1val:0x0; op2val:0x0; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x30, f29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f30, rs2==f31, rd==x29,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f30; op2:f31; dest:x29; op1val:0x0; op2val:0x1; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x29, f30, f31, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f28; op2:f27; dest:x28; op1val:0x0; op2val:0x8000000000000001; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f27; op2:f28; dest:x27; op1val:0x0; op2val:0x2; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f26; op2:f25; dest:x26; op1val:0x0; op2val:0x8000000000000002; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f25; op2:f26; dest:x25; op1val:0x0; op2val:0xfffffffffffff; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f24; op2:f23; dest:x24; op1val:0x0; op2val:0x800fffffffffffff; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f23; op2:f24; dest:x23; op1val:0x0; op2val:0x10000000000000; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f22; op2:f21; dest:x22; op1val:0x0; op2val:0x8010000000000000; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f21; op2:f22; dest:x21; op1val:0x0; op2val:0x10000000000002; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f20; op2:f19; dest:x20; op1val:0x0; op2val:0x8010000000000002; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f19; op2:f20; dest:x19; op1val:0x0; op2val:0x7fefffffffffffff; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f18; op2:f17; dest:x18; op1val:0x0; op2val:0xffefffffffffffff; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f17; op2:f18; dest:x17; op1val:0x0; op2val:0x7ff0000000000000; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f16; op2:f15; dest:x16; op1val:0x0; op2val:0xfff0000000000000; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f15; op2:f16; dest:x15; op1val:0x0; op2val:0x7ff8000000000000; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f14; op2:f13; dest:x14; op1val:0x0; op2val:0xfff8000000000000; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f13; op2:f14; dest:x13; op1val:0x0; op2val:0x7ff8000000000001; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f12; op2:f11; dest:x12; op1val:0x0; op2val:0xfff8000000000001; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f11; op2:f12; dest:x11; op1val:0x0; op2val:0x7ff0000000000001; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f10; op2:f9; dest:x10; op1val:0x0; op2val:0xfff0000000000001; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f9; op2:f10; dest:x9; op1val:0x0; op2val:0x3ff0000000000000; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f8; op2:f7; dest:x8; op1val:0x0; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f7; op2:f8; dest:x7; op1val:0x8000000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f6; op2:f5; dest:x6; op1val:0x8000000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f5; op2:f6; dest:x5; op1val:0x8000000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f4; op2:f3; dest:x4; op1val:0x8000000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f3; op2:f4; dest:x3; op1val:0x8000000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f2; op2:f1; dest:x2; op1val:0x8000000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f1; op2:f2; dest:x1; op1val:0x8000000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f0; op2:f31; dest:x31; op1val:0x8000000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f0; dest:x31; op1val:0x8000000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x0; op1val:0x8000000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) + +inst_34:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:22*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 22*FLEN/8, x10, x6, x7) + +inst_35:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:24*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 24*FLEN/8, x10, x6, x7) + +inst_36:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:26*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 26*FLEN/8, x10, x6, x7) + +inst_37:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:28*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 28*FLEN/8, x10, x6, x7) + +inst_38:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:30*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 30*FLEN/8, x10, x6, x7) + +inst_39:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:32*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 32*FLEN/8, x10, x6, x7) + +inst_40:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:34*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 34*FLEN/8, x10, x6, x7) + +inst_41:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:36*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 36*FLEN/8, x10, x6, x7) + +inst_42:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:38*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 38*FLEN/8, x10, x6, x7) + +inst_43:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:40*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 40*FLEN/8, x10, x6, x7) + +inst_44:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:42*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 42*FLEN/8, x10, x6, x7) + +inst_45:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:44*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 44*FLEN/8, x10, x6, x7) + +inst_46:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:46*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 46*FLEN/8, x10, x6, x7) + +inst_47:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:48*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 48*FLEN/8, x10, x6, x7) + +inst_48:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x0; +valaddr_reg:x9; val_offset:50*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 50*FLEN/8, x10, x6, x7) + +inst_49:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:52*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 52*FLEN/8, x10, x6, x7) + +inst_50:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x1; +valaddr_reg:x9; val_offset:54*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 54*FLEN/8, x10, x6, x7) + +inst_51:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:56*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 56*FLEN/8, x10, x6, x7) + +inst_52:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x2; +valaddr_reg:x9; val_offset:58*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 58*FLEN/8, x10, x6, x7) + +inst_53:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:60*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 60*FLEN/8, x10, x6, x7) + +inst_54:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:62*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 62*FLEN/8, x10, x6, x7) + +inst_55:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:64*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 64*FLEN/8, x10, x6, x7) + +inst_56:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:66*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 66*FLEN/8, x10, x6, x7) + +inst_57:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:68*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 68*FLEN/8, x10, x6, x7) + +inst_58:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:70*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 70*FLEN/8, x10, x6, x7) + +inst_59:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:72*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 72*FLEN/8, x10, x6, x7) + +inst_60:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:74*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 74*FLEN/8, x10, x6, x7) + +inst_61:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:76*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 76*FLEN/8, x10, x6, x7) + +inst_62:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:78*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 78*FLEN/8, x10, x6, x7) + +inst_63:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:80*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 80*FLEN/8, x10, x6, x7) + +inst_64:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:82*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 82*FLEN/8, x10, x6, x7) + +inst_65:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:84*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 84*FLEN/8, x10, x6, x7) + +inst_66:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:86*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 86*FLEN/8, x10, x6, x7) + +inst_67:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:88*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 88*FLEN/8, x10, x6, x7) + +inst_68:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:90*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 90*FLEN/8, x10, x6, x7) + +inst_69:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:92*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 92*FLEN/8, x10, x6, x7) + +inst_70:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:94*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 94*FLEN/8, x10, x6, x7) + +inst_71:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:96*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 96*FLEN/8, x10, x6, x7) + +inst_72:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x0; +valaddr_reg:x9; val_offset:98*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 98*FLEN/8, x10, x6, x7) + +inst_73:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 100*FLEN/8, x10, x6, x7) + +inst_74:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x1; +valaddr_reg:x9; val_offset:102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 102*FLEN/8, x10, x6, x7) + +inst_75:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 104*FLEN/8, x10, x6, x7) + +inst_76:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x2; +valaddr_reg:x9; val_offset:106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 106*FLEN/8, x10, x6, x7) + +inst_77:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 108*FLEN/8, x10, x6, x7) + +inst_78:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 110*FLEN/8, x10, x6, x7) + +inst_79:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 112*FLEN/8, x10, x6, x7) + +inst_80:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 114*FLEN/8, x10, x6, x7) + +inst_81:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 116*FLEN/8, x10, x6, x7) + +inst_82:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 118*FLEN/8, x10, x6, x7) + +inst_83:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 120*FLEN/8, x10, x6, x7) + +inst_84:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 122*FLEN/8, x10, x6, x7) + +inst_85:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 124*FLEN/8, x10, x6, x7) + +inst_86:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 126*FLEN/8, x10, x6, x7) + +inst_87:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 128*FLEN/8, x10, x6, x7) + +inst_88:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 130*FLEN/8, x10, x6, x7) + +inst_89:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 132*FLEN/8, x10, x6, x7) + +inst_90:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 134*FLEN/8, x10, x6, x7) + +inst_91:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 136*FLEN/8, x10, x6, x7) + +inst_92:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 138*FLEN/8, x10, x6, x7) + +inst_93:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 140*FLEN/8, x10, x6, x7) + +inst_94:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 142*FLEN/8, x10, x6, x7) + +inst_95:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 144*FLEN/8, x10, x6, x7) + +inst_96:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x0; +valaddr_reg:x9; val_offset:146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 146*FLEN/8, x10, x6, x7) + +inst_97:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 148*FLEN/8, x10, x6, x7) + +inst_98:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x1; +valaddr_reg:x9; val_offset:150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 150*FLEN/8, x10, x6, x7) + +inst_99:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 152*FLEN/8, x10, x6, x7) + +inst_100:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x2; +valaddr_reg:x9; val_offset:154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 154*FLEN/8, x10, x6, x7) + +inst_101:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 156*FLEN/8, x10, x6, x7) + +inst_102:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 158*FLEN/8, x10, x6, x7) + +inst_103:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 160*FLEN/8, x10, x6, x7) + +inst_104:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 162*FLEN/8, x10, x6, x7) + +inst_105:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 164*FLEN/8, x10, x6, x7) + +inst_106:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 166*FLEN/8, x10, x6, x7) + +inst_107:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 168*FLEN/8, x10, x6, x7) + +inst_108:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 170*FLEN/8, x10, x6, x7) + +inst_109:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 172*FLEN/8, x10, x6, x7) + +inst_110:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 174*FLEN/8, x10, x6, x7) + +inst_111:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 176*FLEN/8, x10, x6, x7) + +inst_112:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 178*FLEN/8, x10, x6, x7) + +inst_113:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 180*FLEN/8, x10, x6, x7) + +inst_114:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 182*FLEN/8, x10, x6, x7) + +inst_115:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 184*FLEN/8, x10, x6, x7) + +inst_116:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 186*FLEN/8, x10, x6, x7) + +inst_117:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 188*FLEN/8, x10, x6, x7) + +inst_118:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 190*FLEN/8, x10, x6, x7) + +inst_119:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 192*FLEN/8, x10, x6, x7) + +inst_120:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x0; +valaddr_reg:x9; val_offset:194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 194*FLEN/8, x10, x6, x7) + +inst_121:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 196*FLEN/8, x10, x6, x7) + +inst_122:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x1; +valaddr_reg:x9; val_offset:198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 198*FLEN/8, x10, x6, x7) + +inst_123:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 200*FLEN/8, x10, x6, x7) + +inst_124:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x2; +valaddr_reg:x9; val_offset:202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 202*FLEN/8, x10, x6, x7) + +inst_125:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 204*FLEN/8, x10, x6, x7) + +inst_126:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 206*FLEN/8, x10, x6, x7) + +inst_127:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 208*FLEN/8, x10, x6, x7) + +inst_128:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 210*FLEN/8, x10, x6, x7) + +inst_129:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 212*FLEN/8, x10, x6, x7) + +inst_130:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 214*FLEN/8, x10, x6, x7) + +inst_131:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 216*FLEN/8, x10, x6, x7) + +inst_132:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 218*FLEN/8, x10, x6, x7) + +inst_133:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 220*FLEN/8, x10, x6, x7) + +inst_134:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 222*FLEN/8, x10, x6, x7) + +inst_135:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 224*FLEN/8, x10, x6, x7) + +inst_136:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 226*FLEN/8, x10, x6, x7) + +inst_137:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 228*FLEN/8, x10, x6, x7) + +inst_138:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 230*FLEN/8, x10, x6, x7) + +inst_139:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 232*FLEN/8, x10, x6, x7) + +inst_140:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 234*FLEN/8, x10, x6, x7) + +inst_141:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 236*FLEN/8, x10, x6, x7) + +inst_142:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 238*FLEN/8, x10, x6, x7) + +inst_143:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 240*FLEN/8, x10, x6, x7) + +inst_144:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x0; +valaddr_reg:x9; val_offset:242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 242*FLEN/8, x10, x6, x7) + +inst_145:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 244*FLEN/8, x10, x6, x7) + +inst_146:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x1; +valaddr_reg:x9; val_offset:246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 246*FLEN/8, x10, x6, x7) + +inst_147:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 248*FLEN/8, x10, x6, x7) + +inst_148:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x2; +valaddr_reg:x9; val_offset:250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 250*FLEN/8, x10, x6, x7) + +inst_149:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 252*FLEN/8, x10, x6, x7) + +inst_150:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 254*FLEN/8, x10, x6, x7) + +inst_151:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 256*FLEN/8, x10, x6, x7) + +inst_152:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 258*FLEN/8, x10, x6, x7) + +inst_153:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 260*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_1) + +inst_154:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 262*FLEN/8, x10, x6, x7) + +inst_155:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 264*FLEN/8, x10, x6, x7) + +inst_156:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 266*FLEN/8, x10, x6, x7) + +inst_157:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 268*FLEN/8, x10, x6, x7) + +inst_158:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 270*FLEN/8, x10, x6, x7) + +inst_159:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 272*FLEN/8, x10, x6, x7) + +inst_160:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 274*FLEN/8, x10, x6, x7) + +inst_161:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 276*FLEN/8, x10, x6, x7) + +inst_162:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 278*FLEN/8, x10, x6, x7) + +inst_163:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 280*FLEN/8, x10, x6, x7) + +inst_164:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 282*FLEN/8, x10, x6, x7) + +inst_165:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 284*FLEN/8, x10, x6, x7) + +inst_166:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 286*FLEN/8, x10, x6, x7) + +inst_167:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 288*FLEN/8, x10, x6, x7) + +inst_168:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x0; +valaddr_reg:x9; val_offset:290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 290*FLEN/8, x10, x6, x7) + +inst_169:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 292*FLEN/8, x10, x6, x7) + +inst_170:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x1; +valaddr_reg:x9; val_offset:294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 294*FLEN/8, x10, x6, x7) + +inst_171:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 296*FLEN/8, x10, x6, x7) + +inst_172:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x2; +valaddr_reg:x9; val_offset:298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 298*FLEN/8, x10, x6, x7) + +inst_173:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 300*FLEN/8, x10, x6, x7) + +inst_174:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 302*FLEN/8, x10, x6, x7) + +inst_175:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 304*FLEN/8, x10, x6, x7) + +inst_176:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 306*FLEN/8, x10, x6, x7) + +inst_177:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 308*FLEN/8, x10, x6, x7) + +inst_178:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 310*FLEN/8, x10, x6, x7) + +inst_179:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 312*FLEN/8, x10, x6, x7) + +inst_180:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 314*FLEN/8, x10, x6, x7) + +inst_181:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 316*FLEN/8, x10, x6, x7) + +inst_182:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 318*FLEN/8, x10, x6, x7) + +inst_183:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 320*FLEN/8, x10, x6, x7) + +inst_184:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 322*FLEN/8, x10, x6, x7) + +inst_185:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 324*FLEN/8, x10, x6, x7) + +inst_186:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 326*FLEN/8, x10, x6, x7) + +inst_187:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 328*FLEN/8, x10, x6, x7) + +inst_188:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 330*FLEN/8, x10, x6, x7) + +inst_189:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 332*FLEN/8, x10, x6, x7) + +inst_190:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 334*FLEN/8, x10, x6, x7) + +inst_191:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 336*FLEN/8, x10, x6, x7) + +inst_192:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 338*FLEN/8, x10, x6, x7) + +inst_193:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 340*FLEN/8, x10, x6, x7) + +inst_194:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 342*FLEN/8, x10, x6, x7) + +inst_195:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 344*FLEN/8, x10, x6, x7) + +inst_196:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 346*FLEN/8, x10, x6, x7) + +inst_197:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 348*FLEN/8, x10, x6, x7) + +inst_198:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 350*FLEN/8, x10, x6, x7) + +inst_199:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 352*FLEN/8, x10, x6, x7) + +inst_200:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 354*FLEN/8, x10, x6, x7) + +inst_201:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 356*FLEN/8, x10, x6, x7) + +inst_202:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 358*FLEN/8, x10, x6, x7) + +inst_203:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 360*FLEN/8, x10, x6, x7) + +inst_204:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 362*FLEN/8, x10, x6, x7) + +inst_205:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 364*FLEN/8, x10, x6, x7) + +inst_206:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 366*FLEN/8, x10, x6, x7) + +inst_207:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 368*FLEN/8, x10, x6, x7) + +inst_208:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 370*FLEN/8, x10, x6, x7) + +inst_209:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 372*FLEN/8, x10, x6, x7) + +inst_210:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 374*FLEN/8, x10, x6, x7) + +inst_211:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 376*FLEN/8, x10, x6, x7) + +inst_212:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 378*FLEN/8, x10, x6, x7) + +inst_213:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 380*FLEN/8, x10, x6, x7) + +inst_214:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 382*FLEN/8, x10, x6, x7) + +inst_215:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 384*FLEN/8, x10, x6, x7) + +inst_216:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 386*FLEN/8, x10, x6, x7) + +inst_217:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 388*FLEN/8, x10, x6, x7) + +inst_218:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 390*FLEN/8, x10, x6, x7) + +inst_219:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 392*FLEN/8, x10, x6, x7) + +inst_220:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 394*FLEN/8, x10, x6, x7) + +inst_221:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 396*FLEN/8, x10, x6, x7) + +inst_222:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 398*FLEN/8, x10, x6, x7) + +inst_223:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 400*FLEN/8, x10, x6, x7) + +inst_224:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 402*FLEN/8, x10, x6, x7) + +inst_225:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 404*FLEN/8, x10, x6, x7) + +inst_226:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 406*FLEN/8, x10, x6, x7) + +inst_227:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 408*FLEN/8, x10, x6, x7) + +inst_228:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 410*FLEN/8, x10, x6, x7) + +inst_229:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 412*FLEN/8, x10, x6, x7) + +inst_230:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 414*FLEN/8, x10, x6, x7) + +inst_231:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 416*FLEN/8, x10, x6, x7) + +inst_232:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 418*FLEN/8, x10, x6, x7) + +inst_233:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 420*FLEN/8, x10, x6, x7) + +inst_234:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 422*FLEN/8, x10, x6, x7) + +inst_235:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 424*FLEN/8, x10, x6, x7) + +inst_236:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 426*FLEN/8, x10, x6, x7) + +inst_237:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 428*FLEN/8, x10, x6, x7) + +inst_238:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 430*FLEN/8, x10, x6, x7) + +inst_239:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 432*FLEN/8, x10, x6, x7) + +inst_240:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x0; +valaddr_reg:x9; val_offset:434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 434*FLEN/8, x10, x6, x7) + +inst_241:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 436*FLEN/8, x10, x6, x7) + +inst_242:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x1; +valaddr_reg:x9; val_offset:438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 438*FLEN/8, x10, x6, x7) + +inst_243:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 440*FLEN/8, x10, x6, x7) + +inst_244:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x2; +valaddr_reg:x9; val_offset:442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 442*FLEN/8, x10, x6, x7) + +inst_245:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 444*FLEN/8, x10, x6, x7) + +inst_246:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 446*FLEN/8, x10, x6, x7) + +inst_247:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 448*FLEN/8, x10, x6, x7) + +inst_248:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 450*FLEN/8, x10, x6, x7) + +inst_249:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 452*FLEN/8, x10, x6, x7) + +inst_250:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 454*FLEN/8, x10, x6, x7) + +inst_251:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 456*FLEN/8, x10, x6, x7) + +inst_252:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 458*FLEN/8, x10, x6, x7) + +inst_253:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 460*FLEN/8, x10, x6, x7) + +inst_254:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 462*FLEN/8, x10, x6, x7) + +inst_255:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 464*FLEN/8, x10, x6, x7) + +inst_256:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 466*FLEN/8, x10, x6, x7) + +inst_257:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 468*FLEN/8, x10, x6, x7) + +inst_258:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 470*FLEN/8, x10, x6, x7) + +inst_259:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 472*FLEN/8, x10, x6, x7) + +inst_260:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 474*FLEN/8, x10, x6, x7) + +inst_261:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 476*FLEN/8, x10, x6, x7) + +inst_262:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 478*FLEN/8, x10, x6, x7) + +inst_263:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 480*FLEN/8, x10, x6, x7) + +inst_264:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x0; +valaddr_reg:x9; val_offset:482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 482*FLEN/8, x10, x6, x7) + +inst_265:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 484*FLEN/8, x10, x6, x7) + +inst_266:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x1; +valaddr_reg:x9; val_offset:486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 486*FLEN/8, x10, x6, x7) + +inst_267:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 488*FLEN/8, x10, x6, x7) + +inst_268:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x2; +valaddr_reg:x9; val_offset:490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 490*FLEN/8, x10, x6, x7) + +inst_269:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 492*FLEN/8, x10, x6, x7) + +inst_270:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 494*FLEN/8, x10, x6, x7) + +inst_271:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 496*FLEN/8, x10, x6, x7) + +inst_272:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 498*FLEN/8, x10, x6, x7) + +inst_273:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 500*FLEN/8, x10, x6, x7) + +inst_274:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 502*FLEN/8, x10, x6, x7) + +inst_275:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 504*FLEN/8, x10, x6, x7) + +inst_276:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 506*FLEN/8, x10, x6, x7) + +inst_277:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 508*FLEN/8, x10, x6, x7) + +inst_278:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 510*FLEN/8, x10, x6, x7) + +inst_279:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 512*FLEN/8, x10, x6, x7) + +inst_280:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 514*FLEN/8, x10, x6, x7) + +inst_281:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 516*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_2) + +inst_282:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 518*FLEN/8, x10, x6, x7) + +inst_283:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 520*FLEN/8, x10, x6, x7) + +inst_284:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 522*FLEN/8, x10, x6, x7) + +inst_285:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 524*FLEN/8, x10, x6, x7) + +inst_286:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 526*FLEN/8, x10, x6, x7) + +inst_287:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 528*FLEN/8, x10, x6, x7) + +inst_288:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x0; +valaddr_reg:x9; val_offset:530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 530*FLEN/8, x10, x6, x7) + +inst_289:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 532*FLEN/8, x10, x6, x7) + +inst_290:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x1; +valaddr_reg:x9; val_offset:534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 534*FLEN/8, x10, x6, x7) + +inst_291:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 536*FLEN/8, x10, x6, x7) + +inst_292:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x2; +valaddr_reg:x9; val_offset:538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 538*FLEN/8, x10, x6, x7) + +inst_293:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 540*FLEN/8, x10, x6, x7) + +inst_294:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 542*FLEN/8, x10, x6, x7) + +inst_295:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 544*FLEN/8, x10, x6, x7) + +inst_296:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 546*FLEN/8, x10, x6, x7) + +inst_297:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 548*FLEN/8, x10, x6, x7) + +inst_298:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 550*FLEN/8, x10, x6, x7) + +inst_299:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 552*FLEN/8, x10, x6, x7) + +inst_300:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 554*FLEN/8, x10, x6, x7) + +inst_301:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 556*FLEN/8, x10, x6, x7) + +inst_302:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 558*FLEN/8, x10, x6, x7) + +inst_303:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 560*FLEN/8, x10, x6, x7) + +inst_304:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 562*FLEN/8, x10, x6, x7) + +inst_305:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 564*FLEN/8, x10, x6, x7) + +inst_306:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 566*FLEN/8, x10, x6, x7) + +inst_307:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 568*FLEN/8, x10, x6, x7) + +inst_308:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 570*FLEN/8, x10, x6, x7) + +inst_309:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 572*FLEN/8, x10, x6, x7) + +inst_310:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 574*FLEN/8, x10, x6, x7) + +inst_311:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 576*FLEN/8, x10, x6, x7) + +inst_312:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x0; +valaddr_reg:x9; val_offset:578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 578*FLEN/8, x10, x6, x7) + +inst_313:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 580*FLEN/8, x10, x6, x7) + +inst_314:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x1; +valaddr_reg:x9; val_offset:582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 582*FLEN/8, x10, x6, x7) + +inst_315:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 584*FLEN/8, x10, x6, x7) + +inst_316:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x2; +valaddr_reg:x9; val_offset:586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 586*FLEN/8, x10, x6, x7) + +inst_317:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 588*FLEN/8, x10, x6, x7) + +inst_318:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 590*FLEN/8, x10, x6, x7) + +inst_319:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 592*FLEN/8, x10, x6, x7) + +inst_320:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 594*FLEN/8, x10, x6, x7) + +inst_321:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 596*FLEN/8, x10, x6, x7) + +inst_322:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 598*FLEN/8, x10, x6, x7) + +inst_323:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 600*FLEN/8, x10, x6, x7) + +inst_324:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 602*FLEN/8, x10, x6, x7) + +inst_325:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 604*FLEN/8, x10, x6, x7) + +inst_326:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 606*FLEN/8, x10, x6, x7) + +inst_327:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 608*FLEN/8, x10, x6, x7) + +inst_328:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 610*FLEN/8, x10, x6, x7) + +inst_329:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 612*FLEN/8, x10, x6, x7) + +inst_330:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 614*FLEN/8, x10, x6, x7) + +inst_331:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 616*FLEN/8, x10, x6, x7) + +inst_332:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 618*FLEN/8, x10, x6, x7) + +inst_333:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 620*FLEN/8, x10, x6, x7) + +inst_334:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 622*FLEN/8, x10, x6, x7) + +inst_335:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 624*FLEN/8, x10, x6, x7) + +inst_336:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 626*FLEN/8, x10, x6, x7) + +inst_337:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 628*FLEN/8, x10, x6, x7) + +inst_338:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 630*FLEN/8, x10, x6, x7) + +inst_339:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 632*FLEN/8, x10, x6, x7) + +inst_340:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 634*FLEN/8, x10, x6, x7) + +inst_341:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 636*FLEN/8, x10, x6, x7) + +inst_342:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 638*FLEN/8, x10, x6, x7) + +inst_343:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 640*FLEN/8, x10, x6, x7) + +inst_344:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 642*FLEN/8, x10, x6, x7) + +inst_345:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 644*FLEN/8, x10, x6, x7) + +inst_346:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 646*FLEN/8, x10, x6, x7) + +inst_347:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 648*FLEN/8, x10, x6, x7) + +inst_348:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 650*FLEN/8, x10, x6, x7) + +inst_349:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 652*FLEN/8, x10, x6, x7) + +inst_350:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 654*FLEN/8, x10, x6, x7) + +inst_351:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 656*FLEN/8, x10, x6, x7) + +inst_352:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 658*FLEN/8, x10, x6, x7) + +inst_353:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 660*FLEN/8, x10, x6, x7) + +inst_354:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 662*FLEN/8, x10, x6, x7) + +inst_355:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 664*FLEN/8, x10, x6, x7) + +inst_356:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 666*FLEN/8, x10, x6, x7) + +inst_357:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 668*FLEN/8, x10, x6, x7) + +inst_358:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 670*FLEN/8, x10, x6, x7) + +inst_359:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 672*FLEN/8, x10, x6, x7) + +inst_360:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 674*FLEN/8, x10, x6, x7) + +inst_361:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 676*FLEN/8, x10, x6, x7) + +inst_362:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 678*FLEN/8, x10, x6, x7) + +inst_363:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 680*FLEN/8, x10, x6, x7) + +inst_364:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 682*FLEN/8, x10, x6, x7) + +inst_365:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 684*FLEN/8, x10, x6, x7) + +inst_366:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 686*FLEN/8, x10, x6, x7) + +inst_367:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 688*FLEN/8, x10, x6, x7) + +inst_368:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 690*FLEN/8, x10, x6, x7) + +inst_369:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 692*FLEN/8, x10, x6, x7) + +inst_370:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 694*FLEN/8, x10, x6, x7) + +inst_371:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 696*FLEN/8, x10, x6, x7) + +inst_372:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 698*FLEN/8, x10, x6, x7) + +inst_373:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 700*FLEN/8, x10, x6, x7) + +inst_374:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 702*FLEN/8, x10, x6, x7) + +inst_375:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 704*FLEN/8, x10, x6, x7) + +inst_376:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 706*FLEN/8, x10, x6, x7) + +inst_377:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 708*FLEN/8, x10, x6, x7) + +inst_378:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 710*FLEN/8, x10, x6, x7) + +inst_379:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 712*FLEN/8, x10, x6, x7) + +inst_380:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 714*FLEN/8, x10, x6, x7) + +inst_381:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 716*FLEN/8, x10, x6, x7) + +inst_382:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 718*FLEN/8, x10, x6, x7) + +inst_383:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 720*FLEN/8, x10, x6, x7) + +inst_384:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 722*FLEN/8, x10, x6, x7) + +inst_385:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 724*FLEN/8, x10, x6, x7) + +inst_386:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 726*FLEN/8, x10, x6, x7) + +inst_387:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 728*FLEN/8, x10, x6, x7) + +inst_388:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 730*FLEN/8, x10, x6, x7) + +inst_389:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 732*FLEN/8, x10, x6, x7) + +inst_390:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 734*FLEN/8, x10, x6, x7) + +inst_391:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 736*FLEN/8, x10, x6, x7) + +inst_392:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 738*FLEN/8, x10, x6, x7) + +inst_393:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 740*FLEN/8, x10, x6, x7) + +inst_394:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 742*FLEN/8, x10, x6, x7) + +inst_395:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 744*FLEN/8, x10, x6, x7) + +inst_396:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 746*FLEN/8, x10, x6, x7) + +inst_397:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 748*FLEN/8, x10, x6, x7) + +inst_398:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 750*FLEN/8, x10, x6, x7) + +inst_399:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 752*FLEN/8, x10, x6, x7) + +inst_400:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 754*FLEN/8, x10, x6, x7) + +inst_401:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 756*FLEN/8, x10, x6, x7) + +inst_402:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 758*FLEN/8, x10, x6, x7) + +inst_403:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 760*FLEN/8, x10, x6, x7) + +inst_404:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 762*FLEN/8, x10, x6, x7) + +inst_405:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 764*FLEN/8, x10, x6, x7) + +inst_406:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 766*FLEN/8, x10, x6, x7) + +inst_407:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 768*FLEN/8, x10, x6, x7) + +inst_408:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 770*FLEN/8, x10, x6, x7) + +inst_409:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 772*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_3) + +inst_410:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 774*FLEN/8, x10, x6, x7) + +inst_411:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 776*FLEN/8, x10, x6, x7) + +inst_412:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 778*FLEN/8, x10, x6, x7) + +inst_413:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 780*FLEN/8, x10, x6, x7) + +inst_414:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 782*FLEN/8, x10, x6, x7) + +inst_415:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 784*FLEN/8, x10, x6, x7) + +inst_416:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 786*FLEN/8, x10, x6, x7) + +inst_417:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 788*FLEN/8, x10, x6, x7) + +inst_418:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 790*FLEN/8, x10, x6, x7) + +inst_419:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 792*FLEN/8, x10, x6, x7) + +inst_420:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 794*FLEN/8, x10, x6, x7) + +inst_421:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 796*FLEN/8, x10, x6, x7) + +inst_422:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 798*FLEN/8, x10, x6, x7) + +inst_423:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 800*FLEN/8, x10, x6, x7) + +inst_424:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 802*FLEN/8, x10, x6, x7) + +inst_425:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 804*FLEN/8, x10, x6, x7) + +inst_426:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 806*FLEN/8, x10, x6, x7) + +inst_427:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 808*FLEN/8, x10, x6, x7) + +inst_428:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 810*FLEN/8, x10, x6, x7) + +inst_429:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 812*FLEN/8, x10, x6, x7) + +inst_430:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 814*FLEN/8, x10, x6, x7) + +inst_431:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 816*FLEN/8, x10, x6, x7) + +inst_432:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x0; +valaddr_reg:x9; val_offset:818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 818*FLEN/8, x10, x6, x7) + +inst_433:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 820*FLEN/8, x10, x6, x7) + +inst_434:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x1; +valaddr_reg:x9; val_offset:822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 822*FLEN/8, x10, x6, x7) + +inst_435:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 824*FLEN/8, x10, x6, x7) + +inst_436:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x2; +valaddr_reg:x9; val_offset:826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 826*FLEN/8, x10, x6, x7) + +inst_437:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 828*FLEN/8, x10, x6, x7) + +inst_438:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 830*FLEN/8, x10, x6, x7) + +inst_439:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 832*FLEN/8, x10, x6, x7) + +inst_440:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 834*FLEN/8, x10, x6, x7) + +inst_441:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 836*FLEN/8, x10, x6, x7) + +inst_442:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 838*FLEN/8, x10, x6, x7) + +inst_443:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 840*FLEN/8, x10, x6, x7) + +inst_444:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 842*FLEN/8, x10, x6, x7) + +inst_445:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 844*FLEN/8, x10, x6, x7) + +inst_446:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 846*FLEN/8, x10, x6, x7) + +inst_447:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 848*FLEN/8, x10, x6, x7) + +inst_448:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 850*FLEN/8, x10, x6, x7) + +inst_449:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 852*FLEN/8, x10, x6, x7) + +inst_450:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 854*FLEN/8, x10, x6, x7) + +inst_451:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 856*FLEN/8, x10, x6, x7) + +inst_452:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 858*FLEN/8, x10, x6, x7) + +inst_453:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 860*FLEN/8, x10, x6, x7) + +inst_454:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 862*FLEN/8, x10, x6, x7) + +inst_455:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 864*FLEN/8, x10, x6, x7) + +inst_456:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x0; +valaddr_reg:x9; val_offset:866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 866*FLEN/8, x10, x6, x7) + +inst_457:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 868*FLEN/8, x10, x6, x7) + +inst_458:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x1; +valaddr_reg:x9; val_offset:870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 870*FLEN/8, x10, x6, x7) + +inst_459:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 872*FLEN/8, x10, x6, x7) + +inst_460:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x2; +valaddr_reg:x9; val_offset:874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 874*FLEN/8, x10, x6, x7) + +inst_461:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 876*FLEN/8, x10, x6, x7) + +inst_462:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 878*FLEN/8, x10, x6, x7) + +inst_463:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 880*FLEN/8, x10, x6, x7) + +inst_464:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 882*FLEN/8, x10, x6, x7) + +inst_465:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 884*FLEN/8, x10, x6, x7) + +inst_466:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 886*FLEN/8, x10, x6, x7) + +inst_467:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 888*FLEN/8, x10, x6, x7) + +inst_468:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 890*FLEN/8, x10, x6, x7) + +inst_469:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 892*FLEN/8, x10, x6, x7) + +inst_470:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 894*FLEN/8, x10, x6, x7) + +inst_471:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 896*FLEN/8, x10, x6, x7) + +inst_472:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 898*FLEN/8, x10, x6, x7) + +inst_473:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 900*FLEN/8, x10, x6, x7) + +inst_474:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 902*FLEN/8, x10, x6, x7) + +inst_475:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 904*FLEN/8, x10, x6, x7) + +inst_476:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 906*FLEN/8, x10, x6, x7) + +inst_477:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 908*FLEN/8, x10, x6, x7) + +inst_478:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 910*FLEN/8, x10, x6, x7) + +inst_479:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 912*FLEN/8, x10, x6, x7) + +inst_480:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x0; +valaddr_reg:x9; val_offset:914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 914*FLEN/8, x10, x6, x7) + +inst_481:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 916*FLEN/8, x10, x6, x7) + +inst_482:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x1; +valaddr_reg:x9; val_offset:918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 918*FLEN/8, x10, x6, x7) + +inst_483:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 920*FLEN/8, x10, x6, x7) + +inst_484:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x2; +valaddr_reg:x9; val_offset:922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 922*FLEN/8, x10, x6, x7) + +inst_485:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 924*FLEN/8, x10, x6, x7) + +inst_486:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 926*FLEN/8, x10, x6, x7) + +inst_487:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:928*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 928*FLEN/8, x10, x6, x7) + +inst_488:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:930*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 930*FLEN/8, x10, x6, x7) + +inst_489:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:932*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 932*FLEN/8, x10, x6, x7) + +inst_490:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:934*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 934*FLEN/8, x10, x6, x7) + +inst_491:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:936*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 936*FLEN/8, x10, x6, x7) + +inst_492:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:938*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 938*FLEN/8, x10, x6, x7) + +inst_493:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:940*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 940*FLEN/8, x10, x6, x7) + +inst_494:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:942*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 942*FLEN/8, x10, x6, x7) + +inst_495:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:944*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 944*FLEN/8, x10, x6, x7) + +inst_496:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:946*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 946*FLEN/8, x10, x6, x7) + +inst_497:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:948*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 948*FLEN/8, x10, x6, x7) + +inst_498:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:950*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 950*FLEN/8, x10, x6, x7) + +inst_499:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:952*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 952*FLEN/8, x10, x6, x7) + +inst_500:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:954*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 954*FLEN/8, x10, x6, x7) + +inst_501:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:956*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 956*FLEN/8, x10, x6, x7) + +inst_502:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:958*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 958*FLEN/8, x10, x6, x7) + +inst_503:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:960*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 960*FLEN/8, x10, x6, x7) + +inst_504:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x0; +valaddr_reg:x9; val_offset:962*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 962*FLEN/8, x10, x6, x7) + +inst_505:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:964*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 964*FLEN/8, x10, x6, x7) + +inst_506:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x1; +valaddr_reg:x9; val_offset:966*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 966*FLEN/8, x10, x6, x7) + +inst_507:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:968*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 968*FLEN/8, x10, x6, x7) + +inst_508:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x2; +valaddr_reg:x9; val_offset:970*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 970*FLEN/8, x10, x6, x7) + +inst_509:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:972*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 972*FLEN/8, x10, x6, x7) + +inst_510:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:974*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 974*FLEN/8, x10, x6, x7) + +inst_511:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:976*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 976*FLEN/8, x10, x6, x7) + +inst_512:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:978*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 978*FLEN/8, x10, x6, x7) + +inst_513:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:980*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 980*FLEN/8, x10, x6, x7) + +inst_514:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:982*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 982*FLEN/8, x10, x6, x7) + +inst_515:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:984*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 984*FLEN/8, x10, x6, x7) + +inst_516:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:986*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 986*FLEN/8, x10, x6, x7) + +inst_517:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:988*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 988*FLEN/8, x10, x6, x7) + +inst_518:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:990*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 990*FLEN/8, x10, x6, x7) + +inst_519:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:992*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 992*FLEN/8, x10, x6, x7) + +inst_520:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:994*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 994*FLEN/8, x10, x6, x7) + +inst_521:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:996*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 996*FLEN/8, x10, x6, x7) + +inst_522:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:998*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 998*FLEN/8, x10, x6, x7) + +inst_523:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:1000*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1000*FLEN/8, x10, x6, x7) + +inst_524:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:1002*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1002*FLEN/8, x10, x6, x7) + +inst_525:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:1004*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1004*FLEN/8, x10, x6, x7) + +inst_526:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:1006*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1006*FLEN/8, x10, x6, x7) + +inst_527:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:1008*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1008*FLEN/8, x10, x6, x7) + +inst_528:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:1010*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1010*FLEN/8, x10, x6, x7) + +inst_529:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:1012*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1012*FLEN/8, x10, x6, x7) + +inst_530:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:1014*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1014*FLEN/8, x10, x6, x7) + +inst_531:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:1016*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1016*FLEN/8, x10, x6, x7) + +inst_532:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:1018*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1018*FLEN/8, x10, x6, x7) + +inst_533:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:1020*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1020*FLEN/8, x10, x6, x7) + +inst_534:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:1022*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1022*FLEN/8, x10, x6, x7) + +inst_535:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:1024*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1024*FLEN/8, x10, x6, x7) + +inst_536:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:1026*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1026*FLEN/8, x10, x6, x7) + +inst_537:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:1028*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1028*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_4) + +inst_538:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:1030*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1030*FLEN/8, x10, x6, x7) + +inst_539:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:1032*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1032*FLEN/8, x10, x6, x7) + +inst_540:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:1034*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1034*FLEN/8, x10, x6, x7) + +inst_541:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:1036*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1036*FLEN/8, x10, x6, x7) + +inst_542:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:1038*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1038*FLEN/8, x10, x6, x7) + +inst_543:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1040*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1040*FLEN/8, x10, x6, x7) + +inst_544:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:1042*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1042*FLEN/8, x10, x6, x7) + +inst_545:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:1044*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1044*FLEN/8, x10, x6, x7) + +inst_546:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:1046*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1046*FLEN/8, x10, x6, x7) + +inst_547:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:1048*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1048*FLEN/8, x10, x6, x7) + +inst_548:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:1050*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1050*FLEN/8, x10, x6, x7) + +inst_549:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:1052*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1052*FLEN/8, x10, x6, x7) + +inst_550:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:1054*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1054*FLEN/8, x10, x6, x7) + +inst_551:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:1056*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1056*FLEN/8, x10, x6, x7) + +inst_552:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:1058*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1058*FLEN/8, x10, x6, x7) + +inst_553:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:1060*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1060*FLEN/8, x10, x6, x7) + +inst_554:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:1062*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1062*FLEN/8, x10, x6, x7) + +inst_555:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:1064*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1064*FLEN/8, x10, x6, x7) + +inst_556:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:1066*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1066*FLEN/8, x10, x6, x7) + +inst_557:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:1068*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1068*FLEN/8, x10, x6, x7) + +inst_558:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:1070*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1070*FLEN/8, x10, x6, x7) + +inst_559:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:1072*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1072*FLEN/8, x10, x6, x7) + +inst_560:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:1074*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1074*FLEN/8, x10, x6, x7) + +inst_561:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:1076*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1076*FLEN/8, x10, x6, x7) + +inst_562:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:1078*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1078*FLEN/8, x10, x6, x7) + +inst_563:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:1080*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1080*FLEN/8, x10, x6, x7) + +inst_564:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:1082*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1082*FLEN/8, x10, x6, x7) + +inst_565:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:1084*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1084*FLEN/8, x10, x6, x7) + +inst_566:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:1086*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1086*FLEN/8, x10, x6, x7) + +inst_567:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1088*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1088*FLEN/8, x10, x6, x7) + +inst_568:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:1090*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1090*FLEN/8, x10, x6, x7) + +inst_569:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:1092*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1092*FLEN/8, x10, x6, x7) + +inst_570:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:1094*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1094*FLEN/8, x10, x6, x7) + +inst_571:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:1096*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1096*FLEN/8, x10, x6, x7) + +inst_572:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:1098*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1098*FLEN/8, x10, x6, x7) + +inst_573:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:1100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1100*FLEN/8, x10, x6, x7) + +inst_574:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:1102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1102*FLEN/8, x10, x6, x7) + +inst_575:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:1104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1104*FLEN/8, x10, x6, x7) + +inst_576:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:1106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1106*FLEN/8, x10, x6, x7) + +inst_577:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:1108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1108*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +test_dataset_1: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_4: + .fill 80*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fleq.d_b19-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fleq.d_b19-01.S new file mode 100644 index 000000000..5efbb6257 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fleq.d_b19-01.S @@ -0,0 +1,8928 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:41:31 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fleq.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fleq.d instruction of the RISC-V RV32FD_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fleq.d_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fleq.d_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 != rs2, rs1==f31, rs2==f30, rd==x31,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x7fce759ff97b7507; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 == rs2, rs1==f29, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f29; op2:f29; dest:x30; op1val:0x7fce759ff97b7507; op2val:0x7fce759ff97b7507; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x30, f29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f30, rs2==f31, rd==x29,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x004b878423be8 and fcsr == 0 +/* opcode: fleq.d ; op1:f30; op2:f31; dest:x29; op1val:0x7ff0000000000000; op2val:0x7fb004b878423be8; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x29, f30, f31, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x004b878423be8 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f28; op2:f27; dest:x28; op1val:0x7fb004b878423be8; op2val:0x7ff0000000000000; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f27; op2:f28; dest:x27; op1val:0x7ff0000000000000; op2val:0x7fe405e69652cae2; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f26; op2:f25; dest:x26; op1val:0x7fce759ff97b7507; op2val:0x7ff0000000000000; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f25; op2:f26; dest:x25; op1val:0x7fce759ff97b7507; op2val:0x7fd09941946801c5; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f24; op2:f23; dest:x24; op1val:0x7fd09941946801c5; op2val:0x7fce759ff97b7507; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f23; op2:f24; dest:x23; op1val:0x7fce759ff97b7507; op2val:0x7feac44ace32d282; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x569d571c24201 and fcsr == 0 +/* opcode: fleq.d ; op1:f22; op2:f21; dest:x22; op1val:0x7ff0000000000000; op2val:0x7fb569d571c24201; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x569d571c24201 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f21; op2:f22; dest:x21; op1val:0x7fb569d571c24201; op2val:0x7ff0000000000000; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f20; op2:f19; dest:x20; op1val:0x7ff0000000000000; op2val:0x7feac44ace32d282; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f19; op2:f20; dest:x19; op1val:0x7fce759ff97b7507; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x0409f707c3583 and fcsr == 0 +/* opcode: fleq.d ; op1:f18; op2:f17; dest:x18; op1val:0x7ff0000000000000; op2val:0x7fb0409f707c3583; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x0409f707c3583 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f17; op2:f18; dest:x17; op1val:0x7fb0409f707c3583; op2val:0x7ff0000000000000; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f16; op2:f15; dest:x16; op1val:0x7ff0000000000000; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f15; op2:f16; dest:x15; op1val:0x7fce759ff97b7507; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f14; op2:f13; dest:x14; op1val:0xffdd2b592ef4e4e6; op2val:0x7fce759ff97b7507; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f13; op2:f14; dest:x13; op1val:0x7fce759ff97b7507; op2val:0xffede7300593ddb7; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x7ec266adcb15f and fcsr == 0 +/* opcode: fleq.d ; op1:f12; op2:f11; dest:x12; op1val:0x7ff0000000000000; op2val:0xffb7ec266adcb15f; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7ec266adcb15f and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f11; op2:f12; dest:x11; op1val:0xffb7ec266adcb15f; op2val:0x7ff0000000000000; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f10; op2:f9; dest:x10; op1val:0x7ff0000000000000; op2val:0xffede7300593ddb7; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f9; op2:f10; dest:x9; op1val:0x7fce759ff97b7507; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x399e37c2fb926 and fcsr == 0 +/* opcode: fleq.d ; op1:f8; op2:f7; dest:x8; op1val:0x7ff0000000000000; op2val:0xffb399e37c2fb926; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 1 and fe1 == 0x7fb and fm1 == 0x399e37c2fb926 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f7; op2:f8; dest:x7; op1val:0xffb399e37c2fb926; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f6; op2:f5; dest:x6; op1val:0x7ff0000000000000; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f5; op2:f6; dest:x5; op1val:0x7fce759ff97b7507; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f4; op2:f3; dest:x4; op1val:0xffe0c1b6ea69558e; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f3; op2:f4; dest:x3; op1val:0x7fce759ff97b7507; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f2; op2:f1; dest:x2; op1val:0xffc0e3e4312fc728; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f1; op2:f2; dest:x1; op1val:0x7fce759ff97b7507; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 0 and fe1 == 0x000 and fm1 == 0x3137cb6875068 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xd4e5c31a3975f and fcsr == 0 +/* opcode: fleq.d ; op1:f0; op2:f31; dest:x31; op1val:0x3137cb6875068; op2val:0x7fdd4e5c31a3975f; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 0 and fe1 == 0x7fd and fm1 == 0xd4e5c31a3975f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x3137cb6875068 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f0; dest:x31; op1val:0x7fdd4e5c31a3975f; op2val:0x3137cb6875068; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 0 and fe1 == 0x000 and fm1 == 0x3137cb6875068 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x0; op1val:0x3137cb6875068; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) + +inst_34:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x3137cb6875068 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x3137cb6875068; +valaddr_reg:x9; val_offset:22*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 22*FLEN/8, x10, x6, x7) + +inst_35:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:24*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 24*FLEN/8, x10, x6, x7) + +inst_36:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x04ebfabda54d7 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x4dcb3b62b25ff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x4ebfabda54d7; op2val:0x7fc4dcb3b62b25ff; +valaddr_reg:x9; val_offset:26*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 26*FLEN/8, x10, x6, x7) + +inst_37:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x4dcb3b62b25ff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x04ebfabda54d7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fc4dcb3b62b25ff; op2val:0x4ebfabda54d7; +valaddr_reg:x9; val_offset:28*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 28*FLEN/8, x10, x6, x7) + +inst_38:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x04ebfabda54d7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x4ebfabda54d7; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:30*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 30*FLEN/8, x10, x6, x7) + +inst_39:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x04ebfabda54d7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x4ebfabda54d7; +valaddr_reg:x9; val_offset:32*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 32*FLEN/8, x10, x6, x7) + +inst_40:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:34*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 34*FLEN/8, x10, x6, x7) + +inst_41:// fs1 == 0 and fe1 == 0x001 and fm1 == 0xec2df2149240f and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xf8c50a18d0c04 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ec2df2149240f; op2val:0x7fbf8c50a18d0c04; +valaddr_reg:x9; val_offset:36*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 36*FLEN/8, x10, x6, x7) + +inst_42:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xf8c50a18d0c04 and fs2 == 0 and fe2 == 0x001 and fm2 == 0xec2df2149240f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fbf8c50a18d0c04; op2val:0x1ec2df2149240f; +valaddr_reg:x9; val_offset:38*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 38*FLEN/8, x10, x6, x7) + +inst_43:// fs1 == 0 and fe1 == 0x001 and fm1 == 0xec2df2149240f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ec2df2149240f; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:40*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 40*FLEN/8, x10, x6, x7) + +inst_44:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x001 and fm2 == 0xec2df2149240f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x1ec2df2149240f; +valaddr_reg:x9; val_offset:42*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 42*FLEN/8, x10, x6, x7) + +inst_45:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:44*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 44*FLEN/8, x10, x6, x7) + +inst_46:// fs1 == 0 and fe1 == 0x001 and fm1 == 0xec2df2149240f and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x43fe46d2b7ce6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ec2df2149240f; op2val:0x7fb43fe46d2b7ce6; +valaddr_reg:x9; val_offset:46*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 46*FLEN/8, x10, x6, x7) + +inst_47:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x43fe46d2b7ce6 and fs2 == 0 and fe2 == 0x001 and fm2 == 0xec2df2149240f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb43fe46d2b7ce6; op2val:0x1ec2df2149240f; +valaddr_reg:x9; val_offset:48*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 48*FLEN/8, x10, x6, x7) + +inst_48:// fs1 == 0 and fe1 == 0x001 and fm1 == 0xec2df2149240f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ec2df2149240f; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:50*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 50*FLEN/8, x10, x6, x7) + +inst_49:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:52*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 52*FLEN/8, x10, x6, x7) + +inst_50:// fs1 == 0 and fe1 == 0x001 and fm1 == 0xec2df2149240f and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x093dbe3aa0387 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ec2df2149240f; op2val:0x7fb093dbe3aa0387; +valaddr_reg:x9; val_offset:54*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 54*FLEN/8, x10, x6, x7) + +inst_51:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x093dbe3aa0387 and fs2 == 0 and fe2 == 0x001 and fm2 == 0xec2df2149240f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb093dbe3aa0387; op2val:0x1ec2df2149240f; +valaddr_reg:x9; val_offset:56*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 56*FLEN/8, x10, x6, x7) + +inst_52:// fs1 == 0 and fe1 == 0x001 and fm1 == 0xec2df2149240f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ec2df2149240f; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:58*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 58*FLEN/8, x10, x6, x7) + +inst_53:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:60*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 60*FLEN/8, x10, x6, x7) + +inst_54:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x3137cb6875068 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xf6025caa2d205 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3137cb6875068; op2val:0xffdf6025caa2d205; +valaddr_reg:x9; val_offset:62*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 62*FLEN/8, x10, x6, x7) + +inst_55:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xf6025caa2d205 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x3137cb6875068 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdf6025caa2d205; op2val:0x3137cb6875068; +valaddr_reg:x9; val_offset:64*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 64*FLEN/8, x10, x6, x7) + +inst_56:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x3137cb6875068 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3137cb6875068; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:66*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 66*FLEN/8, x10, x6, x7) + +inst_57:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:68*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 68*FLEN/8, x10, x6, x7) + +inst_58:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x3137cb6875068 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x9bff6a8783cf3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3137cb6875068; op2val:0xffc9bff6a8783cf3; +valaddr_reg:x9; val_offset:70*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 70*FLEN/8, x10, x6, x7) + +inst_59:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x9bff6a8783cf3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x3137cb6875068 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc9bff6a8783cf3; op2val:0x3137cb6875068; +valaddr_reg:x9; val_offset:72*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 72*FLEN/8, x10, x6, x7) + +inst_60:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x3137cb6875068 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3137cb6875068; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:74*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 74*FLEN/8, x10, x6, x7) + +inst_61:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:76*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 76*FLEN/8, x10, x6, x7) + +inst_62:// fs1 == 0 and fe1 == 0x001 and fm1 == 0xec2df2149240f and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x0c90875ccb5d8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ec2df2149240f; op2val:0xffb0c90875ccb5d8; +valaddr_reg:x9; val_offset:78*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 78*FLEN/8, x10, x6, x7) + +inst_63:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x0c90875ccb5d8 and fs2 == 0 and fe2 == 0x001 and fm2 == 0xec2df2149240f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb0c90875ccb5d8; op2val:0x1ec2df2149240f; +valaddr_reg:x9; val_offset:80*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 80*FLEN/8, x10, x6, x7) + +inst_64:// fs1 == 0 and fe1 == 0x001 and fm1 == 0xec2df2149240f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ec2df2149240f; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:82*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 82*FLEN/8, x10, x6, x7) + +inst_65:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:84*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 84*FLEN/8, x10, x6, x7) + +inst_66:// fs1 == 0 and fe1 == 0x001 and fm1 == 0xec2df2149240f and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xae9e55abc765f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ec2df2149240f; op2val:0xffbae9e55abc765f; +valaddr_reg:x9; val_offset:86*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 86*FLEN/8, x10, x6, x7) + +inst_67:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xae9e55abc765f and fs2 == 0 and fe2 == 0x001 and fm2 == 0xec2df2149240f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbae9e55abc765f; op2val:0x1ec2df2149240f; +valaddr_reg:x9; val_offset:88*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 88*FLEN/8, x10, x6, x7) + +inst_68:// fs1 == 0 and fe1 == 0x001 and fm1 == 0xec2df2149240f and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ec2df2149240f; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:90*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 90*FLEN/8, x10, x6, x7) + +inst_69:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:92*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 92*FLEN/8, x10, x6, x7) + +inst_70:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x3137cb6875068 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x47f2e5cadc271 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3137cb6875068; op2val:0xffd47f2e5cadc271; +valaddr_reg:x9; val_offset:94*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 94*FLEN/8, x10, x6, x7) + +inst_71:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x47f2e5cadc271 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x3137cb6875068 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd47f2e5cadc271; op2val:0x3137cb6875068; +valaddr_reg:x9; val_offset:96*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 96*FLEN/8, x10, x6, x7) + +inst_72:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x3137cb6875068 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3137cb6875068; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:98*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 98*FLEN/8, x10, x6, x7) + +inst_73:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x0; +valaddr_reg:x9; val_offset:100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 100*FLEN/8, x10, x6, x7) + +inst_74:// fs1 == 0 and fe1 == 0x401 and fm1 == 0x11c8af0ae0986 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x40111c8af0ae0986; op2val:0x0; +valaddr_reg:x9; val_offset:102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 102*FLEN/8, x10, x6, x7) + +inst_75:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x401 and fm2 == 0x11c8af0ae0986 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x40111c8af0ae0986; +valaddr_reg:x9; val_offset:104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 104*FLEN/8, x10, x6, x7) + +inst_76:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x401 and fm2 == 0x11c8af0ae0986 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x40111c8af0ae0986; +valaddr_reg:x9; val_offset:106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 106*FLEN/8, x10, x6, x7) + +inst_77:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 108*FLEN/8, x10, x6, x7) + +inst_78:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x004b878423be8 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb004b878423be8; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 110*FLEN/8, x10, x6, x7) + +inst_79:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x004b878423be8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x7fb004b878423be8; +valaddr_reg:x9; val_offset:112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 112*FLEN/8, x10, x6, x7) + +inst_80:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 114*FLEN/8, x10, x6, x7) + +inst_81:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 116*FLEN/8, x10, x6, x7) + +inst_82:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x004b878423be8 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb004b878423be8; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 118*FLEN/8, x10, x6, x7) + +inst_83:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 120*FLEN/8, x10, x6, x7) + +inst_84:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 122*FLEN/8, x10, x6, x7) + +inst_85:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 124*FLEN/8, x10, x6, x7) + +inst_86:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 126*FLEN/8, x10, x6, x7) + +inst_87:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 128*FLEN/8, x10, x6, x7) + +inst_88:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x004b878423be8 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb004b878423be8; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 130*FLEN/8, x10, x6, x7) + +inst_89:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x004b878423be8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fb004b878423be8; +valaddr_reg:x9; val_offset:132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 132*FLEN/8, x10, x6, x7) + +inst_90:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x004b878423be8 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb004b878423be8; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 134*FLEN/8, x10, x6, x7) + +inst_91:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 136*FLEN/8, x10, x6, x7) + +inst_92:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 138*FLEN/8, x10, x6, x7) + +inst_93:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 140*FLEN/8, x10, x6, x7) + +inst_94:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 142*FLEN/8, x10, x6, x7) + +inst_95:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 144*FLEN/8, x10, x6, x7) + +inst_96:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x004b878423be8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb004b878423be8; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 146*FLEN/8, x10, x6, x7) + +inst_97:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 148*FLEN/8, x10, x6, x7) + +inst_98:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x004b878423be8 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb004b878423be8; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 150*FLEN/8, x10, x6, x7) + +inst_99:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 152*FLEN/8, x10, x6, x7) + +inst_100:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0cf11346ee18e and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xcf11346ee18e; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 154*FLEN/8, x10, x6, x7) + +inst_101:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0cf11346ee18e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xcf11346ee18e; +valaddr_reg:x9; val_offset:156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 156*FLEN/8, x10, x6, x7) + +inst_102:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0cf11346ee18e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xcf11346ee18e; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 158*FLEN/8, x10, x6, x7) + +inst_103:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0cf11346ee18e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0xcf11346ee18e; +valaddr_reg:x9; val_offset:160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 160*FLEN/8, x10, x6, x7) + +inst_104:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 162*FLEN/8, x10, x6, x7) + +inst_105:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x014b4eba4b028 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x14b4eba4b028; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 164*FLEN/8, x10, x6, x7) + +inst_106:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x014b4eba4b028 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x14b4eba4b028; +valaddr_reg:x9; val_offset:166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 166*FLEN/8, x10, x6, x7) + +inst_107:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x014b4eba4b028 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x14b4eba4b028; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 168*FLEN/8, x10, x6, x7) + +inst_108:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x014b4eba4b028 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x14b4eba4b028; +valaddr_reg:x9; val_offset:170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 170*FLEN/8, x10, x6, x7) + +inst_109:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 172*FLEN/8, x10, x6, x7) + +inst_110:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x816ac0c54cf8a and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x816ac0c54cf8a; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 174*FLEN/8, x10, x6, x7) + +inst_111:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x816ac0c54cf8a and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x816ac0c54cf8a; +valaddr_reg:x9; val_offset:176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 176*FLEN/8, x10, x6, x7) + +inst_112:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x816ac0c54cf8a and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x816ac0c54cf8a; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 178*FLEN/8, x10, x6, x7) + +inst_113:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x816ac0c54cf8a and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x816ac0c54cf8a; +valaddr_reg:x9; val_offset:180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 180*FLEN/8, x10, x6, x7) + +inst_114:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 182*FLEN/8, x10, x6, x7) + +inst_115:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x816ac0c54cf8a and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x94fdd88765c1f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x816ac0c54cf8a; op2val:0x7fe94fdd88765c1f; +valaddr_reg:x9; val_offset:184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 184*FLEN/8, x10, x6, x7) + +inst_116:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x94fdd88765c1f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x816ac0c54cf8a and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe94fdd88765c1f; op2val:0x816ac0c54cf8a; +valaddr_reg:x9; val_offset:186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 186*FLEN/8, x10, x6, x7) + +inst_117:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x816ac0c54cf8a and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x816ac0c54cf8a; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 188*FLEN/8, x10, x6, x7) + +inst_118:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 190*FLEN/8, x10, x6, x7) + +inst_119:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x816ac0c54cf8a and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x4b8d2dc948469 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x816ac0c54cf8a; op2val:0x7fe4b8d2dc948469; +valaddr_reg:x9; val_offset:192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 192*FLEN/8, x10, x6, x7) + +inst_120:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x4b8d2dc948469 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x816ac0c54cf8a and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe4b8d2dc948469; op2val:0x816ac0c54cf8a; +valaddr_reg:x9; val_offset:194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 194*FLEN/8, x10, x6, x7) + +inst_121:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x816ac0c54cf8a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x816ac0c54cf8a; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 196*FLEN/8, x10, x6, x7) + +inst_122:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 198*FLEN/8, x10, x6, x7) + +inst_123:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0cf11346ee18e and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xcf11346ee18e; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 200*FLEN/8, x10, x6, x7) + +inst_124:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0cf11346ee18e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xcf11346ee18e; +valaddr_reg:x9; val_offset:202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 202*FLEN/8, x10, x6, x7) + +inst_125:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0cf11346ee18e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xcf11346ee18e; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 204*FLEN/8, x10, x6, x7) + +inst_126:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 206*FLEN/8, x10, x6, x7) + +inst_127:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0cf11346ee18e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xcf11346ee18e; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 208*FLEN/8, x10, x6, x7) + +inst_128:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 210*FLEN/8, x10, x6, x7) + +inst_129:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x816ac0c54cf8a and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x4fb4a933fe34f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x816ac0c54cf8a; op2val:0xffe4fb4a933fe34f; +valaddr_reg:x9; val_offset:212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 212*FLEN/8, x10, x6, x7) + +inst_130:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x4fb4a933fe34f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x816ac0c54cf8a and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe4fb4a933fe34f; op2val:0x816ac0c54cf8a; +valaddr_reg:x9; val_offset:214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 214*FLEN/8, x10, x6, x7) + +inst_131:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x816ac0c54cf8a and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x816ac0c54cf8a; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 216*FLEN/8, x10, x6, x7) + +inst_132:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 218*FLEN/8, x10, x6, x7) + +inst_133:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x816ac0c54cf8a and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x816ac0c54cf8a; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 220*FLEN/8, x10, x6, x7) + +inst_134:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x816ac0c54cf8a and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x816ac0c54cf8a; +valaddr_reg:x9; val_offset:222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 222*FLEN/8, x10, x6, x7) + +inst_135:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x816ac0c54cf8a and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x816ac0c54cf8a; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 224*FLEN/8, x10, x6, x7) + +inst_136:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 226*FLEN/8, x10, x6, x7) + +inst_137:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0cf11346ee18e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xcf11346ee18e; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 228*FLEN/8, x10, x6, x7) + +inst_138:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x0; +valaddr_reg:x9; val_offset:230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 230*FLEN/8, x10, x6, x7) + +inst_139:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x1ff65f57ff366 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff1ff65f57ff366; op2val:0x0; +valaddr_reg:x9; val_offset:232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 232*FLEN/8, x10, x6, x7) + +inst_140:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x1ff65f57ff366 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ff1ff65f57ff366; +valaddr_reg:x9; val_offset:234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 234*FLEN/8, x10, x6, x7) + +inst_141:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x1ff65f57ff366 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x3ff1ff65f57ff366; +valaddr_reg:x9; val_offset:236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 236*FLEN/8, x10, x6, x7) + +inst_142:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 238*FLEN/8, x10, x6, x7) + +inst_143:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 240*FLEN/8, x10, x6, x7) + +inst_144:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 242*FLEN/8, x10, x6, x7) + +inst_145:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 244*FLEN/8, x10, x6, x7) + +inst_146:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 246*FLEN/8, x10, x6, x7) + +inst_147:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 248*FLEN/8, x10, x6, x7) + +inst_148:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 250*FLEN/8, x10, x6, x7) + +inst_149:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 252*FLEN/8, x10, x6, x7) + +inst_150:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 254*FLEN/8, x10, x6, x7) + +inst_151:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 256*FLEN/8, x10, x6, x7) + +inst_152:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 258*FLEN/8, x10, x6, x7) + +inst_153:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 260*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_1) + +inst_154:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 262*FLEN/8, x10, x6, x7) + +inst_155:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 264*FLEN/8, x10, x6, x7) + +inst_156:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x35a452e11324d and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xd4e5c31a3975f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35a452e11324d; op2val:0x7fdd4e5c31a3975f; +valaddr_reg:x9; val_offset:266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 266*FLEN/8, x10, x6, x7) + +inst_157:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xd4e5c31a3975f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x35a452e11324d and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdd4e5c31a3975f; op2val:0x35a452e11324d; +valaddr_reg:x9; val_offset:268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 268*FLEN/8, x10, x6, x7) + +inst_158:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x35a452e11324d and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35a452e11324d; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 270*FLEN/8, x10, x6, x7) + +inst_159:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x35a452e11324d and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x35a452e11324d; +valaddr_reg:x9; val_offset:272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 272*FLEN/8, x10, x6, x7) + +inst_160:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 274*FLEN/8, x10, x6, x7) + +inst_161:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x055d3b7ce8508 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x4dcb3b62b25ff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x55d3b7ce8508; op2val:0x7fc4dcb3b62b25ff; +valaddr_reg:x9; val_offset:276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 276*FLEN/8, x10, x6, x7) + +inst_162:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x4dcb3b62b25ff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x055d3b7ce8508 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fc4dcb3b62b25ff; op2val:0x55d3b7ce8508; +valaddr_reg:x9; val_offset:278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 278*FLEN/8, x10, x6, x7) + +inst_163:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x055d3b7ce8508 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x55d3b7ce8508; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 280*FLEN/8, x10, x6, x7) + +inst_164:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x055d3b7ce8508 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x55d3b7ce8508; +valaddr_reg:x9; val_offset:282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 282*FLEN/8, x10, x6, x7) + +inst_165:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 284*FLEN/8, x10, x6, x7) + +inst_166:// fs1 == 0 and fe1 == 0x002 and fm1 == 0x0c359e655fb81 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xf8c50a18d0c04 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x20c359e655fb81; op2val:0x7fbf8c50a18d0c04; +valaddr_reg:x9; val_offset:286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 286*FLEN/8, x10, x6, x7) + +inst_167:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xf8c50a18d0c04 and fs2 == 0 and fe2 == 0x002 and fm2 == 0x0c359e655fb81 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fbf8c50a18d0c04; op2val:0x20c359e655fb81; +valaddr_reg:x9; val_offset:288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 288*FLEN/8, x10, x6, x7) + +inst_168:// fs1 == 0 and fe1 == 0x002 and fm1 == 0x0c359e655fb81 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x20c359e655fb81; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 290*FLEN/8, x10, x6, x7) + +inst_169:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x002 and fm2 == 0x0c359e655fb81 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x20c359e655fb81; +valaddr_reg:x9; val_offset:292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 292*FLEN/8, x10, x6, x7) + +inst_170:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 294*FLEN/8, x10, x6, x7) + +inst_171:// fs1 == 0 and fe1 == 0x002 and fm1 == 0x0c359e655fb81 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x43fe46d2b7ce6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x20c359e655fb81; op2val:0x7fb43fe46d2b7ce6; +valaddr_reg:x9; val_offset:296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 296*FLEN/8, x10, x6, x7) + +inst_172:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x43fe46d2b7ce6 and fs2 == 0 and fe2 == 0x002 and fm2 == 0x0c359e655fb81 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb43fe46d2b7ce6; op2val:0x20c359e655fb81; +valaddr_reg:x9; val_offset:298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 298*FLEN/8, x10, x6, x7) + +inst_173:// fs1 == 0 and fe1 == 0x002 and fm1 == 0x0c359e655fb81 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x20c359e655fb81; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 300*FLEN/8, x10, x6, x7) + +inst_174:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 302*FLEN/8, x10, x6, x7) + +inst_175:// fs1 == 0 and fe1 == 0x002 and fm1 == 0x0c359e655fb81 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x093dbe3aa0387 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x20c359e655fb81; op2val:0x7fb093dbe3aa0387; +valaddr_reg:x9; val_offset:304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 304*FLEN/8, x10, x6, x7) + +inst_176:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x093dbe3aa0387 and fs2 == 0 and fe2 == 0x002 and fm2 == 0x0c359e655fb81 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb093dbe3aa0387; op2val:0x20c359e655fb81; +valaddr_reg:x9; val_offset:306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 306*FLEN/8, x10, x6, x7) + +inst_177:// fs1 == 0 and fe1 == 0x002 and fm1 == 0x0c359e655fb81 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x20c359e655fb81; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 308*FLEN/8, x10, x6, x7) + +inst_178:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 310*FLEN/8, x10, x6, x7) + +inst_179:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x35a452e11324d and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xf6025caa2d205 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35a452e11324d; op2val:0xffdf6025caa2d205; +valaddr_reg:x9; val_offset:312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 312*FLEN/8, x10, x6, x7) + +inst_180:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xf6025caa2d205 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x35a452e11324d and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdf6025caa2d205; op2val:0x35a452e11324d; +valaddr_reg:x9; val_offset:314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 314*FLEN/8, x10, x6, x7) + +inst_181:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x35a452e11324d and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35a452e11324d; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 316*FLEN/8, x10, x6, x7) + +inst_182:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 318*FLEN/8, x10, x6, x7) + +inst_183:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x35a452e11324d and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x9bff6a8783cf3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35a452e11324d; op2val:0xffc9bff6a8783cf3; +valaddr_reg:x9; val_offset:320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 320*FLEN/8, x10, x6, x7) + +inst_184:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x9bff6a8783cf3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x35a452e11324d and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc9bff6a8783cf3; op2val:0x35a452e11324d; +valaddr_reg:x9; val_offset:322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 322*FLEN/8, x10, x6, x7) + +inst_185:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x35a452e11324d and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35a452e11324d; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 324*FLEN/8, x10, x6, x7) + +inst_186:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 326*FLEN/8, x10, x6, x7) + +inst_187:// fs1 == 0 and fe1 == 0x002 and fm1 == 0x0c359e655fb81 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x0c90875ccb5d8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x20c359e655fb81; op2val:0xffb0c90875ccb5d8; +valaddr_reg:x9; val_offset:328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 328*FLEN/8, x10, x6, x7) + +inst_188:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x0c90875ccb5d8 and fs2 == 0 and fe2 == 0x002 and fm2 == 0x0c359e655fb81 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb0c90875ccb5d8; op2val:0x20c359e655fb81; +valaddr_reg:x9; val_offset:330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 330*FLEN/8, x10, x6, x7) + +inst_189:// fs1 == 0 and fe1 == 0x002 and fm1 == 0x0c359e655fb81 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x20c359e655fb81; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 332*FLEN/8, x10, x6, x7) + +inst_190:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 334*FLEN/8, x10, x6, x7) + +inst_191:// fs1 == 0 and fe1 == 0x002 and fm1 == 0x0c359e655fb81 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xae9e55abc765f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x20c359e655fb81; op2val:0xffbae9e55abc765f; +valaddr_reg:x9; val_offset:336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 336*FLEN/8, x10, x6, x7) + +inst_192:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xae9e55abc765f and fs2 == 0 and fe2 == 0x002 and fm2 == 0x0c359e655fb81 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbae9e55abc765f; op2val:0x20c359e655fb81; +valaddr_reg:x9; val_offset:338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 338*FLEN/8, x10, x6, x7) + +inst_193:// fs1 == 0 and fe1 == 0x002 and fm1 == 0x0c359e655fb81 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x20c359e655fb81; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 340*FLEN/8, x10, x6, x7) + +inst_194:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 342*FLEN/8, x10, x6, x7) + +inst_195:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x35a452e11324d and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x47f2e5cadc271 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35a452e11324d; op2val:0xffd47f2e5cadc271; +valaddr_reg:x9; val_offset:344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 344*FLEN/8, x10, x6, x7) + +inst_196:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x47f2e5cadc271 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x35a452e11324d and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd47f2e5cadc271; op2val:0x35a452e11324d; +valaddr_reg:x9; val_offset:346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 346*FLEN/8, x10, x6, x7) + +inst_197:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x35a452e11324d and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35a452e11324d; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 348*FLEN/8, x10, x6, x7) + +inst_198:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x0; +valaddr_reg:x9; val_offset:350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 350*FLEN/8, x10, x6, x7) + +inst_199:// fs1 == 0 and fe1 == 0x401 and fm1 == 0x2a6496228606e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x4012a6496228606e; op2val:0x0; +valaddr_reg:x9; val_offset:352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 352*FLEN/8, x10, x6, x7) + +inst_200:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x401 and fm2 == 0x2a6496228606e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x4012a6496228606e; +valaddr_reg:x9; val_offset:354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 354*FLEN/8, x10, x6, x7) + +inst_201:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x401 and fm2 == 0x2a6496228606e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x4012a6496228606e; +valaddr_reg:x9; val_offset:356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 356*FLEN/8, x10, x6, x7) + +inst_202:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 358*FLEN/8, x10, x6, x7) + +inst_203:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x569d571c24201 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb569d571c24201; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 360*FLEN/8, x10, x6, x7) + +inst_204:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x569d571c24201 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x7fb569d571c24201; +valaddr_reg:x9; val_offset:362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 362*FLEN/8, x10, x6, x7) + +inst_205:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 364*FLEN/8, x10, x6, x7) + +inst_206:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 366*FLEN/8, x10, x6, x7) + +inst_207:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x569d571c24201 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb569d571c24201; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 368*FLEN/8, x10, x6, x7) + +inst_208:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 370*FLEN/8, x10, x6, x7) + +inst_209:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 372*FLEN/8, x10, x6, x7) + +inst_210:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 374*FLEN/8, x10, x6, x7) + +inst_211:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x569d571c24201 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb569d571c24201; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 376*FLEN/8, x10, x6, x7) + +inst_212:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x569d571c24201 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fb569d571c24201; +valaddr_reg:x9; val_offset:378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 378*FLEN/8, x10, x6, x7) + +inst_213:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x569d571c24201 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb569d571c24201; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 380*FLEN/8, x10, x6, x7) + +inst_214:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 382*FLEN/8, x10, x6, x7) + +inst_215:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 384*FLEN/8, x10, x6, x7) + +inst_216:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 386*FLEN/8, x10, x6, x7) + +inst_217:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 388*FLEN/8, x10, x6, x7) + +inst_218:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 390*FLEN/8, x10, x6, x7) + +inst_219:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x569d571c24201 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb569d571c24201; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 392*FLEN/8, x10, x6, x7) + +inst_220:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 394*FLEN/8, x10, x6, x7) + +inst_221:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x569d571c24201 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb569d571c24201; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 396*FLEN/8, x10, x6, x7) + +inst_222:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 398*FLEN/8, x10, x6, x7) + +inst_223:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x114ce95016c16 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x114ce95016c16; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 400*FLEN/8, x10, x6, x7) + +inst_224:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x114ce95016c16 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x114ce95016c16; +valaddr_reg:x9; val_offset:402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 402*FLEN/8, x10, x6, x7) + +inst_225:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x114ce95016c16 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x114ce95016c16; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 404*FLEN/8, x10, x6, x7) + +inst_226:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x114ce95016c16 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x114ce95016c16; +valaddr_reg:x9; val_offset:406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 406*FLEN/8, x10, x6, x7) + +inst_227:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 408*FLEN/8, x10, x6, x7) + +inst_228:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01bae4219be02 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1bae4219be02; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 410*FLEN/8, x10, x6, x7) + +inst_229:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01bae4219be02 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x1bae4219be02; +valaddr_reg:x9; val_offset:412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 412*FLEN/8, x10, x6, x7) + +inst_230:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01bae4219be02 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1bae4219be02; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 414*FLEN/8, x10, x6, x7) + +inst_231:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01bae4219be02 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x1bae4219be02; +valaddr_reg:x9; val_offset:416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 416*FLEN/8, x10, x6, x7) + +inst_232:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 418*FLEN/8, x10, x6, x7) + +inst_233:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xad011d20e38de and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xad011d20e38de; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 420*FLEN/8, x10, x6, x7) + +inst_234:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xad011d20e38de and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xad011d20e38de; +valaddr_reg:x9; val_offset:422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 422*FLEN/8, x10, x6, x7) + +inst_235:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xad011d20e38de and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xad011d20e38de; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 424*FLEN/8, x10, x6, x7) + +inst_236:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xad011d20e38de and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0xad011d20e38de; +valaddr_reg:x9; val_offset:426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 426*FLEN/8, x10, x6, x7) + +inst_237:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 428*FLEN/8, x10, x6, x7) + +inst_238:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xad011d20e38de and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x94fdd88765c1f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xad011d20e38de; op2val:0x7fe94fdd88765c1f; +valaddr_reg:x9; val_offset:430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 430*FLEN/8, x10, x6, x7) + +inst_239:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x94fdd88765c1f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xad011d20e38de and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe94fdd88765c1f; op2val:0xad011d20e38de; +valaddr_reg:x9; val_offset:432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 432*FLEN/8, x10, x6, x7) + +inst_240:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xad011d20e38de and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xad011d20e38de; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 434*FLEN/8, x10, x6, x7) + +inst_241:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 436*FLEN/8, x10, x6, x7) + +inst_242:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xad011d20e38de and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x4b8d2dc948469 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xad011d20e38de; op2val:0x7fe4b8d2dc948469; +valaddr_reg:x9; val_offset:438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 438*FLEN/8, x10, x6, x7) + +inst_243:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x4b8d2dc948469 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xad011d20e38de and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe4b8d2dc948469; op2val:0xad011d20e38de; +valaddr_reg:x9; val_offset:440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 440*FLEN/8, x10, x6, x7) + +inst_244:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xad011d20e38de and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xad011d20e38de; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 442*FLEN/8, x10, x6, x7) + +inst_245:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 444*FLEN/8, x10, x6, x7) + +inst_246:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x114ce95016c16 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x114ce95016c16; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 446*FLEN/8, x10, x6, x7) + +inst_247:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x114ce95016c16 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x114ce95016c16; +valaddr_reg:x9; val_offset:448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 448*FLEN/8, x10, x6, x7) + +inst_248:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x114ce95016c16 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x114ce95016c16; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 450*FLEN/8, x10, x6, x7) + +inst_249:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 452*FLEN/8, x10, x6, x7) + +inst_250:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x114ce95016c16 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x114ce95016c16; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 454*FLEN/8, x10, x6, x7) + +inst_251:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 456*FLEN/8, x10, x6, x7) + +inst_252:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xad011d20e38de and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x4fb4a933fe34f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xad011d20e38de; op2val:0xffe4fb4a933fe34f; +valaddr_reg:x9; val_offset:458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 458*FLEN/8, x10, x6, x7) + +inst_253:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x4fb4a933fe34f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xad011d20e38de and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe4fb4a933fe34f; op2val:0xad011d20e38de; +valaddr_reg:x9; val_offset:460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 460*FLEN/8, x10, x6, x7) + +inst_254:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xad011d20e38de and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xad011d20e38de; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 462*FLEN/8, x10, x6, x7) + +inst_255:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 464*FLEN/8, x10, x6, x7) + +inst_256:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xad011d20e38de and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xad011d20e38de; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 466*FLEN/8, x10, x6, x7) + +inst_257:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xad011d20e38de and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xad011d20e38de; +valaddr_reg:x9; val_offset:468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 468*FLEN/8, x10, x6, x7) + +inst_258:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xad011d20e38de and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xad011d20e38de; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 470*FLEN/8, x10, x6, x7) + +inst_259:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 472*FLEN/8, x10, x6, x7) + +inst_260:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x114ce95016c16 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x114ce95016c16; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 474*FLEN/8, x10, x6, x7) + +inst_261:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x0; +valaddr_reg:x9; val_offset:476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 476*FLEN/8, x10, x6, x7) + +inst_262:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x80f28c9e9c76b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff80f28c9e9c76b; op2val:0x0; +valaddr_reg:x9; val_offset:478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 478*FLEN/8, x10, x6, x7) + +inst_263:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x80f28c9e9c76b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ff80f28c9e9c76b; +valaddr_reg:x9; val_offset:480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 480*FLEN/8, x10, x6, x7) + +inst_264:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x80f28c9e9c76b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x3ff80f28c9e9c76b; +valaddr_reg:x9; val_offset:482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 482*FLEN/8, x10, x6, x7) + +inst_265:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 484*FLEN/8, x10, x6, x7) + +inst_266:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x0409f707c3583 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb0409f707c3583; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 486*FLEN/8, x10, x6, x7) + +inst_267:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x0409f707c3583 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x7fb0409f707c3583; +valaddr_reg:x9; val_offset:488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 488*FLEN/8, x10, x6, x7) + +inst_268:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 490*FLEN/8, x10, x6, x7) + +inst_269:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 492*FLEN/8, x10, x6, x7) + +inst_270:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x0409f707c3583 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb0409f707c3583; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 494*FLEN/8, x10, x6, x7) + +inst_271:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 496*FLEN/8, x10, x6, x7) + +inst_272:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x0409f707c3583 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb0409f707c3583; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 498*FLEN/8, x10, x6, x7) + +inst_273:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x0409f707c3583 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fb0409f707c3583; +valaddr_reg:x9; val_offset:500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 500*FLEN/8, x10, x6, x7) + +inst_274:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x0409f707c3583 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb0409f707c3583; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 502*FLEN/8, x10, x6, x7) + +inst_275:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 504*FLEN/8, x10, x6, x7) + +inst_276:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 506*FLEN/8, x10, x6, x7) + +inst_277:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 508*FLEN/8, x10, x6, x7) + +inst_278:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 510*FLEN/8, x10, x6, x7) + +inst_279:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 512*FLEN/8, x10, x6, x7) + +inst_280:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x0409f707c3583 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb0409f707c3583; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 514*FLEN/8, x10, x6, x7) + +inst_281:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 516*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_2) + +inst_282:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x0409f707c3583 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb0409f707c3583; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 518*FLEN/8, x10, x6, x7) + +inst_283:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 520*FLEN/8, x10, x6, x7) + +inst_284:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d2178c8e4bc2 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd2178c8e4bc2; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 522*FLEN/8, x10, x6, x7) + +inst_285:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0d2178c8e4bc2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xd2178c8e4bc2; +valaddr_reg:x9; val_offset:524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 524*FLEN/8, x10, x6, x7) + +inst_286:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d2178c8e4bc2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd2178c8e4bc2; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 526*FLEN/8, x10, x6, x7) + +inst_287:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0d2178c8e4bc2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0xd2178c8e4bc2; +valaddr_reg:x9; val_offset:528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 528*FLEN/8, x10, x6, x7) + +inst_288:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 530*FLEN/8, x10, x6, x7) + +inst_289:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x015025adb0793 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15025adb0793; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 532*FLEN/8, x10, x6, x7) + +inst_290:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x015025adb0793 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x15025adb0793; +valaddr_reg:x9; val_offset:534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 534*FLEN/8, x10, x6, x7) + +inst_291:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x015025adb0793 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15025adb0793; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 536*FLEN/8, x10, x6, x7) + +inst_292:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x015025adb0793 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x15025adb0793; +valaddr_reg:x9; val_offset:538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 538*FLEN/8, x10, x6, x7) + +inst_293:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 540*FLEN/8, x10, x6, x7) + +inst_294:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x834eb7d8ef590 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x834eb7d8ef590; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 542*FLEN/8, x10, x6, x7) + +inst_295:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x834eb7d8ef590 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x834eb7d8ef590; +valaddr_reg:x9; val_offset:544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 544*FLEN/8, x10, x6, x7) + +inst_296:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x834eb7d8ef590 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x834eb7d8ef590; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 546*FLEN/8, x10, x6, x7) + +inst_297:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x834eb7d8ef590 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x834eb7d8ef590; +valaddr_reg:x9; val_offset:548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 548*FLEN/8, x10, x6, x7) + +inst_298:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 550*FLEN/8, x10, x6, x7) + +inst_299:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x834eb7d8ef590 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x94fdd88765c1f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x834eb7d8ef590; op2val:0x7fe94fdd88765c1f; +valaddr_reg:x9; val_offset:552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 552*FLEN/8, x10, x6, x7) + +inst_300:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x94fdd88765c1f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x834eb7d8ef590 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe94fdd88765c1f; op2val:0x834eb7d8ef590; +valaddr_reg:x9; val_offset:554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 554*FLEN/8, x10, x6, x7) + +inst_301:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x834eb7d8ef590 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x834eb7d8ef590; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 556*FLEN/8, x10, x6, x7) + +inst_302:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 558*FLEN/8, x10, x6, x7) + +inst_303:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x834eb7d8ef590 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x4b8d2dc948469 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x834eb7d8ef590; op2val:0x7fe4b8d2dc948469; +valaddr_reg:x9; val_offset:560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 560*FLEN/8, x10, x6, x7) + +inst_304:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x4b8d2dc948469 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x834eb7d8ef590 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe4b8d2dc948469; op2val:0x834eb7d8ef590; +valaddr_reg:x9; val_offset:562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 562*FLEN/8, x10, x6, x7) + +inst_305:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x834eb7d8ef590 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x834eb7d8ef590; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 564*FLEN/8, x10, x6, x7) + +inst_306:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 566*FLEN/8, x10, x6, x7) + +inst_307:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d2178c8e4bc2 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd2178c8e4bc2; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 568*FLEN/8, x10, x6, x7) + +inst_308:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0d2178c8e4bc2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xd2178c8e4bc2; +valaddr_reg:x9; val_offset:570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 570*FLEN/8, x10, x6, x7) + +inst_309:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d2178c8e4bc2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd2178c8e4bc2; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 572*FLEN/8, x10, x6, x7) + +inst_310:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 574*FLEN/8, x10, x6, x7) + +inst_311:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d2178c8e4bc2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd2178c8e4bc2; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 576*FLEN/8, x10, x6, x7) + +inst_312:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 578*FLEN/8, x10, x6, x7) + +inst_313:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x834eb7d8ef590 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x4fb4a933fe34f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x834eb7d8ef590; op2val:0xffe4fb4a933fe34f; +valaddr_reg:x9; val_offset:580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 580*FLEN/8, x10, x6, x7) + +inst_314:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x4fb4a933fe34f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x834eb7d8ef590 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe4fb4a933fe34f; op2val:0x834eb7d8ef590; +valaddr_reg:x9; val_offset:582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 582*FLEN/8, x10, x6, x7) + +inst_315:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x834eb7d8ef590 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x834eb7d8ef590; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 584*FLEN/8, x10, x6, x7) + +inst_316:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 586*FLEN/8, x10, x6, x7) + +inst_317:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x834eb7d8ef590 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x834eb7d8ef590; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 588*FLEN/8, x10, x6, x7) + +inst_318:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x834eb7d8ef590 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x834eb7d8ef590; +valaddr_reg:x9; val_offset:590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 590*FLEN/8, x10, x6, x7) + +inst_319:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x834eb7d8ef590 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x834eb7d8ef590; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 592*FLEN/8, x10, x6, x7) + +inst_320:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 594*FLEN/8, x10, x6, x7) + +inst_321:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d2178c8e4bc2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd2178c8e4bc2; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 596*FLEN/8, x10, x6, x7) + +inst_322:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x0; +valaddr_reg:x9; val_offset:598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 598*FLEN/8, x10, x6, x7) + +inst_323:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x242b3b0a4387a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff242b3b0a4387a; op2val:0x0; +valaddr_reg:x9; val_offset:600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 600*FLEN/8, x10, x6, x7) + +inst_324:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x242b3b0a4387a and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ff242b3b0a4387a; +valaddr_reg:x9; val_offset:602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 602*FLEN/8, x10, x6, x7) + +inst_325:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x242b3b0a4387a and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x3ff242b3b0a4387a; +valaddr_reg:x9; val_offset:604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 604*FLEN/8, x10, x6, x7) + +inst_326:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 606*FLEN/8, x10, x6, x7) + +inst_327:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 608*FLEN/8, x10, x6, x7) + +inst_328:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 610*FLEN/8, x10, x6, x7) + +inst_329:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 612*FLEN/8, x10, x6, x7) + +inst_330:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 614*FLEN/8, x10, x6, x7) + +inst_331:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 616*FLEN/8, x10, x6, x7) + +inst_332:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 618*FLEN/8, x10, x6, x7) + +inst_333:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 620*FLEN/8, x10, x6, x7) + +inst_334:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 622*FLEN/8, x10, x6, x7) + +inst_335:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x7ec266adcb15f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xffb7ec266adcb15f; +valaddr_reg:x9; val_offset:624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 624*FLEN/8, x10, x6, x7) + +inst_336:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7ec266adcb15f and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb7ec266adcb15f; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 626*FLEN/8, x10, x6, x7) + +inst_337:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 628*FLEN/8, x10, x6, x7) + +inst_338:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 630*FLEN/8, x10, x6, x7) + +inst_339:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x399e37c2fb926 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xffb399e37c2fb926; +valaddr_reg:x9; val_offset:632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 632*FLEN/8, x10, x6, x7) + +inst_340:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x399e37c2fb926 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb399e37c2fb926; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 634*FLEN/8, x10, x6, x7) + +inst_341:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 636*FLEN/8, x10, x6, x7) + +inst_342:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 638*FLEN/8, x10, x6, x7) + +inst_343:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 640*FLEN/8, x10, x6, x7) + +inst_344:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 642*FLEN/8, x10, x6, x7) + +inst_345:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 644*FLEN/8, x10, x6, x7) + +inst_346:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 646*FLEN/8, x10, x6, x7) + +inst_347:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e443bf91c5dd and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xd4e5c31a3975f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e443bf91c5dd; op2val:0x7fdd4e5c31a3975f; +valaddr_reg:x9; val_offset:648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 648*FLEN/8, x10, x6, x7) + +inst_348:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xd4e5c31a3975f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x5e443bf91c5dd and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdd4e5c31a3975f; op2val:0x8005e443bf91c5dd; +valaddr_reg:x9; val_offset:650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 650*FLEN/8, x10, x6, x7) + +inst_349:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e443bf91c5dd and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e443bf91c5dd; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 652*FLEN/8, x10, x6, x7) + +inst_350:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x5e443bf91c5dd and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x8005e443bf91c5dd; +valaddr_reg:x9; val_offset:654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 654*FLEN/8, x10, x6, x7) + +inst_351:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 656*FLEN/8, x10, x6, x7) + +inst_352:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x096d393282d63 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x4dcb3b62b25ff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800096d393282d63; op2val:0x7fc4dcb3b62b25ff; +valaddr_reg:x9; val_offset:658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 658*FLEN/8, x10, x6, x7) + +inst_353:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x4dcb3b62b25ff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x096d393282d63 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fc4dcb3b62b25ff; op2val:0x800096d393282d63; +valaddr_reg:x9; val_offset:660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 660*FLEN/8, x10, x6, x7) + +inst_354:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x096d393282d63 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800096d393282d63; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 662*FLEN/8, x10, x6, x7) + +inst_355:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x096d393282d63 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x800096d393282d63; +valaddr_reg:x9; val_offset:664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 664*FLEN/8, x10, x6, x7) + +inst_356:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 666*FLEN/8, x10, x6, x7) + +inst_357:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd7552bdd8dd50 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xf8c50a18d0c04 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d7552bdd8dd50; op2val:0x7fbf8c50a18d0c04; +valaddr_reg:x9; val_offset:668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 668*FLEN/8, x10, x6, x7) + +inst_358:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xf8c50a18d0c04 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd7552bdd8dd50 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fbf8c50a18d0c04; op2val:0x802d7552bdd8dd50; +valaddr_reg:x9; val_offset:670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 670*FLEN/8, x10, x6, x7) + +inst_359:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd7552bdd8dd50 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d7552bdd8dd50; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 672*FLEN/8, x10, x6, x7) + +inst_360:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd7552bdd8dd50 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x802d7552bdd8dd50; +valaddr_reg:x9; val_offset:674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 674*FLEN/8, x10, x6, x7) + +inst_361:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 676*FLEN/8, x10, x6, x7) + +inst_362:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd7552bdd8dd50 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x43fe46d2b7ce6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d7552bdd8dd50; op2val:0x7fb43fe46d2b7ce6; +valaddr_reg:x9; val_offset:678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 678*FLEN/8, x10, x6, x7) + +inst_363:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x43fe46d2b7ce6 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd7552bdd8dd50 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb43fe46d2b7ce6; op2val:0x802d7552bdd8dd50; +valaddr_reg:x9; val_offset:680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 680*FLEN/8, x10, x6, x7) + +inst_364:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd7552bdd8dd50 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d7552bdd8dd50; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 682*FLEN/8, x10, x6, x7) + +inst_365:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 684*FLEN/8, x10, x6, x7) + +inst_366:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd7552bdd8dd50 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x093dbe3aa0387 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d7552bdd8dd50; op2val:0x7fb093dbe3aa0387; +valaddr_reg:x9; val_offset:686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 686*FLEN/8, x10, x6, x7) + +inst_367:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x093dbe3aa0387 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd7552bdd8dd50 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb093dbe3aa0387; op2val:0x802d7552bdd8dd50; +valaddr_reg:x9; val_offset:688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 688*FLEN/8, x10, x6, x7) + +inst_368:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd7552bdd8dd50 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d7552bdd8dd50; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 690*FLEN/8, x10, x6, x7) + +inst_369:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 692*FLEN/8, x10, x6, x7) + +inst_370:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e443bf91c5dd and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xf6025caa2d205 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e443bf91c5dd; op2val:0xffdf6025caa2d205; +valaddr_reg:x9; val_offset:694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 694*FLEN/8, x10, x6, x7) + +inst_371:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xf6025caa2d205 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x5e443bf91c5dd and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdf6025caa2d205; op2val:0x8005e443bf91c5dd; +valaddr_reg:x9; val_offset:696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 696*FLEN/8, x10, x6, x7) + +inst_372:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e443bf91c5dd and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e443bf91c5dd; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 698*FLEN/8, x10, x6, x7) + +inst_373:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 700*FLEN/8, x10, x6, x7) + +inst_374:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e443bf91c5dd and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x9bff6a8783cf3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e443bf91c5dd; op2val:0xffc9bff6a8783cf3; +valaddr_reg:x9; val_offset:702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 702*FLEN/8, x10, x6, x7) + +inst_375:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x9bff6a8783cf3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x5e443bf91c5dd and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc9bff6a8783cf3; op2val:0x8005e443bf91c5dd; +valaddr_reg:x9; val_offset:704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 704*FLEN/8, x10, x6, x7) + +inst_376:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e443bf91c5dd and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e443bf91c5dd; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 706*FLEN/8, x10, x6, x7) + +inst_377:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 708*FLEN/8, x10, x6, x7) + +inst_378:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd7552bdd8dd50 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x0c90875ccb5d8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d7552bdd8dd50; op2val:0xffb0c90875ccb5d8; +valaddr_reg:x9; val_offset:710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 710*FLEN/8, x10, x6, x7) + +inst_379:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x0c90875ccb5d8 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd7552bdd8dd50 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb0c90875ccb5d8; op2val:0x802d7552bdd8dd50; +valaddr_reg:x9; val_offset:712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 712*FLEN/8, x10, x6, x7) + +inst_380:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd7552bdd8dd50 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d7552bdd8dd50; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 714*FLEN/8, x10, x6, x7) + +inst_381:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 716*FLEN/8, x10, x6, x7) + +inst_382:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd7552bdd8dd50 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xae9e55abc765f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d7552bdd8dd50; op2val:0xffbae9e55abc765f; +valaddr_reg:x9; val_offset:718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 718*FLEN/8, x10, x6, x7) + +inst_383:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xae9e55abc765f and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd7552bdd8dd50 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbae9e55abc765f; op2val:0x802d7552bdd8dd50; +valaddr_reg:x9; val_offset:720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 720*FLEN/8, x10, x6, x7) + +inst_384:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd7552bdd8dd50 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d7552bdd8dd50; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 722*FLEN/8, x10, x6, x7) + +inst_385:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 724*FLEN/8, x10, x6, x7) + +inst_386:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e443bf91c5dd and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x47f2e5cadc271 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e443bf91c5dd; op2val:0xffd47f2e5cadc271; +valaddr_reg:x9; val_offset:726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 726*FLEN/8, x10, x6, x7) + +inst_387:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x47f2e5cadc271 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x5e443bf91c5dd and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd47f2e5cadc271; op2val:0x8005e443bf91c5dd; +valaddr_reg:x9; val_offset:728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 728*FLEN/8, x10, x6, x7) + +inst_388:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e443bf91c5dd and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e443bf91c5dd; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 730*FLEN/8, x10, x6, x7) + +inst_389:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x0; +valaddr_reg:x9; val_offset:732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 732*FLEN/8, x10, x6, x7) + +inst_390:// fs1 == 1 and fe1 == 0x402 and fm1 == 0x06300128a7be9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc0206300128a7be9; op2val:0x0; +valaddr_reg:x9; val_offset:734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 734*FLEN/8, x10, x6, x7) + +inst_391:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x402 and fm2 == 0x06300128a7be9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xc0206300128a7be9; +valaddr_reg:x9; val_offset:736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 736*FLEN/8, x10, x6, x7) + +inst_392:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x402 and fm2 == 0x06300128a7be9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0xc0206300128a7be9; +valaddr_reg:x9; val_offset:738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 738*FLEN/8, x10, x6, x7) + +inst_393:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 740*FLEN/8, x10, x6, x7) + +inst_394:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7ec266adcb15f and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb7ec266adcb15f; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 742*FLEN/8, x10, x6, x7) + +inst_395:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x7ec266adcb15f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0xffb7ec266adcb15f; +valaddr_reg:x9; val_offset:744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 744*FLEN/8, x10, x6, x7) + +inst_396:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 746*FLEN/8, x10, x6, x7) + +inst_397:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 748*FLEN/8, x10, x6, x7) + +inst_398:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7ec266adcb15f and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb7ec266adcb15f; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 750*FLEN/8, x10, x6, x7) + +inst_399:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 752*FLEN/8, x10, x6, x7) + +inst_400:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7ec266adcb15f and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb7ec266adcb15f; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 754*FLEN/8, x10, x6, x7) + +inst_401:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 756*FLEN/8, x10, x6, x7) + +inst_402:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 758*FLEN/8, x10, x6, x7) + +inst_403:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 760*FLEN/8, x10, x6, x7) + +inst_404:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7ec266adcb15f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb7ec266adcb15f; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 762*FLEN/8, x10, x6, x7) + +inst_405:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 764*FLEN/8, x10, x6, x7) + +inst_406:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7ec266adcb15f and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb7ec266adcb15f; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 766*FLEN/8, x10, x6, x7) + +inst_407:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 768*FLEN/8, x10, x6, x7) + +inst_408:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1353dad8f9fcc and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001353dad8f9fcc; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 770*FLEN/8, x10, x6, x7) + +inst_409:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1353dad8f9fcc and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8001353dad8f9fcc; +valaddr_reg:x9; val_offset:772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 772*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_3) + +inst_410:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1353dad8f9fcc and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001353dad8f9fcc; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 774*FLEN/8, x10, x6, x7) + +inst_411:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1353dad8f9fcc and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x8001353dad8f9fcc; +valaddr_reg:x9; val_offset:776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 776*FLEN/8, x10, x6, x7) + +inst_412:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 778*FLEN/8, x10, x6, x7) + +inst_413:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x01eec915b2994 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80001eec915b2994; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 780*FLEN/8, x10, x6, x7) + +inst_414:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x01eec915b2994 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x80001eec915b2994; +valaddr_reg:x9; val_offset:782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 782*FLEN/8, x10, x6, x7) + +inst_415:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x01eec915b2994 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80001eec915b2994; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 784*FLEN/8, x10, x6, x7) + +inst_416:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x01eec915b2994 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x80001eec915b2994; +valaddr_reg:x9; val_offset:786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 786*FLEN/8, x10, x6, x7) + +inst_417:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 788*FLEN/8, x10, x6, x7) + +inst_418:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc1468c79c3df8 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800c1468c79c3df8; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 790*FLEN/8, x10, x6, x7) + +inst_419:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc1468c79c3df8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x800c1468c79c3df8; +valaddr_reg:x9; val_offset:792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 792*FLEN/8, x10, x6, x7) + +inst_420:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc1468c79c3df8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800c1468c79c3df8; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 794*FLEN/8, x10, x6, x7) + +inst_421:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc1468c79c3df8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x800c1468c79c3df8; +valaddr_reg:x9; val_offset:796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 796*FLEN/8, x10, x6, x7) + +inst_422:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 798*FLEN/8, x10, x6, x7) + +inst_423:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc1468c79c3df8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x94fdd88765c1f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800c1468c79c3df8; op2val:0x7fe94fdd88765c1f; +valaddr_reg:x9; val_offset:800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 800*FLEN/8, x10, x6, x7) + +inst_424:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x94fdd88765c1f and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc1468c79c3df8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe94fdd88765c1f; op2val:0x800c1468c79c3df8; +valaddr_reg:x9; val_offset:802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 802*FLEN/8, x10, x6, x7) + +inst_425:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc1468c79c3df8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800c1468c79c3df8; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 804*FLEN/8, x10, x6, x7) + +inst_426:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 806*FLEN/8, x10, x6, x7) + +inst_427:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc1468c79c3df8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x4b8d2dc948469 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800c1468c79c3df8; op2val:0x7fe4b8d2dc948469; +valaddr_reg:x9; val_offset:808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 808*FLEN/8, x10, x6, x7) + +inst_428:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x4b8d2dc948469 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc1468c79c3df8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe4b8d2dc948469; op2val:0x800c1468c79c3df8; +valaddr_reg:x9; val_offset:810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 810*FLEN/8, x10, x6, x7) + +inst_429:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc1468c79c3df8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800c1468c79c3df8; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 812*FLEN/8, x10, x6, x7) + +inst_430:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 814*FLEN/8, x10, x6, x7) + +inst_431:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1353dad8f9fcc and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001353dad8f9fcc; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 816*FLEN/8, x10, x6, x7) + +inst_432:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1353dad8f9fcc and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8001353dad8f9fcc; +valaddr_reg:x9; val_offset:818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 818*FLEN/8, x10, x6, x7) + +inst_433:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1353dad8f9fcc and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001353dad8f9fcc; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 820*FLEN/8, x10, x6, x7) + +inst_434:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 822*FLEN/8, x10, x6, x7) + +inst_435:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1353dad8f9fcc and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001353dad8f9fcc; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 824*FLEN/8, x10, x6, x7) + +inst_436:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 826*FLEN/8, x10, x6, x7) + +inst_437:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc1468c79c3df8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x4fb4a933fe34f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800c1468c79c3df8; op2val:0xffe4fb4a933fe34f; +valaddr_reg:x9; val_offset:828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 828*FLEN/8, x10, x6, x7) + +inst_438:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x4fb4a933fe34f and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc1468c79c3df8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe4fb4a933fe34f; op2val:0x800c1468c79c3df8; +valaddr_reg:x9; val_offset:830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 830*FLEN/8, x10, x6, x7) + +inst_439:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc1468c79c3df8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800c1468c79c3df8; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 832*FLEN/8, x10, x6, x7) + +inst_440:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 834*FLEN/8, x10, x6, x7) + +inst_441:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc1468c79c3df8 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800c1468c79c3df8; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 836*FLEN/8, x10, x6, x7) + +inst_442:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc1468c79c3df8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x800c1468c79c3df8; +valaddr_reg:x9; val_offset:838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 838*FLEN/8, x10, x6, x7) + +inst_443:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc1468c79c3df8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800c1468c79c3df8; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 840*FLEN/8, x10, x6, x7) + +inst_444:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 842*FLEN/8, x10, x6, x7) + +inst_445:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1353dad8f9fcc and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001353dad8f9fcc; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 844*FLEN/8, x10, x6, x7) + +inst_446:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x0; +valaddr_reg:x9; val_offset:846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 846*FLEN/8, x10, x6, x7) + +inst_447:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0xae0d6ce341771 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbffae0d6ce341771; op2val:0x0; +valaddr_reg:x9; val_offset:848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 848*FLEN/8, x10, x6, x7) + +inst_448:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xae0d6ce341771 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xbffae0d6ce341771; +valaddr_reg:x9; val_offset:850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 850*FLEN/8, x10, x6, x7) + +inst_449:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xae0d6ce341771 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0xbffae0d6ce341771; +valaddr_reg:x9; val_offset:852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 852*FLEN/8, x10, x6, x7) + +inst_450:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 854*FLEN/8, x10, x6, x7) + +inst_451:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x399e37c2fb926 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb399e37c2fb926; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 856*FLEN/8, x10, x6, x7) + +inst_452:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x399e37c2fb926 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0xffb399e37c2fb926; +valaddr_reg:x9; val_offset:858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 858*FLEN/8, x10, x6, x7) + +inst_453:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 860*FLEN/8, x10, x6, x7) + +inst_454:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 862*FLEN/8, x10, x6, x7) + +inst_455:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x399e37c2fb926 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb399e37c2fb926; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 864*FLEN/8, x10, x6, x7) + +inst_456:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 866*FLEN/8, x10, x6, x7) + +inst_457:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x399e37c2fb926 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb399e37c2fb926; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 868*FLEN/8, x10, x6, x7) + +inst_458:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 870*FLEN/8, x10, x6, x7) + +inst_459:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x399e37c2fb926 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb399e37c2fb926; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 872*FLEN/8, x10, x6, x7) + +inst_460:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 874*FLEN/8, x10, x6, x7) + +inst_461:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x399e37c2fb926 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb399e37c2fb926; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 876*FLEN/8, x10, x6, x7) + +inst_462:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 878*FLEN/8, x10, x6, x7) + +inst_463:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0fd6141352983 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000fd6141352983; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 880*FLEN/8, x10, x6, x7) + +inst_464:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0fd6141352983 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8000fd6141352983; +valaddr_reg:x9; val_offset:882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 882*FLEN/8, x10, x6, x7) + +inst_465:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0fd6141352983 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000fd6141352983; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 884*FLEN/8, x10, x6, x7) + +inst_466:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0fd6141352983 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x8000fd6141352983; +valaddr_reg:x9; val_offset:886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 886*FLEN/8, x10, x6, x7) + +inst_467:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 888*FLEN/8, x10, x6, x7) + +inst_468:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x01956868550f3 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80001956868550f3; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 890*FLEN/8, x10, x6, x7) + +inst_469:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x01956868550f3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x80001956868550f3; +valaddr_reg:x9; val_offset:892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 892*FLEN/8, x10, x6, x7) + +inst_470:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x01956868550f3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80001956868550f3; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 894*FLEN/8, x10, x6, x7) + +inst_471:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x01956868550f3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x80001956868550f3; +valaddr_reg:x9; val_offset:896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 896*FLEN/8, x10, x6, x7) + +inst_472:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 898*FLEN/8, x10, x6, x7) + +inst_473:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x9e5cc8c139f1c and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8009e5cc8c139f1c; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 900*FLEN/8, x10, x6, x7) + +inst_474:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x9e5cc8c139f1c and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8009e5cc8c139f1c; +valaddr_reg:x9; val_offset:902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 902*FLEN/8, x10, x6, x7) + +inst_475:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x9e5cc8c139f1c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8009e5cc8c139f1c; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 904*FLEN/8, x10, x6, x7) + +inst_476:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x9e5cc8c139f1c and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x8009e5cc8c139f1c; +valaddr_reg:x9; val_offset:906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 906*FLEN/8, x10, x6, x7) + +inst_477:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 908*FLEN/8, x10, x6, x7) + +inst_478:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x9e5cc8c139f1c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x94fdd88765c1f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8009e5cc8c139f1c; op2val:0x7fe94fdd88765c1f; +valaddr_reg:x9; val_offset:910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 910*FLEN/8, x10, x6, x7) + +inst_479:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x94fdd88765c1f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x9e5cc8c139f1c and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe94fdd88765c1f; op2val:0x8009e5cc8c139f1c; +valaddr_reg:x9; val_offset:912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 912*FLEN/8, x10, x6, x7) + +inst_480:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x9e5cc8c139f1c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8009e5cc8c139f1c; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 914*FLEN/8, x10, x6, x7) + +inst_481:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 916*FLEN/8, x10, x6, x7) + +inst_482:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x9e5cc8c139f1c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x4b8d2dc948469 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8009e5cc8c139f1c; op2val:0x7fe4b8d2dc948469; +valaddr_reg:x9; val_offset:918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 918*FLEN/8, x10, x6, x7) + +inst_483:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x4b8d2dc948469 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x9e5cc8c139f1c and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe4b8d2dc948469; op2val:0x8009e5cc8c139f1c; +valaddr_reg:x9; val_offset:920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 920*FLEN/8, x10, x6, x7) + +inst_484:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x9e5cc8c139f1c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8009e5cc8c139f1c; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 922*FLEN/8, x10, x6, x7) + +inst_485:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 924*FLEN/8, x10, x6, x7) + +inst_486:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0fd6141352983 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000fd6141352983; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 926*FLEN/8, x10, x6, x7) + +inst_487:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0fd6141352983 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8000fd6141352983; +valaddr_reg:x9; val_offset:928*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 928*FLEN/8, x10, x6, x7) + +inst_488:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0fd6141352983 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000fd6141352983; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:930*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 930*FLEN/8, x10, x6, x7) + +inst_489:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:932*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 932*FLEN/8, x10, x6, x7) + +inst_490:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0fd6141352983 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000fd6141352983; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:934*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 934*FLEN/8, x10, x6, x7) + +inst_491:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:936*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 936*FLEN/8, x10, x6, x7) + +inst_492:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x9e5cc8c139f1c and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x4fb4a933fe34f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8009e5cc8c139f1c; op2val:0xffe4fb4a933fe34f; +valaddr_reg:x9; val_offset:938*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 938*FLEN/8, x10, x6, x7) + +inst_493:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x4fb4a933fe34f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x9e5cc8c139f1c and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe4fb4a933fe34f; op2val:0x8009e5cc8c139f1c; +valaddr_reg:x9; val_offset:940*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 940*FLEN/8, x10, x6, x7) + +inst_494:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x9e5cc8c139f1c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8009e5cc8c139f1c; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:942*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 942*FLEN/8, x10, x6, x7) + +inst_495:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:944*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 944*FLEN/8, x10, x6, x7) + +inst_496:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x9e5cc8c139f1c and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8009e5cc8c139f1c; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:946*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 946*FLEN/8, x10, x6, x7) + +inst_497:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x9e5cc8c139f1c and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8009e5cc8c139f1c; +valaddr_reg:x9; val_offset:948*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 948*FLEN/8, x10, x6, x7) + +inst_498:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x9e5cc8c139f1c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8009e5cc8c139f1c; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:950*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 950*FLEN/8, x10, x6, x7) + +inst_499:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:952*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 952*FLEN/8, x10, x6, x7) + +inst_500:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0fd6141352983 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000fd6141352983; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:954*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 954*FLEN/8, x10, x6, x7) + +inst_501:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x0; +valaddr_reg:x9; val_offset:956*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 956*FLEN/8, x10, x6, x7) + +inst_502:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x605e3d372e471 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbff605e3d372e471; op2val:0x0; +valaddr_reg:x9; val_offset:958*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 958*FLEN/8, x10, x6, x7) + +inst_503:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x605e3d372e471 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xbff605e3d372e471; +valaddr_reg:x9; val_offset:960*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 960*FLEN/8, x10, x6, x7) + +inst_504:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x605e3d372e471 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0xbff605e3d372e471; +valaddr_reg:x9; val_offset:962*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 962*FLEN/8, x10, x6, x7) + +inst_505:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:964*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 964*FLEN/8, x10, x6, x7) + +inst_506:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:966*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 966*FLEN/8, x10, x6, x7) + +inst_507:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:968*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 968*FLEN/8, x10, x6, x7) + +inst_508:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:970*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 970*FLEN/8, x10, x6, x7) + +inst_509:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:972*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 972*FLEN/8, x10, x6, x7) + +inst_510:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:974*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 974*FLEN/8, x10, x6, x7) + +inst_511:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:976*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 976*FLEN/8, x10, x6, x7) + +inst_512:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:978*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 978*FLEN/8, x10, x6, x7) + +inst_513:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:980*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 980*FLEN/8, x10, x6, x7) + +inst_514:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:982*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 982*FLEN/8, x10, x6, x7) + +inst_515:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6c4e25604ed00 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xd4e5c31a3975f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006c4e25604ed00; op2val:0x7fdd4e5c31a3975f; +valaddr_reg:x9; val_offset:984*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 984*FLEN/8, x10, x6, x7) + +inst_516:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xd4e5c31a3975f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x6c4e25604ed00 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdd4e5c31a3975f; op2val:0x8006c4e25604ed00; +valaddr_reg:x9; val_offset:986*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 986*FLEN/8, x10, x6, x7) + +inst_517:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6c4e25604ed00 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006c4e25604ed00; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:988*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 988*FLEN/8, x10, x6, x7) + +inst_518:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x6c4e25604ed00 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x8006c4e25604ed00; +valaddr_reg:x9; val_offset:990*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 990*FLEN/8, x10, x6, x7) + +inst_519:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:992*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 992*FLEN/8, x10, x6, x7) + +inst_520:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0ad49d566e480 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x4dcb3b62b25ff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000ad49d566e480; op2val:0x7fc4dcb3b62b25ff; +valaddr_reg:x9; val_offset:994*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 994*FLEN/8, x10, x6, x7) + +inst_521:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x4dcb3b62b25ff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0ad49d566e480 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fc4dcb3b62b25ff; op2val:0x8000ad49d566e480; +valaddr_reg:x9; val_offset:996*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 996*FLEN/8, x10, x6, x7) + +inst_522:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0ad49d566e480 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000ad49d566e480; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:998*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 998*FLEN/8, x10, x6, x7) + +inst_523:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0ad49d566e480 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x8000ad49d566e480; +valaddr_reg:x9; val_offset:1000*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1000*FLEN/8, x10, x6, x7) + +inst_524:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1002*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1002*FLEN/8, x10, x6, x7) + +inst_525:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0ec35d70c5080 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xf8c50a18d0c04 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030ec35d70c5080; op2val:0x7fbf8c50a18d0c04; +valaddr_reg:x9; val_offset:1004*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1004*FLEN/8, x10, x6, x7) + +inst_526:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xf8c50a18d0c04 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0ec35d70c5080 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fbf8c50a18d0c04; op2val:0x8030ec35d70c5080; +valaddr_reg:x9; val_offset:1006*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1006*FLEN/8, x10, x6, x7) + +inst_527:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0ec35d70c5080 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030ec35d70c5080; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1008*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1008*FLEN/8, x10, x6, x7) + +inst_528:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0ec35d70c5080 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x8030ec35d70c5080; +valaddr_reg:x9; val_offset:1010*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1010*FLEN/8, x10, x6, x7) + +inst_529:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1012*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1012*FLEN/8, x10, x6, x7) + +inst_530:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0ec35d70c5080 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x43fe46d2b7ce6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030ec35d70c5080; op2val:0x7fb43fe46d2b7ce6; +valaddr_reg:x9; val_offset:1014*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1014*FLEN/8, x10, x6, x7) + +inst_531:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x43fe46d2b7ce6 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0ec35d70c5080 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb43fe46d2b7ce6; op2val:0x8030ec35d70c5080; +valaddr_reg:x9; val_offset:1016*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1016*FLEN/8, x10, x6, x7) + +inst_532:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0ec35d70c5080 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030ec35d70c5080; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1018*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1018*FLEN/8, x10, x6, x7) + +inst_533:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1020*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1020*FLEN/8, x10, x6, x7) + +inst_534:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0ec35d70c5080 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x093dbe3aa0387 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030ec35d70c5080; op2val:0x7fb093dbe3aa0387; +valaddr_reg:x9; val_offset:1022*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1022*FLEN/8, x10, x6, x7) + +inst_535:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x093dbe3aa0387 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0ec35d70c5080 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb093dbe3aa0387; op2val:0x8030ec35d70c5080; +valaddr_reg:x9; val_offset:1024*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1024*FLEN/8, x10, x6, x7) + +inst_536:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0ec35d70c5080 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030ec35d70c5080; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1026*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1026*FLEN/8, x10, x6, x7) + +inst_537:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1028*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1028*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_4) + +inst_538:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6c4e25604ed00 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xf6025caa2d205 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006c4e25604ed00; op2val:0xffdf6025caa2d205; +valaddr_reg:x9; val_offset:1030*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1030*FLEN/8, x10, x6, x7) + +inst_539:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xf6025caa2d205 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x6c4e25604ed00 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdf6025caa2d205; op2val:0x8006c4e25604ed00; +valaddr_reg:x9; val_offset:1032*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1032*FLEN/8, x10, x6, x7) + +inst_540:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6c4e25604ed00 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006c4e25604ed00; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1034*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1034*FLEN/8, x10, x6, x7) + +inst_541:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1036*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1036*FLEN/8, x10, x6, x7) + +inst_542:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6c4e25604ed00 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x9bff6a8783cf3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006c4e25604ed00; op2val:0xffc9bff6a8783cf3; +valaddr_reg:x9; val_offset:1038*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1038*FLEN/8, x10, x6, x7) + +inst_543:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x9bff6a8783cf3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x6c4e25604ed00 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc9bff6a8783cf3; op2val:0x8006c4e25604ed00; +valaddr_reg:x9; val_offset:1040*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1040*FLEN/8, x10, x6, x7) + +inst_544:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6c4e25604ed00 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006c4e25604ed00; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1042*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1042*FLEN/8, x10, x6, x7) + +inst_545:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1044*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1044*FLEN/8, x10, x6, x7) + +inst_546:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0ec35d70c5080 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x0c90875ccb5d8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030ec35d70c5080; op2val:0xffb0c90875ccb5d8; +valaddr_reg:x9; val_offset:1046*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1046*FLEN/8, x10, x6, x7) + +inst_547:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x0c90875ccb5d8 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0ec35d70c5080 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb0c90875ccb5d8; op2val:0x8030ec35d70c5080; +valaddr_reg:x9; val_offset:1048*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1048*FLEN/8, x10, x6, x7) + +inst_548:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0ec35d70c5080 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030ec35d70c5080; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1050*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1050*FLEN/8, x10, x6, x7) + +inst_549:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1052*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1052*FLEN/8, x10, x6, x7) + +inst_550:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0ec35d70c5080 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xae9e55abc765f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030ec35d70c5080; op2val:0xffbae9e55abc765f; +valaddr_reg:x9; val_offset:1054*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1054*FLEN/8, x10, x6, x7) + +inst_551:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xae9e55abc765f and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0ec35d70c5080 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbae9e55abc765f; op2val:0x8030ec35d70c5080; +valaddr_reg:x9; val_offset:1056*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1056*FLEN/8, x10, x6, x7) + +inst_552:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0ec35d70c5080 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030ec35d70c5080; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1058*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1058*FLEN/8, x10, x6, x7) + +inst_553:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1060*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1060*FLEN/8, x10, x6, x7) + +inst_554:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6c4e25604ed00 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x47f2e5cadc271 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006c4e25604ed00; op2val:0xffd47f2e5cadc271; +valaddr_reg:x9; val_offset:1062*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1062*FLEN/8, x10, x6, x7) + +inst_555:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x47f2e5cadc271 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x6c4e25604ed00 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd47f2e5cadc271; op2val:0x8006c4e25604ed00; +valaddr_reg:x9; val_offset:1064*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1064*FLEN/8, x10, x6, x7) + +inst_556:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6c4e25604ed00 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006c4e25604ed00; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1066*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1066*FLEN/8, x10, x6, x7) + +inst_557:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x0; +valaddr_reg:x9; val_offset:1068*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1068*FLEN/8, x10, x6, x7) + +inst_558:// fs1 == 1 and fe1 == 0x402 and fm1 == 0x2d3be740985a9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc022d3be740985a9; op2val:0x0; +valaddr_reg:x9; val_offset:1070*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1070*FLEN/8, x10, x6, x7) + +inst_559:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x402 and fm2 == 0x2d3be740985a9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xc022d3be740985a9; +valaddr_reg:x9; val_offset:1072*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1072*FLEN/8, x10, x6, x7) + +inst_560:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x402 and fm2 == 0x2d3be740985a9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0xc022d3be740985a9; +valaddr_reg:x9; val_offset:1074*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1074*FLEN/8, x10, x6, x7) + +inst_561:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1076*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1076*FLEN/8, x10, x6, x7) + +inst_562:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1078*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1078*FLEN/8, x10, x6, x7) + +inst_563:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1080*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1080*FLEN/8, x10, x6, x7) + +inst_564:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1082*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1082*FLEN/8, x10, x6, x7) + +inst_565:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1084*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1084*FLEN/8, x10, x6, x7) + +inst_566:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1086*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1086*FLEN/8, x10, x6, x7) + +inst_567:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1088*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1088*FLEN/8, x10, x6, x7) + +inst_568:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1090*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1090*FLEN/8, x10, x6, x7) + +inst_569:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1b4ac2dd761b7 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xd4e5c31a3975f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001b4ac2dd761b7; op2val:0x7fdd4e5c31a3975f; +valaddr_reg:x9; val_offset:1092*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1092*FLEN/8, x10, x6, x7) + +inst_570:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xd4e5c31a3975f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1b4ac2dd761b7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdd4e5c31a3975f; op2val:0x8001b4ac2dd761b7; +valaddr_reg:x9; val_offset:1094*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1094*FLEN/8, x10, x6, x7) + +inst_571:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1b4ac2dd761b7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001b4ac2dd761b7; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1096*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1096*FLEN/8, x10, x6, x7) + +inst_572:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1b4ac2dd761b7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x8001b4ac2dd761b7; +valaddr_reg:x9; val_offset:1098*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1098*FLEN/8, x10, x6, x7) + +inst_573:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1100*FLEN/8, x10, x6, x7) + +inst_574:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x02baad1625692 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x4dcb3b62b25ff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80002baad1625692; op2val:0x7fc4dcb3b62b25ff; +valaddr_reg:x9; val_offset:1102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1102*FLEN/8, x10, x6, x7) + +inst_575:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x4dcb3b62b25ff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x02baad1625692 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fc4dcb3b62b25ff; op2val:0x80002baad1625692; +valaddr_reg:x9; val_offset:1104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1104*FLEN/8, x10, x6, x7) + +inst_576:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x02baad1625692 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80002baad1625692; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1106*FLEN/8, x10, x6, x7) + +inst_577:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x02baad1625692 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x80002baad1625692; +valaddr_reg:x9; val_offset:1108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1108*FLEN/8, x10, x6, x7) + +inst_578:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1110*FLEN/8, x10, x6, x7) + +inst_579:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x10eb9ca69d123 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xf8c50a18d0c04 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80110eb9ca69d123; op2val:0x7fbf8c50a18d0c04; +valaddr_reg:x9; val_offset:1112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1112*FLEN/8, x10, x6, x7) + +inst_580:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xf8c50a18d0c04 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x10eb9ca69d123 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fbf8c50a18d0c04; op2val:0x80110eb9ca69d123; +valaddr_reg:x9; val_offset:1114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1114*FLEN/8, x10, x6, x7) + +inst_581:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x10eb9ca69d123 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80110eb9ca69d123; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1116*FLEN/8, x10, x6, x7) + +inst_582:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x10eb9ca69d123 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x80110eb9ca69d123; +valaddr_reg:x9; val_offset:1118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1118*FLEN/8, x10, x6, x7) + +inst_583:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1120*FLEN/8, x10, x6, x7) + +inst_584:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x10eb9ca69d123 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x43fe46d2b7ce6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80110eb9ca69d123; op2val:0x7fb43fe46d2b7ce6; +valaddr_reg:x9; val_offset:1122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1122*FLEN/8, x10, x6, x7) + +inst_585:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x43fe46d2b7ce6 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x10eb9ca69d123 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb43fe46d2b7ce6; op2val:0x80110eb9ca69d123; +valaddr_reg:x9; val_offset:1124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1124*FLEN/8, x10, x6, x7) + +inst_586:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x10eb9ca69d123 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80110eb9ca69d123; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1126*FLEN/8, x10, x6, x7) + +inst_587:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1128*FLEN/8, x10, x6, x7) + +inst_588:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x10eb9ca69d123 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x093dbe3aa0387 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80110eb9ca69d123; op2val:0x7fb093dbe3aa0387; +valaddr_reg:x9; val_offset:1130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1130*FLEN/8, x10, x6, x7) + +inst_589:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x093dbe3aa0387 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x10eb9ca69d123 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb093dbe3aa0387; op2val:0x80110eb9ca69d123; +valaddr_reg:x9; val_offset:1132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1132*FLEN/8, x10, x6, x7) + +inst_590:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x10eb9ca69d123 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80110eb9ca69d123; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1134*FLEN/8, x10, x6, x7) + +inst_591:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1136*FLEN/8, x10, x6, x7) + +inst_592:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1b4ac2dd761b7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xf6025caa2d205 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001b4ac2dd761b7; op2val:0xffdf6025caa2d205; +valaddr_reg:x9; val_offset:1138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1138*FLEN/8, x10, x6, x7) + +inst_593:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xf6025caa2d205 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1b4ac2dd761b7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdf6025caa2d205; op2val:0x8001b4ac2dd761b7; +valaddr_reg:x9; val_offset:1140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1140*FLEN/8, x10, x6, x7) + +inst_594:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1b4ac2dd761b7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001b4ac2dd761b7; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1142*FLEN/8, x10, x6, x7) + +inst_595:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1144*FLEN/8, x10, x6, x7) + +inst_596:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1b4ac2dd761b7 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x9bff6a8783cf3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001b4ac2dd761b7; op2val:0xffc9bff6a8783cf3; +valaddr_reg:x9; val_offset:1146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1146*FLEN/8, x10, x6, x7) + +inst_597:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x9bff6a8783cf3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1b4ac2dd761b7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc9bff6a8783cf3; op2val:0x8001b4ac2dd761b7; +valaddr_reg:x9; val_offset:1148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1148*FLEN/8, x10, x6, x7) + +inst_598:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1b4ac2dd761b7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001b4ac2dd761b7; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1150*FLEN/8, x10, x6, x7) + +inst_599:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1152*FLEN/8, x10, x6, x7) + +inst_600:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x10eb9ca69d123 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x0c90875ccb5d8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80110eb9ca69d123; op2val:0xffb0c90875ccb5d8; +valaddr_reg:x9; val_offset:1154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1154*FLEN/8, x10, x6, x7) + +inst_601:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x0c90875ccb5d8 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x10eb9ca69d123 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb0c90875ccb5d8; op2val:0x80110eb9ca69d123; +valaddr_reg:x9; val_offset:1156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1156*FLEN/8, x10, x6, x7) + +inst_602:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x10eb9ca69d123 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80110eb9ca69d123; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1158*FLEN/8, x10, x6, x7) + +inst_603:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1160*FLEN/8, x10, x6, x7) + +inst_604:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x10eb9ca69d123 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xae9e55abc765f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80110eb9ca69d123; op2val:0xffbae9e55abc765f; +valaddr_reg:x9; val_offset:1162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1162*FLEN/8, x10, x6, x7) + +inst_605:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xae9e55abc765f and fs2 == 1 and fe2 == 0x001 and fm2 == 0x10eb9ca69d123 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbae9e55abc765f; op2val:0x80110eb9ca69d123; +valaddr_reg:x9; val_offset:1164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1164*FLEN/8, x10, x6, x7) + +inst_606:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x10eb9ca69d123 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80110eb9ca69d123; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1166*FLEN/8, x10, x6, x7) + +inst_607:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1168*FLEN/8, x10, x6, x7) + +inst_608:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1b4ac2dd761b7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x47f2e5cadc271 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001b4ac2dd761b7; op2val:0xffd47f2e5cadc271; +valaddr_reg:x9; val_offset:1170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1170*FLEN/8, x10, x6, x7) + +inst_609:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x47f2e5cadc271 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1b4ac2dd761b7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd47f2e5cadc271; op2val:0x8001b4ac2dd761b7; +valaddr_reg:x9; val_offset:1172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1172*FLEN/8, x10, x6, x7) + +inst_610:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1b4ac2dd761b7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001b4ac2dd761b7; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1174*FLEN/8, x10, x6, x7) + +inst_611:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x0; +valaddr_reg:x9; val_offset:1176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1176*FLEN/8, x10, x6, x7) + +inst_612:// fs1 == 1 and fe1 == 0x400 and fm1 == 0x2fa24c650ac14 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc002fa24c650ac14; op2val:0x0; +valaddr_reg:x9; val_offset:1178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1178*FLEN/8, x10, x6, x7) + +inst_613:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x400 and fm2 == 0x2fa24c650ac14 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xc002fa24c650ac14; +valaddr_reg:x9; val_offset:1180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1180*FLEN/8, x10, x6, x7) + +inst_614:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x400 and fm2 == 0x2fa24c650ac14 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0xc002fa24c650ac14; +valaddr_reg:x9; val_offset:1182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1182*FLEN/8, x10, x6, x7) + +inst_615:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1184*FLEN/8, x10, x6, x7) + +inst_616:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xd4e5c31a3975f and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdd4e5c31a3975f; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1186*FLEN/8, x10, x6, x7) + +inst_617:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xd4e5c31a3975f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x7fdd4e5c31a3975f; +valaddr_reg:x9; val_offset:1188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1188*FLEN/8, x10, x6, x7) + +inst_618:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1190*FLEN/8, x10, x6, x7) + +inst_619:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1192*FLEN/8, x10, x6, x7) + +inst_620:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:1194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1194*FLEN/8, x10, x6, x7) + +inst_621:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1196*FLEN/8, x10, x6, x7) + +inst_622:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xd4e5c31a3975f and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdd4e5c31a3975f; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1198*FLEN/8, x10, x6, x7) + +inst_623:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1200*FLEN/8, x10, x6, x7) + +inst_624:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1202*FLEN/8, x10, x6, x7) + +inst_625:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1204*FLEN/8, x10, x6, x7) + +inst_626:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xd4e5c31a3975f and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdd4e5c31a3975f; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1206*FLEN/8, x10, x6, x7) + +inst_627:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1208*FLEN/8, x10, x6, x7) + +inst_628:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1210*FLEN/8, x10, x6, x7) + +inst_629:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1212*FLEN/8, x10, x6, x7) + +inst_630:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xd4e5c31a3975f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdd4e5c31a3975f; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1214*FLEN/8, x10, x6, x7) + +inst_631:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1216*FLEN/8, x10, x6, x7) + +inst_632:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xd4e5c31a3975f and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdd4e5c31a3975f; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1218*FLEN/8, x10, x6, x7) + +inst_633:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1220*FLEN/8, x10, x6, x7) + +inst_634:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x097889c6218ac and fs2 == 0 and fe2 == 0x000 and fm2 == 0x21b5c662d267b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x97889c6218ac; op2val:0x21b5c662d267b; +valaddr_reg:x9; val_offset:1222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1222*FLEN/8, x10, x6, x7) + +inst_635:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x21b5c662d267b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x097889c6218ac and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x21b5c662d267b; op2val:0x97889c6218ac; +valaddr_reg:x9; val_offset:1224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1224*FLEN/8, x10, x6, x7) + +inst_636:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x097889c6218ac and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x97889c6218ac; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1226*FLEN/8, x10, x6, x7) + +inst_637:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x097889c6218ac and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x97889c6218ac; +valaddr_reg:x9; val_offset:1228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1228*FLEN/8, x10, x6, x7) + +inst_638:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1230*FLEN/8, x10, x6, x7) + +inst_639:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xd98ae8b28d198 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x197d0ed8b1e34 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2d98ae8b28d198; op2val:0x197d0ed8b1e34; +valaddr_reg:x9; val_offset:1232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1232*FLEN/8, x10, x6, x7) + +inst_640:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x197d0ed8b1e34 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xd98ae8b28d198 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x197d0ed8b1e34; op2val:0x2d98ae8b28d198; +valaddr_reg:x9; val_offset:1234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1234*FLEN/8, x10, x6, x7) + +inst_641:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xd98ae8b28d198 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2d98ae8b28d198; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1236*FLEN/8, x10, x6, x7) + +inst_642:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xd98ae8b28d198 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x2d98ae8b28d198; +valaddr_reg:x9; val_offset:1238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1238*FLEN/8, x10, x6, x7) + +inst_643:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1240*FLEN/8, x10, x6, x7) + +inst_644:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xd98ae8b28d198 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x105c326c5af30 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2d98ae8b28d198; op2val:0x105c326c5af30; +valaddr_reg:x9; val_offset:1242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1242*FLEN/8, x10, x6, x7) + +inst_645:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x105c326c5af30 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xd98ae8b28d198 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x105c326c5af30; op2val:0x2d98ae8b28d198; +valaddr_reg:x9; val_offset:1244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1244*FLEN/8, x10, x6, x7) + +inst_646:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xd98ae8b28d198 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2d98ae8b28d198; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1246*FLEN/8, x10, x6, x7) + +inst_647:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1248*FLEN/8, x10, x6, x7) + +inst_648:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xd98ae8b28d198 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0d64b86ad9094 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2d98ae8b28d198; op2val:0xd64b86ad9094; +valaddr_reg:x9; val_offset:1250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1250*FLEN/8, x10, x6, x7) + +inst_649:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d64b86ad9094 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xd98ae8b28d198 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd64b86ad9094; op2val:0x2d98ae8b28d198; +valaddr_reg:x9; val_offset:1252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1252*FLEN/8, x10, x6, x7) + +inst_650:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xd98ae8b28d198 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2d98ae8b28d198; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1254*FLEN/8, x10, x6, x7) + +inst_651:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1256*FLEN/8, x10, x6, x7) + +inst_652:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1258*FLEN/8, x10, x6, x7) + +inst_653:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1260*FLEN/8, x10, x6, x7) + +inst_654:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1262*FLEN/8, x10, x6, x7) + +inst_655:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1264*FLEN/8, x10, x6, x7) + +inst_656:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xd98ae8b28d198 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0d8fae5b11a26 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2d98ae8b28d198; op2val:0x8000d8fae5b11a26; +valaddr_reg:x9; val_offset:1266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1266*FLEN/8, x10, x6, x7) + +inst_657:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d8fae5b11a26 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xd98ae8b28d198 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d8fae5b11a26; op2val:0x2d98ae8b28d198; +valaddr_reg:x9; val_offset:1268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1268*FLEN/8, x10, x6, x7) + +inst_658:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xd98ae8b28d198 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2d98ae8b28d198; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1270*FLEN/8, x10, x6, x7) + +inst_659:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1272*FLEN/8, x10, x6, x7) + +inst_660:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xd98ae8b28d198 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x15be852c0ecf4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2d98ae8b28d198; op2val:0x80015be852c0ecf4; +valaddr_reg:x9; val_offset:1274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1274*FLEN/8, x10, x6, x7) + +inst_661:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x15be852c0ecf4 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xd98ae8b28d198 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80015be852c0ecf4; op2val:0x2d98ae8b28d198; +valaddr_reg:x9; val_offset:1276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1276*FLEN/8, x10, x6, x7) + +inst_662:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xd98ae8b28d198 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2d98ae8b28d198; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1278*FLEN/8, x10, x6, x7) + +inst_663:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1280*FLEN/8, x10, x6, x7) + +inst_664:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1282*FLEN/8, x10, x6, x7) + +inst_665:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x0; +valaddr_reg:x9; val_offset:1284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1284*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_5) + +inst_666:// fs1 == 0 and fe1 == 0x402 and fm1 == 0x076ab4deeec91 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x402076ab4deeec91; op2val:0x0; +valaddr_reg:x9; val_offset:1286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1286*FLEN/8, x10, x6, x7) + +inst_667:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x402 and fm2 == 0x076ab4deeec91 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x402076ab4deeec91; +valaddr_reg:x9; val_offset:1288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1288*FLEN/8, x10, x6, x7) + +inst_668:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x402 and fm2 == 0x076ab4deeec91 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x402076ab4deeec91; +valaddr_reg:x9; val_offset:1290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1290*FLEN/8, x10, x6, x7) + +inst_669:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1292*FLEN/8, x10, x6, x7) + +inst_670:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x4dcb3b62b25ff and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fc4dcb3b62b25ff; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1294*FLEN/8, x10, x6, x7) + +inst_671:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x4dcb3b62b25ff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x7fc4dcb3b62b25ff; +valaddr_reg:x9; val_offset:1296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1296*FLEN/8, x10, x6, x7) + +inst_672:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1298*FLEN/8, x10, x6, x7) + +inst_673:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1300*FLEN/8, x10, x6, x7) + +inst_674:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:1302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1302*FLEN/8, x10, x6, x7) + +inst_675:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1304*FLEN/8, x10, x6, x7) + +inst_676:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x4dcb3b62b25ff and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fc4dcb3b62b25ff; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1306*FLEN/8, x10, x6, x7) + +inst_677:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1308*FLEN/8, x10, x6, x7) + +inst_678:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1310*FLEN/8, x10, x6, x7) + +inst_679:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1312*FLEN/8, x10, x6, x7) + +inst_680:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x4dcb3b62b25ff and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fc4dcb3b62b25ff; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1314*FLEN/8, x10, x6, x7) + +inst_681:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1316*FLEN/8, x10, x6, x7) + +inst_682:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1318*FLEN/8, x10, x6, x7) + +inst_683:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1320*FLEN/8, x10, x6, x7) + +inst_684:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x4dcb3b62b25ff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fc4dcb3b62b25ff; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1322*FLEN/8, x10, x6, x7) + +inst_685:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1324*FLEN/8, x10, x6, x7) + +inst_686:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x4dcb3b62b25ff and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fc4dcb3b62b25ff; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1326*FLEN/8, x10, x6, x7) + +inst_687:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1328*FLEN/8, x10, x6, x7) + +inst_688:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x21b5c662d267b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x21b5c662d267b; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1330*FLEN/8, x10, x6, x7) + +inst_689:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x21b5c662d267b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x21b5c662d267b; +valaddr_reg:x9; val_offset:1332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1332*FLEN/8, x10, x6, x7) + +inst_690:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1334*FLEN/8, x10, x6, x7) + +inst_691:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x5119bfdc380d2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x028c817c11c9f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15119bfdc380d2; op2val:0x28c817c11c9f; +valaddr_reg:x9; val_offset:1336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1336*FLEN/8, x10, x6, x7) + +inst_692:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x028c817c11c9f and fs2 == 0 and fe2 == 0x001 and fm2 == 0x5119bfdc380d2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x28c817c11c9f; op2val:0x15119bfdc380d2; +valaddr_reg:x9; val_offset:1338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1338*FLEN/8, x10, x6, x7) + +inst_693:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x5119bfdc380d2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15119bfdc380d2; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1340*FLEN/8, x10, x6, x7) + +inst_694:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x5119bfdc380d2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x15119bfdc380d2; +valaddr_reg:x9; val_offset:1342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1342*FLEN/8, x10, x6, x7) + +inst_695:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1344*FLEN/8, x10, x6, x7) + +inst_696:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x5119bfdc380d2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01a2d1d7a2b1e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15119bfdc380d2; op2val:0x1a2d1d7a2b1e; +valaddr_reg:x9; val_offset:1346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1346*FLEN/8, x10, x6, x7) + +inst_697:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01a2d1d7a2b1e and fs2 == 0 and fe2 == 0x001 and fm2 == 0x5119bfdc380d2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1a2d1d7a2b1e; op2val:0x15119bfdc380d2; +valaddr_reg:x9; val_offset:1348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1348*FLEN/8, x10, x6, x7) + +inst_698:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x5119bfdc380d2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15119bfdc380d2; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1350*FLEN/8, x10, x6, x7) + +inst_699:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1352*FLEN/8, x10, x6, x7) + +inst_700:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x5119bfdc380d2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0156df3de280f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15119bfdc380d2; op2val:0x156df3de280f; +valaddr_reg:x9; val_offset:1354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1354*FLEN/8, x10, x6, x7) + +inst_701:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0156df3de280f and fs2 == 0 and fe2 == 0x001 and fm2 == 0x5119bfdc380d2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x156df3de280f; op2val:0x15119bfdc380d2; +valaddr_reg:x9; val_offset:1356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1356*FLEN/8, x10, x6, x7) + +inst_702:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x5119bfdc380d2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15119bfdc380d2; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1358*FLEN/8, x10, x6, x7) + +inst_703:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1360*FLEN/8, x10, x6, x7) + +inst_704:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x21b5c662d267b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0a23bfe815416 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x21b5c662d267b; op2val:0x8000a23bfe815416; +valaddr_reg:x9; val_offset:1362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1362*FLEN/8, x10, x6, x7) + +inst_705:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0a23bfe815416 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x21b5c662d267b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000a23bfe815416; op2val:0x21b5c662d267b; +valaddr_reg:x9; val_offset:1364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1364*FLEN/8, x10, x6, x7) + +inst_706:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x21b5c662d267b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x21b5c662d267b; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1366*FLEN/8, x10, x6, x7) + +inst_707:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1368*FLEN/8, x10, x6, x7) + +inst_708:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x21b5c662d267b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x042929a1b2ce1 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x21b5c662d267b; op2val:0x800042929a1b2ce1; +valaddr_reg:x9; val_offset:1370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1370*FLEN/8, x10, x6, x7) + +inst_709:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x042929a1b2ce1 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x21b5c662d267b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800042929a1b2ce1; op2val:0x21b5c662d267b; +valaddr_reg:x9; val_offset:1372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1372*FLEN/8, x10, x6, x7) + +inst_710:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x21b5c662d267b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x21b5c662d267b; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1374*FLEN/8, x10, x6, x7) + +inst_711:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1376*FLEN/8, x10, x6, x7) + +inst_712:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x5119bfdc380d2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x015b2b091b5d1 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15119bfdc380d2; op2val:0x800015b2b091b5d1; +valaddr_reg:x9; val_offset:1378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1378*FLEN/8, x10, x6, x7) + +inst_713:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x015b2b091b5d1 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x5119bfdc380d2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800015b2b091b5d1; op2val:0x15119bfdc380d2; +valaddr_reg:x9; val_offset:1380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1380*FLEN/8, x10, x6, x7) + +inst_714:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x5119bfdc380d2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15119bfdc380d2; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1382*FLEN/8, x10, x6, x7) + +inst_715:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1384*FLEN/8, x10, x6, x7) + +inst_716:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x5119bfdc380d2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x022ca6eace47f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15119bfdc380d2; op2val:0x800022ca6eace47f; +valaddr_reg:x9; val_offset:1386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1386*FLEN/8, x10, x6, x7) + +inst_717:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x022ca6eace47f and fs2 == 0 and fe2 == 0x001 and fm2 == 0x5119bfdc380d2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800022ca6eace47f; op2val:0x15119bfdc380d2; +valaddr_reg:x9; val_offset:1388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1388*FLEN/8, x10, x6, x7) + +inst_718:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x5119bfdc380d2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15119bfdc380d2; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1390*FLEN/8, x10, x6, x7) + +inst_719:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1392*FLEN/8, x10, x6, x7) + +inst_720:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x21b5c662d267b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x069fbb598d312 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x21b5c662d267b; op2val:0x800069fbb598d312; +valaddr_reg:x9; val_offset:1394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1394*FLEN/8, x10, x6, x7) + +inst_721:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x069fbb598d312 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x21b5c662d267b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800069fbb598d312; op2val:0x21b5c662d267b; +valaddr_reg:x9; val_offset:1396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1396*FLEN/8, x10, x6, x7) + +inst_722:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x21b5c662d267b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x21b5c662d267b; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1398*FLEN/8, x10, x6, x7) + +inst_723:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x0; +valaddr_reg:x9; val_offset:1400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1400*FLEN/8, x10, x6, x7) + +inst_724:// fs1 == 0 and fe1 == 0x400 and fm1 == 0x77096ee4d2f12 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x40077096ee4d2f12; op2val:0x0; +valaddr_reg:x9; val_offset:1402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1402*FLEN/8, x10, x6, x7) + +inst_725:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x400 and fm2 == 0x77096ee4d2f12 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x40077096ee4d2f12; +valaddr_reg:x9; val_offset:1404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1404*FLEN/8, x10, x6, x7) + +inst_726:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x400 and fm2 == 0x77096ee4d2f12 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x40077096ee4d2f12; +valaddr_reg:x9; val_offset:1406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1406*FLEN/8, x10, x6, x7) + +inst_727:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1408*FLEN/8, x10, x6, x7) + +inst_728:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xf8c50a18d0c04 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fbf8c50a18d0c04; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1410*FLEN/8, x10, x6, x7) + +inst_729:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xf8c50a18d0c04 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x7fbf8c50a18d0c04; +valaddr_reg:x9; val_offset:1412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1412*FLEN/8, x10, x6, x7) + +inst_730:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1414*FLEN/8, x10, x6, x7) + +inst_731:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1416*FLEN/8, x10, x6, x7) + +inst_732:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:1418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1418*FLEN/8, x10, x6, x7) + +inst_733:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1420*FLEN/8, x10, x6, x7) + +inst_734:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xf8c50a18d0c04 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fbf8c50a18d0c04; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1422*FLEN/8, x10, x6, x7) + +inst_735:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1424*FLEN/8, x10, x6, x7) + +inst_736:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1426*FLEN/8, x10, x6, x7) + +inst_737:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1428*FLEN/8, x10, x6, x7) + +inst_738:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xf8c50a18d0c04 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fbf8c50a18d0c04; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1430*FLEN/8, x10, x6, x7) + +inst_739:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1432*FLEN/8, x10, x6, x7) + +inst_740:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1434*FLEN/8, x10, x6, x7) + +inst_741:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1436*FLEN/8, x10, x6, x7) + +inst_742:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xf8c50a18d0c04 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fbf8c50a18d0c04; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1438*FLEN/8, x10, x6, x7) + +inst_743:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1440*FLEN/8, x10, x6, x7) + +inst_744:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xf8c50a18d0c04 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fbf8c50a18d0c04; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1442*FLEN/8, x10, x6, x7) + +inst_745:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1444*FLEN/8, x10, x6, x7) + +inst_746:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x197d0ed8b1e34 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x197d0ed8b1e34; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1446*FLEN/8, x10, x6, x7) + +inst_747:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x197d0ed8b1e34 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x197d0ed8b1e34; +valaddr_reg:x9; val_offset:1448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1448*FLEN/8, x10, x6, x7) + +inst_748:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1450*FLEN/8, x10, x6, x7) + +inst_749:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x028c817c11c9f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x28c817c11c9f; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1452*FLEN/8, x10, x6, x7) + +inst_750:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x028c817c11c9f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x28c817c11c9f; +valaddr_reg:x9; val_offset:1454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1454*FLEN/8, x10, x6, x7) + +inst_751:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1456*FLEN/8, x10, x6, x7) + +inst_752:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1458*FLEN/8, x10, x6, x7) + +inst_753:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1460*FLEN/8, x10, x6, x7) + +inst_754:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1462*FLEN/8, x10, x6, x7) + +inst_755:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1464*FLEN/8, x10, x6, x7) + +inst_756:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x197d0ed8b1e34 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xfafb7b5426c47 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x197d0ed8b1e34; op2val:0x802fafb7b5426c47; +valaddr_reg:x9; val_offset:1466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1466*FLEN/8, x10, x6, x7) + +inst_757:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xfafb7b5426c47 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x197d0ed8b1e34 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802fafb7b5426c47; op2val:0x197d0ed8b1e34; +valaddr_reg:x9; val_offset:1468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1468*FLEN/8, x10, x6, x7) + +inst_758:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x197d0ed8b1e34 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x197d0ed8b1e34; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1470*FLEN/8, x10, x6, x7) + +inst_759:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1472*FLEN/8, x10, x6, x7) + +inst_760:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x197d0ed8b1e34 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xa0144329d87cc and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x197d0ed8b1e34; op2val:0x801a0144329d87cc; +valaddr_reg:x9; val_offset:1474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1474*FLEN/8, x10, x6, x7) + +inst_761:// fs1 == 1 and fe1 == 0x001 and fm1 == 0xa0144329d87cc and fs2 == 0 and fe2 == 0x000 and fm2 == 0x197d0ed8b1e34 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x801a0144329d87cc; op2val:0x197d0ed8b1e34; +valaddr_reg:x9; val_offset:1476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1476*FLEN/8, x10, x6, x7) + +inst_762:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x197d0ed8b1e34 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x197d0ed8b1e34; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1478*FLEN/8, x10, x6, x7) + +inst_763:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1480*FLEN/8, x10, x6, x7) + +inst_764:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1482*FLEN/8, x10, x6, x7) + +inst_765:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1484*FLEN/8, x10, x6, x7) + +inst_766:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1486*FLEN/8, x10, x6, x7) + +inst_767:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1488*FLEN/8, x10, x6, x7) + +inst_768:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x197d0ed8b1e34 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x4b32977d93970 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x197d0ed8b1e34; op2val:0x8024b32977d93970; +valaddr_reg:x9; val_offset:1490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1490*FLEN/8, x10, x6, x7) + +inst_769:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x4b32977d93970 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x197d0ed8b1e34 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8024b32977d93970; op2val:0x197d0ed8b1e34; +valaddr_reg:x9; val_offset:1492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1492*FLEN/8, x10, x6, x7) + +inst_770:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x197d0ed8b1e34 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x197d0ed8b1e34; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1494*FLEN/8, x10, x6, x7) + +inst_771:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x0; +valaddr_reg:x9; val_offset:1496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1496*FLEN/8, x10, x6, x7) + +inst_772:// fs1 == 0 and fe1 == 0x400 and fm1 == 0x1b91ae09e503b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x4001b91ae09e503b; op2val:0x0; +valaddr_reg:x9; val_offset:1498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1498*FLEN/8, x10, x6, x7) + +inst_773:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x400 and fm2 == 0x1b91ae09e503b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x4001b91ae09e503b; +valaddr_reg:x9; val_offset:1500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1500*FLEN/8, x10, x6, x7) + +inst_774:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x400 and fm2 == 0x1b91ae09e503b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x4001b91ae09e503b; +valaddr_reg:x9; val_offset:1502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1502*FLEN/8, x10, x6, x7) + +inst_775:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1504*FLEN/8, x10, x6, x7) + +inst_776:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x43fe46d2b7ce6 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb43fe46d2b7ce6; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1506*FLEN/8, x10, x6, x7) + +inst_777:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x43fe46d2b7ce6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x7fb43fe46d2b7ce6; +valaddr_reg:x9; val_offset:1508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1508*FLEN/8, x10, x6, x7) + +inst_778:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1510*FLEN/8, x10, x6, x7) + +inst_779:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1512*FLEN/8, x10, x6, x7) + +inst_780:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x94fdd88765c1f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe94fdd88765c1f; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1514*FLEN/8, x10, x6, x7) + +inst_781:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x94fdd88765c1f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x7fe94fdd88765c1f; +valaddr_reg:x9; val_offset:1516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1516*FLEN/8, x10, x6, x7) + +inst_782:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1518*FLEN/8, x10, x6, x7) + +inst_783:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x43fe46d2b7ce6 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb43fe46d2b7ce6; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1520*FLEN/8, x10, x6, x7) + +inst_784:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1522*FLEN/8, x10, x6, x7) + +inst_785:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x94fdd88765c1f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe94fdd88765c1f; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1524*FLEN/8, x10, x6, x7) + +inst_786:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1526*FLEN/8, x10, x6, x7) + +inst_787:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x94fdd88765c1f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe94fdd88765c1f; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1528*FLEN/8, x10, x6, x7) + +inst_788:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1530*FLEN/8, x10, x6, x7) + +inst_789:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x43fe46d2b7ce6 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb43fe46d2b7ce6; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1532*FLEN/8, x10, x6, x7) + +inst_790:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1534*FLEN/8, x10, x6, x7) + +inst_791:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x94fdd88765c1f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe94fdd88765c1f; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1536*FLEN/8, x10, x6, x7) + +inst_792:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1538*FLEN/8, x10, x6, x7) + +inst_793:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x94fdd88765c1f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe94fdd88765c1f; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1540*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_6) + +inst_794:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1542*FLEN/8, x10, x6, x7) + +inst_795:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x43fe46d2b7ce6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb43fe46d2b7ce6; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1544*FLEN/8, x10, x6, x7) + +inst_796:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1546*FLEN/8, x10, x6, x7) + +inst_797:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x43fe46d2b7ce6 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb43fe46d2b7ce6; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1548*FLEN/8, x10, x6, x7) + +inst_798:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1550*FLEN/8, x10, x6, x7) + +inst_799:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x105c326c5af30 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x105c326c5af30; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1552*FLEN/8, x10, x6, x7) + +inst_800:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x105c326c5af30 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x105c326c5af30; +valaddr_reg:x9; val_offset:1554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1554*FLEN/8, x10, x6, x7) + +inst_801:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1556*FLEN/8, x10, x6, x7) + +inst_802:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01a2d1d7a2b1e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1a2d1d7a2b1e; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1558*FLEN/8, x10, x6, x7) + +inst_803:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01a2d1d7a2b1e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x1a2d1d7a2b1e; +valaddr_reg:x9; val_offset:1560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1560*FLEN/8, x10, x6, x7) + +inst_804:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1562*FLEN/8, x10, x6, x7) + +inst_805:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1564*FLEN/8, x10, x6, x7) + +inst_806:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1566*FLEN/8, x10, x6, x7) + +inst_807:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x105c326c5af30 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xfafb7b5426c47 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x105c326c5af30; op2val:0x802fafb7b5426c47; +valaddr_reg:x9; val_offset:1568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1568*FLEN/8, x10, x6, x7) + +inst_808:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xfafb7b5426c47 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x105c326c5af30 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802fafb7b5426c47; op2val:0x105c326c5af30; +valaddr_reg:x9; val_offset:1570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1570*FLEN/8, x10, x6, x7) + +inst_809:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x105c326c5af30 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x105c326c5af30; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1572*FLEN/8, x10, x6, x7) + +inst_810:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1574*FLEN/8, x10, x6, x7) + +inst_811:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x105c326c5af30 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xa0144329d87cc and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x105c326c5af30; op2val:0x801a0144329d87cc; +valaddr_reg:x9; val_offset:1576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1576*FLEN/8, x10, x6, x7) + +inst_812:// fs1 == 1 and fe1 == 0x001 and fm1 == 0xa0144329d87cc and fs2 == 0 and fe2 == 0x000 and fm2 == 0x105c326c5af30 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x801a0144329d87cc; op2val:0x105c326c5af30; +valaddr_reg:x9; val_offset:1578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1578*FLEN/8, x10, x6, x7) + +inst_813:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x105c326c5af30 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x105c326c5af30; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1580*FLEN/8, x10, x6, x7) + +inst_814:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1582*FLEN/8, x10, x6, x7) + +inst_815:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1584*FLEN/8, x10, x6, x7) + +inst_816:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1586*FLEN/8, x10, x6, x7) + +inst_817:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1588*FLEN/8, x10, x6, x7) + +inst_818:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1590*FLEN/8, x10, x6, x7) + +inst_819:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x105c326c5af30 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x4b32977d93970 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x105c326c5af30; op2val:0x8024b32977d93970; +valaddr_reg:x9; val_offset:1592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1592*FLEN/8, x10, x6, x7) + +inst_820:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x4b32977d93970 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x105c326c5af30 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8024b32977d93970; op2val:0x105c326c5af30; +valaddr_reg:x9; val_offset:1594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1594*FLEN/8, x10, x6, x7) + +inst_821:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x105c326c5af30 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x105c326c5af30; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1596*FLEN/8, x10, x6, x7) + +inst_822:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x0; +valaddr_reg:x9; val_offset:1598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1598*FLEN/8, x10, x6, x7) + +inst_823:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x6c0679d004e5b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff6c0679d004e5b; op2val:0x0; +valaddr_reg:x9; val_offset:1600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1600*FLEN/8, x10, x6, x7) + +inst_824:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x6c0679d004e5b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ff6c0679d004e5b; +valaddr_reg:x9; val_offset:1602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1602*FLEN/8, x10, x6, x7) + +inst_825:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x6c0679d004e5b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x3ff6c0679d004e5b; +valaddr_reg:x9; val_offset:1604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1604*FLEN/8, x10, x6, x7) + +inst_826:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1606*FLEN/8, x10, x6, x7) + +inst_827:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x093dbe3aa0387 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb093dbe3aa0387; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1608*FLEN/8, x10, x6, x7) + +inst_828:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x093dbe3aa0387 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x7fb093dbe3aa0387; +valaddr_reg:x9; val_offset:1610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1610*FLEN/8, x10, x6, x7) + +inst_829:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1612*FLEN/8, x10, x6, x7) + +inst_830:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1614*FLEN/8, x10, x6, x7) + +inst_831:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x4b8d2dc948469 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe4b8d2dc948469; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1616*FLEN/8, x10, x6, x7) + +inst_832:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x4b8d2dc948469 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x7fe4b8d2dc948469; +valaddr_reg:x9; val_offset:1618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1618*FLEN/8, x10, x6, x7) + +inst_833:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1620*FLEN/8, x10, x6, x7) + +inst_834:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x093dbe3aa0387 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb093dbe3aa0387; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1622*FLEN/8, x10, x6, x7) + +inst_835:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1624*FLEN/8, x10, x6, x7) + +inst_836:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x4b8d2dc948469 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe4b8d2dc948469; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1626*FLEN/8, x10, x6, x7) + +inst_837:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1628*FLEN/8, x10, x6, x7) + +inst_838:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x4b8d2dc948469 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe4b8d2dc948469; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1630*FLEN/8, x10, x6, x7) + +inst_839:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1632*FLEN/8, x10, x6, x7) + +inst_840:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x093dbe3aa0387 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb093dbe3aa0387; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1634*FLEN/8, x10, x6, x7) + +inst_841:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1636*FLEN/8, x10, x6, x7) + +inst_842:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x4b8d2dc948469 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe4b8d2dc948469; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1638*FLEN/8, x10, x6, x7) + +inst_843:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1640*FLEN/8, x10, x6, x7) + +inst_844:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x4b8d2dc948469 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe4b8d2dc948469; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1642*FLEN/8, x10, x6, x7) + +inst_845:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1644*FLEN/8, x10, x6, x7) + +inst_846:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x093dbe3aa0387 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb093dbe3aa0387; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1646*FLEN/8, x10, x6, x7) + +inst_847:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1648*FLEN/8, x10, x6, x7) + +inst_848:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x093dbe3aa0387 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb093dbe3aa0387; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1650*FLEN/8, x10, x6, x7) + +inst_849:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1652*FLEN/8, x10, x6, x7) + +inst_850:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d64b86ad9094 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd64b86ad9094; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1654*FLEN/8, x10, x6, x7) + +inst_851:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0d64b86ad9094 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0xd64b86ad9094; +valaddr_reg:x9; val_offset:1656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1656*FLEN/8, x10, x6, x7) + +inst_852:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1658*FLEN/8, x10, x6, x7) + +inst_853:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0156df3de280f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x156df3de280f; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1660*FLEN/8, x10, x6, x7) + +inst_854:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0156df3de280f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x156df3de280f; +valaddr_reg:x9; val_offset:1662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1662*FLEN/8, x10, x6, x7) + +inst_855:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1664*FLEN/8, x10, x6, x7) + +inst_856:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d64b86ad9094 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xfafb7b5426c47 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd64b86ad9094; op2val:0x802fafb7b5426c47; +valaddr_reg:x9; val_offset:1666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1666*FLEN/8, x10, x6, x7) + +inst_857:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xfafb7b5426c47 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0d64b86ad9094 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802fafb7b5426c47; op2val:0xd64b86ad9094; +valaddr_reg:x9; val_offset:1668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1668*FLEN/8, x10, x6, x7) + +inst_858:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d64b86ad9094 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd64b86ad9094; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1670*FLEN/8, x10, x6, x7) + +inst_859:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1672*FLEN/8, x10, x6, x7) + +inst_860:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d64b86ad9094 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xa0144329d87cc and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd64b86ad9094; op2val:0x801a0144329d87cc; +valaddr_reg:x9; val_offset:1674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1674*FLEN/8, x10, x6, x7) + +inst_861:// fs1 == 1 and fe1 == 0x001 and fm1 == 0xa0144329d87cc and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0d64b86ad9094 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x801a0144329d87cc; op2val:0xd64b86ad9094; +valaddr_reg:x9; val_offset:1676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1676*FLEN/8, x10, x6, x7) + +inst_862:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d64b86ad9094 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd64b86ad9094; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1678*FLEN/8, x10, x6, x7) + +inst_863:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1680*FLEN/8, x10, x6, x7) + +inst_864:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1682*FLEN/8, x10, x6, x7) + +inst_865:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1684*FLEN/8, x10, x6, x7) + +inst_866:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1686*FLEN/8, x10, x6, x7) + +inst_867:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1688*FLEN/8, x10, x6, x7) + +inst_868:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d64b86ad9094 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x4b32977d93970 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd64b86ad9094; op2val:0x8024b32977d93970; +valaddr_reg:x9; val_offset:1690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1690*FLEN/8, x10, x6, x7) + +inst_869:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x4b32977d93970 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0d64b86ad9094 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8024b32977d93970; op2val:0xd64b86ad9094; +valaddr_reg:x9; val_offset:1692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1692*FLEN/8, x10, x6, x7) + +inst_870:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d64b86ad9094 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd64b86ad9094; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1694*FLEN/8, x10, x6, x7) + +inst_871:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x0; +valaddr_reg:x9; val_offset:1696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1696*FLEN/8, x10, x6, x7) + +inst_872:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x2a038f94d730b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff2a038f94d730b; op2val:0x0; +valaddr_reg:x9; val_offset:1698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1698*FLEN/8, x10, x6, x7) + +inst_873:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x2a038f94d730b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ff2a038f94d730b; +valaddr_reg:x9; val_offset:1700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1700*FLEN/8, x10, x6, x7) + +inst_874:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x2a038f94d730b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x3ff2a038f94d730b; +valaddr_reg:x9; val_offset:1702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1702*FLEN/8, x10, x6, x7) + +inst_875:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1704*FLEN/8, x10, x6, x7) + +inst_876:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xf6025caa2d205 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdf6025caa2d205; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1706*FLEN/8, x10, x6, x7) + +inst_877:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xf6025caa2d205 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0xffdf6025caa2d205; +valaddr_reg:x9; val_offset:1708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1708*FLEN/8, x10, x6, x7) + +inst_878:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1710*FLEN/8, x10, x6, x7) + +inst_879:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1712*FLEN/8, x10, x6, x7) + +inst_880:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1714*FLEN/8, x10, x6, x7) + +inst_881:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1716*FLEN/8, x10, x6, x7) + +inst_882:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xf6025caa2d205 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdf6025caa2d205; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1718*FLEN/8, x10, x6, x7) + +inst_883:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1720*FLEN/8, x10, x6, x7) + +inst_884:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1722*FLEN/8, x10, x6, x7) + +inst_885:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1724*FLEN/8, x10, x6, x7) + +inst_886:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xf6025caa2d205 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdf6025caa2d205; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1726*FLEN/8, x10, x6, x7) + +inst_887:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1728*FLEN/8, x10, x6, x7) + +inst_888:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1730*FLEN/8, x10, x6, x7) + +inst_889:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1732*FLEN/8, x10, x6, x7) + +inst_890:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xf6025caa2d205 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdf6025caa2d205; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1734*FLEN/8, x10, x6, x7) + +inst_891:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1736*FLEN/8, x10, x6, x7) + +inst_892:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xf6025caa2d205 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdf6025caa2d205; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1738*FLEN/8, x10, x6, x7) + +inst_893:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1740*FLEN/8, x10, x6, x7) + +inst_894:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0a23bfe815416 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000a23bfe815416; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1742*FLEN/8, x10, x6, x7) + +inst_895:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0a23bfe815416 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x8000a23bfe815416; +valaddr_reg:x9; val_offset:1744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1744*FLEN/8, x10, x6, x7) + +inst_896:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1746*FLEN/8, x10, x6, x7) + +inst_897:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xfafb7b5426c47 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802fafb7b5426c47; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1748*FLEN/8, x10, x6, x7) + +inst_898:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x002 and fm2 == 0xfafb7b5426c47 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x802fafb7b5426c47; +valaddr_reg:x9; val_offset:1750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1750*FLEN/8, x10, x6, x7) + +inst_899:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1752*FLEN/8, x10, x6, x7) + +inst_900:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xfafb7b5426c47 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802fafb7b5426c47; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1754*FLEN/8, x10, x6, x7) + +inst_901:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1756*FLEN/8, x10, x6, x7) + +inst_902:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xfafb7b5426c47 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802fafb7b5426c47; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1758*FLEN/8, x10, x6, x7) + +inst_903:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1760*FLEN/8, x10, x6, x7) + +inst_904:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1762*FLEN/8, x10, x6, x7) + +inst_905:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1764*FLEN/8, x10, x6, x7) + +inst_906:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xfafb7b5426c47 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0d8fae5b11a26 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802fafb7b5426c47; op2val:0x8000d8fae5b11a26; +valaddr_reg:x9; val_offset:1766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1766*FLEN/8, x10, x6, x7) + +inst_907:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d8fae5b11a26 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xfafb7b5426c47 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d8fae5b11a26; op2val:0x802fafb7b5426c47; +valaddr_reg:x9; val_offset:1768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1768*FLEN/8, x10, x6, x7) + +inst_908:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xfafb7b5426c47 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802fafb7b5426c47; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1770*FLEN/8, x10, x6, x7) + +inst_909:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1772*FLEN/8, x10, x6, x7) + +inst_910:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xfafb7b5426c47 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x15be852c0ecf4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802fafb7b5426c47; op2val:0x80015be852c0ecf4; +valaddr_reg:x9; val_offset:1774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1774*FLEN/8, x10, x6, x7) + +inst_911:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x15be852c0ecf4 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xfafb7b5426c47 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80015be852c0ecf4; op2val:0x802fafb7b5426c47; +valaddr_reg:x9; val_offset:1776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1776*FLEN/8, x10, x6, x7) + +inst_912:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xfafb7b5426c47 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802fafb7b5426c47; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1778*FLEN/8, x10, x6, x7) + +inst_913:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1780*FLEN/8, x10, x6, x7) + +inst_914:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1782*FLEN/8, x10, x6, x7) + +inst_915:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x0; +valaddr_reg:x9; val_offset:1784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1784*FLEN/8, x10, x6, x7) + +inst_916:// fs1 == 1 and fe1 == 0x402 and fm1 == 0x1a04aee65a608 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc021a04aee65a608; op2val:0x0; +valaddr_reg:x9; val_offset:1786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1786*FLEN/8, x10, x6, x7) + +inst_917:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x402 and fm2 == 0x1a04aee65a608 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xc021a04aee65a608; +valaddr_reg:x9; val_offset:1788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1788*FLEN/8, x10, x6, x7) + +inst_918:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x402 and fm2 == 0x1a04aee65a608 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0xc021a04aee65a608; +valaddr_reg:x9; val_offset:1790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1790*FLEN/8, x10, x6, x7) + +inst_919:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1792*FLEN/8, x10, x6, x7) + +inst_920:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x9bff6a8783cf3 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc9bff6a8783cf3; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1794*FLEN/8, x10, x6, x7) + +inst_921:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x9bff6a8783cf3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0xffc9bff6a8783cf3; +valaddr_reg:x9; val_offset:1796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1796*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_7) + +inst_922:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1798*FLEN/8, x10, x6, x7) + +inst_923:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1800*FLEN/8, x10, x6, x7) + +inst_924:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1802*FLEN/8, x10, x6, x7) + +inst_925:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1804*FLEN/8, x10, x6, x7) + +inst_926:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x9bff6a8783cf3 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc9bff6a8783cf3; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1806*FLEN/8, x10, x6, x7) + +inst_927:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1808*FLEN/8, x10, x6, x7) + +inst_928:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1810*FLEN/8, x10, x6, x7) + +inst_929:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1812*FLEN/8, x10, x6, x7) + +inst_930:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x9bff6a8783cf3 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc9bff6a8783cf3; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1814*FLEN/8, x10, x6, x7) + +inst_931:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1816*FLEN/8, x10, x6, x7) + +inst_932:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1818*FLEN/8, x10, x6, x7) + +inst_933:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1820*FLEN/8, x10, x6, x7) + +inst_934:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x9bff6a8783cf3 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc9bff6a8783cf3; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1822*FLEN/8, x10, x6, x7) + +inst_935:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1824*FLEN/8, x10, x6, x7) + +inst_936:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x9bff6a8783cf3 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc9bff6a8783cf3; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1826*FLEN/8, x10, x6, x7) + +inst_937:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1828*FLEN/8, x10, x6, x7) + +inst_938:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x042929a1b2ce1 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800042929a1b2ce1; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1830*FLEN/8, x10, x6, x7) + +inst_939:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x042929a1b2ce1 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x800042929a1b2ce1; +valaddr_reg:x9; val_offset:1832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1832*FLEN/8, x10, x6, x7) + +inst_940:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1834*FLEN/8, x10, x6, x7) + +inst_941:// fs1 == 1 and fe1 == 0x001 and fm1 == 0xa0144329d87cc and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x801a0144329d87cc; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1836*FLEN/8, x10, x6, x7) + +inst_942:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xa0144329d87cc and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x801a0144329d87cc; +valaddr_reg:x9; val_offset:1838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1838*FLEN/8, x10, x6, x7) + +inst_943:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1840*FLEN/8, x10, x6, x7) + +inst_944:// fs1 == 1 and fe1 == 0x001 and fm1 == 0xa0144329d87cc and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x801a0144329d87cc; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1842*FLEN/8, x10, x6, x7) + +inst_945:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1844*FLEN/8, x10, x6, x7) + +inst_946:// fs1 == 1 and fe1 == 0x001 and fm1 == 0xa0144329d87cc and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x801a0144329d87cc; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1846*FLEN/8, x10, x6, x7) + +inst_947:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1848*FLEN/8, x10, x6, x7) + +inst_948:// fs1 == 1 and fe1 == 0x001 and fm1 == 0xa0144329d87cc and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0d8fae5b11a26 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x801a0144329d87cc; op2val:0x8000d8fae5b11a26; +valaddr_reg:x9; val_offset:1850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1850*FLEN/8, x10, x6, x7) + +inst_949:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d8fae5b11a26 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xa0144329d87cc and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d8fae5b11a26; op2val:0x801a0144329d87cc; +valaddr_reg:x9; val_offset:1852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1852*FLEN/8, x10, x6, x7) + +inst_950:// fs1 == 1 and fe1 == 0x001 and fm1 == 0xa0144329d87cc and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x801a0144329d87cc; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1854*FLEN/8, x10, x6, x7) + +inst_951:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1856*FLEN/8, x10, x6, x7) + +inst_952:// fs1 == 1 and fe1 == 0x001 and fm1 == 0xa0144329d87cc and fs2 == 1 and fe2 == 0x000 and fm2 == 0x15be852c0ecf4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x801a0144329d87cc; op2val:0x80015be852c0ecf4; +valaddr_reg:x9; val_offset:1858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1858*FLEN/8, x10, x6, x7) + +inst_953:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x15be852c0ecf4 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xa0144329d87cc and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80015be852c0ecf4; op2val:0x801a0144329d87cc; +valaddr_reg:x9; val_offset:1860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1860*FLEN/8, x10, x6, x7) + +inst_954:// fs1 == 1 and fe1 == 0x001 and fm1 == 0xa0144329d87cc and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x801a0144329d87cc; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1862*FLEN/8, x10, x6, x7) + +inst_955:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1864*FLEN/8, x10, x6, x7) + +inst_956:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1866*FLEN/8, x10, x6, x7) + +inst_957:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x0; +valaddr_reg:x9; val_offset:1868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1868*FLEN/8, x10, x6, x7) + +inst_958:// fs1 == 1 and fe1 == 0x400 and fm1 == 0xcee7468323917 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc00cee7468323917; op2val:0x0; +valaddr_reg:x9; val_offset:1870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1870*FLEN/8, x10, x6, x7) + +inst_959:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x400 and fm2 == 0xcee7468323917 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xc00cee7468323917; +valaddr_reg:x9; val_offset:1872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1872*FLEN/8, x10, x6, x7) + +inst_960:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x400 and fm2 == 0xcee7468323917 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0xc00cee7468323917; +valaddr_reg:x9; val_offset:1874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1874*FLEN/8, x10, x6, x7) + +inst_961:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1876*FLEN/8, x10, x6, x7) + +inst_962:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x0c90875ccb5d8 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb0c90875ccb5d8; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1878*FLEN/8, x10, x6, x7) + +inst_963:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x0c90875ccb5d8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0xffb0c90875ccb5d8; +valaddr_reg:x9; val_offset:1880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1880*FLEN/8, x10, x6, x7) + +inst_964:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1882*FLEN/8, x10, x6, x7) + +inst_965:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1884*FLEN/8, x10, x6, x7) + +inst_966:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x4fb4a933fe34f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe4fb4a933fe34f; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1886*FLEN/8, x10, x6, x7) + +inst_967:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x4fb4a933fe34f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0xffe4fb4a933fe34f; +valaddr_reg:x9; val_offset:1888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1888*FLEN/8, x10, x6, x7) + +inst_968:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1890*FLEN/8, x10, x6, x7) + +inst_969:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x0c90875ccb5d8 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb0c90875ccb5d8; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1892*FLEN/8, x10, x6, x7) + +inst_970:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1894*FLEN/8, x10, x6, x7) + +inst_971:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x4fb4a933fe34f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe4fb4a933fe34f; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1896*FLEN/8, x10, x6, x7) + +inst_972:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1898*FLEN/8, x10, x6, x7) + +inst_973:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x4fb4a933fe34f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe4fb4a933fe34f; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1900*FLEN/8, x10, x6, x7) + +inst_974:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1902*FLEN/8, x10, x6, x7) + +inst_975:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x0c90875ccb5d8 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb0c90875ccb5d8; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1904*FLEN/8, x10, x6, x7) + +inst_976:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1906*FLEN/8, x10, x6, x7) + +inst_977:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x4fb4a933fe34f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe4fb4a933fe34f; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1908*FLEN/8, x10, x6, x7) + +inst_978:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1910*FLEN/8, x10, x6, x7) + +inst_979:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x4fb4a933fe34f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe4fb4a933fe34f; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1912*FLEN/8, x10, x6, x7) + +inst_980:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1914*FLEN/8, x10, x6, x7) + +inst_981:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x0c90875ccb5d8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb0c90875ccb5d8; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1916*FLEN/8, x10, x6, x7) + +inst_982:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1918*FLEN/8, x10, x6, x7) + +inst_983:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x0c90875ccb5d8 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb0c90875ccb5d8; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1920*FLEN/8, x10, x6, x7) + +inst_984:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1922*FLEN/8, x10, x6, x7) + +inst_985:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d8fae5b11a26 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d8fae5b11a26; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1924*FLEN/8, x10, x6, x7) + +inst_986:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0d8fae5b11a26 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x8000d8fae5b11a26; +valaddr_reg:x9; val_offset:1926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1926*FLEN/8, x10, x6, x7) + +inst_987:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1928*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1928*FLEN/8, x10, x6, x7) + +inst_988:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x015b2b091b5d1 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800015b2b091b5d1; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1930*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1930*FLEN/8, x10, x6, x7) + +inst_989:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x015b2b091b5d1 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x800015b2b091b5d1; +valaddr_reg:x9; val_offset:1932*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1932*FLEN/8, x10, x6, x7) + +inst_990:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1934*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1934*FLEN/8, x10, x6, x7) + +inst_991:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d8fae5b11a26 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d8fae5b11a26; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1936*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1936*FLEN/8, x10, x6, x7) + +inst_992:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1938*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1938*FLEN/8, x10, x6, x7) + +inst_993:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d8fae5b11a26 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d8fae5b11a26; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1940*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1940*FLEN/8, x10, x6, x7) + +inst_994:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1942*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1942*FLEN/8, x10, x6, x7) + +inst_995:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1944*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1944*FLEN/8, x10, x6, x7) + +inst_996:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1946*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1946*FLEN/8, x10, x6, x7) + +inst_997:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d8fae5b11a26 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x4b32977d93970 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d8fae5b11a26; op2val:0x8024b32977d93970; +valaddr_reg:x9; val_offset:1948*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1948*FLEN/8, x10, x6, x7) + +inst_998:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x4b32977d93970 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0d8fae5b11a26 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8024b32977d93970; op2val:0x8000d8fae5b11a26; +valaddr_reg:x9; val_offset:1950*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1950*FLEN/8, x10, x6, x7) + +inst_999:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d8fae5b11a26 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d8fae5b11a26; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1952*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1952*FLEN/8, x10, x6, x7) + +inst_1000:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x0; +valaddr_reg:x9; val_offset:1954*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1954*FLEN/8, x10, x6, x7) + +inst_1001:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x2dbf77d539bae and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbff2dbf77d539bae; op2val:0x0; +valaddr_reg:x9; val_offset:1956*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1956*FLEN/8, x10, x6, x7) + +inst_1002:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x2dbf77d539bae and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xbff2dbf77d539bae; +valaddr_reg:x9; val_offset:1958*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1958*FLEN/8, x10, x6, x7) + +inst_1003:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x2dbf77d539bae and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0xbff2dbf77d539bae; +valaddr_reg:x9; val_offset:1960*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1960*FLEN/8, x10, x6, x7) + +inst_1004:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1962*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1962*FLEN/8, x10, x6, x7) + +inst_1005:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xae9e55abc765f and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbae9e55abc765f; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1964*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1964*FLEN/8, x10, x6, x7) + +inst_1006:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xae9e55abc765f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0xffbae9e55abc765f; +valaddr_reg:x9; val_offset:1966*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1966*FLEN/8, x10, x6, x7) + +inst_1007:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1968*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1968*FLEN/8, x10, x6, x7) + +inst_1008:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1970*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1970*FLEN/8, x10, x6, x7) + +inst_1009:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1972*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1972*FLEN/8, x10, x6, x7) + +inst_1010:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1974*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1974*FLEN/8, x10, x6, x7) + +inst_1011:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xae9e55abc765f and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbae9e55abc765f; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1976*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1976*FLEN/8, x10, x6, x7) + +inst_1012:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1978*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1978*FLEN/8, x10, x6, x7) + +inst_1013:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1980*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1980*FLEN/8, x10, x6, x7) + +inst_1014:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1982*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1982*FLEN/8, x10, x6, x7) + +inst_1015:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xae9e55abc765f and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbae9e55abc765f; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1984*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1984*FLEN/8, x10, x6, x7) + +inst_1016:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1986*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1986*FLEN/8, x10, x6, x7) + +inst_1017:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1988*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1988*FLEN/8, x10, x6, x7) + +inst_1018:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1990*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1990*FLEN/8, x10, x6, x7) + +inst_1019:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xae9e55abc765f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbae9e55abc765f; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1992*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1992*FLEN/8, x10, x6, x7) + +inst_1020:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1994*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1994*FLEN/8, x10, x6, x7) + +inst_1021:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xae9e55abc765f and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbae9e55abc765f; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1996*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1996*FLEN/8, x10, x6, x7) + +inst_1022:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1998*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1998*FLEN/8, x10, x6, x7) + +inst_1023:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x15be852c0ecf4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80015be852c0ecf4; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:2000*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2000*FLEN/8, x10, x6, x7) + +inst_1024:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x15be852c0ecf4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x80015be852c0ecf4; +valaddr_reg:x9; val_offset:2002*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2002*FLEN/8, x10, x6, x7) + +inst_1025:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:2004*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2004*FLEN/8, x10, x6, x7) + +inst_1026:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x022ca6eace47f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800022ca6eace47f; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:2006*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2006*FLEN/8, x10, x6, x7) + +inst_1027:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x022ca6eace47f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x800022ca6eace47f; +valaddr_reg:x9; val_offset:2008*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2008*FLEN/8, x10, x6, x7) + +inst_1028:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:2010*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2010*FLEN/8, x10, x6, x7) + +inst_1029:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x15be852c0ecf4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80015be852c0ecf4; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:2012*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2012*FLEN/8, x10, x6, x7) + +inst_1030:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:2014*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2014*FLEN/8, x10, x6, x7) + +inst_1031:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x15be852c0ecf4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80015be852c0ecf4; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:2016*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2016*FLEN/8, x10, x6, x7) + +inst_1032:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:2018*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2018*FLEN/8, x10, x6, x7) + +inst_1033:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x15be852c0ecf4 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x4b32977d93970 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80015be852c0ecf4; op2val:0x8024b32977d93970; +valaddr_reg:x9; val_offset:2020*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2020*FLEN/8, x10, x6, x7) + +inst_1034:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x4b32977d93970 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x15be852c0ecf4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8024b32977d93970; op2val:0x80015be852c0ecf4; +valaddr_reg:x9; val_offset:2022*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2022*FLEN/8, x10, x6, x7) + +inst_1035:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x15be852c0ecf4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80015be852c0ecf4; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:2024*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2024*FLEN/8, x10, x6, x7) + +inst_1036:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x0; +valaddr_reg:x9; val_offset:2026*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2026*FLEN/8, x10, x6, x7) + +inst_1037:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0xe3d32f95a320d and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbffe3d32f95a320d; op2val:0x0; +valaddr_reg:x9; val_offset:2028*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2028*FLEN/8, x10, x6, x7) + +inst_1038:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xe3d32f95a320d and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xbffe3d32f95a320d; +valaddr_reg:x9; val_offset:2030*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2030*FLEN/8, x10, x6, x7) + +inst_1039:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xe3d32f95a320d and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0xbffe3d32f95a320d; +valaddr_reg:x9; val_offset:2032*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2032*FLEN/8, x10, x6, x7) + +inst_1040:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:2034*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2034*FLEN/8, x10, x6, x7) + +inst_1041:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x47f2e5cadc271 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd47f2e5cadc271; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:2036*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2036*FLEN/8, x10, x6, x7) + +inst_1042:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x47f2e5cadc271 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0xffd47f2e5cadc271; +valaddr_reg:x9; val_offset:2038*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2038*FLEN/8, x10, x6, x7) + +inst_1043:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:2040*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2040*FLEN/8, x10, x6, x7) + +inst_1044:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:2042*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2042*FLEN/8, x10, x6, x7) + +inst_1045:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:2044*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2044*FLEN/8, x10, x6, x7) + +inst_1046:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:2046*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2046*FLEN/8, x10, x6, x7) + +inst_1047:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x47f2e5cadc271 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd47f2e5cadc271; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:2048*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2048*FLEN/8, x10, x6, x7) + +inst_1048:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:2050*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2050*FLEN/8, x10, x6, x7) + +inst_1049:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:2052*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2052*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_8) + +inst_1050:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:2054*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2054*FLEN/8, x10, x6, x7) + +inst_1051:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x47f2e5cadc271 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd47f2e5cadc271; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:2056*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2056*FLEN/8, x10, x6, x7) + +inst_1052:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:2058*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2058*FLEN/8, x10, x6, x7) + +inst_1053:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:2060*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2060*FLEN/8, x10, x6, x7) + +inst_1054:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:2062*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2062*FLEN/8, x10, x6, x7) + +inst_1055:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x47f2e5cadc271 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd47f2e5cadc271; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:2064*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2064*FLEN/8, x10, x6, x7) + +inst_1056:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:2066*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2066*FLEN/8, x10, x6, x7) + +inst_1057:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x47f2e5cadc271 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd47f2e5cadc271; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:2068*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2068*FLEN/8, x10, x6, x7) + +inst_1058:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:2070*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2070*FLEN/8, x10, x6, x7) + +inst_1059:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x069fbb598d312 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800069fbb598d312; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:2072*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2072*FLEN/8, x10, x6, x7) + +inst_1060:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x069fbb598d312 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x800069fbb598d312; +valaddr_reg:x9; val_offset:2074*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2074*FLEN/8, x10, x6, x7) + +inst_1061:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:2076*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2076*FLEN/8, x10, x6, x7) + +inst_1062:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x4b32977d93970 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8024b32977d93970; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:2078*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2078*FLEN/8, x10, x6, x7) + +inst_1063:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x4b32977d93970 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x8024b32977d93970; +valaddr_reg:x9; val_offset:2080*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2080*FLEN/8, x10, x6, x7) + +inst_1064:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:2082*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2082*FLEN/8, x10, x6, x7) + +inst_1065:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x4b32977d93970 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8024b32977d93970; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:2084*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2084*FLEN/8, x10, x6, x7) + +inst_1066:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:2086*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2086*FLEN/8, x10, x6, x7) + +inst_1067:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x4b32977d93970 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8024b32977d93970; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:2088*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2088*FLEN/8, x10, x6, x7) + +inst_1068:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:2090*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2090*FLEN/8, x10, x6, x7) + +inst_1069:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x4b32977d93970 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8024b32977d93970; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:2092*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2092*FLEN/8, x10, x6, x7) + +inst_1070:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:2094*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2094*FLEN/8, x10, x6, x7) + +inst_1071:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x4b32977d93970 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8024b32977d93970; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:2096*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2096*FLEN/8, x10, x6, x7) + +inst_1072:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x0; +valaddr_reg:x9; val_offset:2098*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2098*FLEN/8, x10, x6, x7) + +inst_1073:// fs1 == 1 and fe1 == 0x401 and fm1 == 0x707836e56fe8b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc01707836e56fe8b; op2val:0x0; +valaddr_reg:x9; val_offset:2100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2100*FLEN/8, x10, x6, x7) + +inst_1074:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x401 and fm2 == 0x707836e56fe8b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xc01707836e56fe8b; +valaddr_reg:x9; val_offset:2102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2102*FLEN/8, x10, x6, x7) + +inst_1075:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x401 and fm2 == 0x707836e56fe8b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0xc01707836e56fe8b; +valaddr_reg:x9; val_offset:2104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2104*FLEN/8, x10, x6, x7) + +inst_1076:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:2106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2106*FLEN/8, x10, x6, x7) + +inst_1077:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x0; +valaddr_reg:x9; val_offset:2108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2108*FLEN/8, x10, x6, x7) + +inst_1078:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:2110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2110*FLEN/8, x10, x6, x7) + +inst_1079:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:2112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2112*FLEN/8, x10, x6, x7) + +inst_1080:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:2114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2114*FLEN/8, x10, x6, x7) + +inst_1081:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:2116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2116*FLEN/8, x10, x6, x7) + +inst_1082:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:2118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2118*FLEN/8, x10, x6, x7) + +inst_1083:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:2120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2120*FLEN/8, x10, x6, x7) + +inst_1084:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:2122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2122*FLEN/8, x10, x6, x7) + +inst_1085:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:2124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2124*FLEN/8, x10, x6, x7) + +inst_1086:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:2126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2126*FLEN/8, x10, x6, x7) + +inst_1087:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:2128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2128*FLEN/8, x10, x6, x7) + +inst_1088:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:2130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2130*FLEN/8, x10, x6, x7) + +inst_1089:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:2132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2132*FLEN/8, x10, x6, x7) + +inst_1090:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:2134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2134*FLEN/8, x10, x6, x7) + +inst_1091:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:2136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2136*FLEN/8, x10, x6, x7) + +inst_1092:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:2138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2138*FLEN/8, x10, x6, x7) + +inst_1093:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:2140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2140*FLEN/8, x10, x6, x7) + +inst_1094:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:2142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2142*FLEN/8, x10, x6, x7) + +inst_1095:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:2144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2144*FLEN/8, x10, x6, x7) + +inst_1096:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:2146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2146*FLEN/8, x10, x6, x7) + +inst_1097:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:2148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2148*FLEN/8, x10, x6, x7) + +inst_1098:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x3137cb6875068 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3137cb6875068; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:2150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2150*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9200859229056023528,64,FLEN) +NAN_BOXED(9200859229056023528,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9202377779058983425,64,FLEN) +NAN_BOXED(9202377779058983425,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9200925092249089411,64,FLEN) +NAN_BOXED(9200925092249089411,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18426456050155434335,64,FLEN) +NAN_BOXED(18426456050155434335,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +test_dataset_1: +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18425239702822959398,64,FLEN) +NAN_BOXED(18425239702822959398,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(86585128932567,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(86585128932567,64,FLEN) +NAN_BOXED(86585128932567,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(86585128932567,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4616502476100471174,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4616502476100471174,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(4616502476100471174,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9200859229056023528,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9200859229056023528,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9200859229056023528,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9200859229056023528,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9200859229056023528,64,FLEN) +NAN_BOXED(9200859229056023528,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9200859229056023528,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9200859229056023528,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(227672801075598,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(227672801075598,64,FLEN) +NAN_BOXED(227672801075598,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(227672801075598,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(22767280107560,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(22767280107560,64,FLEN) +NAN_BOXED(22767280107560,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(22767280107560,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(227672801075598,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(227672801075598,64,FLEN) +NAN_BOXED(227672801075598,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(227672801075598,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(227672801075598,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607744707152311142,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607744707152311142,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(4607744707152311142,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(94367810225416,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(94367810225416,64,FLEN) +NAN_BOXED(94367810225416,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(94367810225416,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4616935402117816430,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4616935402117816430,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(4616935402117816430,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9202377779058983425,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9202377779058983425,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9202377779058983425,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9202377779058983425,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9202377779058983425,64,FLEN) +NAN_BOXED(9202377779058983425,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9202377779058983425,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9202377779058983425,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(304352472427542,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(304352472427542,64,FLEN) +NAN_BOXED(304352472427542,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(304352472427542,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(30435247242754,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(30435247242754,64,FLEN) +NAN_BOXED(30435247242754,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(30435247242754,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(304352472427542,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(304352472427542,64,FLEN) +NAN_BOXED(304352472427542,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(304352472427542,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(304352472427542,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609450886474352491,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609450886474352491,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(4609450886474352491,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9200925092249089411,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9200925092249089411,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9200925092249089411,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9200925092249089411,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9200925092249089411,64,FLEN) +NAN_BOXED(9200925092249089411,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9200925092249089411,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9200925092249089411,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(230998584216514,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(230998584216514,64,FLEN) +NAN_BOXED(230998584216514,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(230998584216514,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(23099858421651,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(23099858421651,64,FLEN) +NAN_BOXED(23099858421651,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(23099858421651,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(230998584216514,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(230998584216514,64,FLEN) +NAN_BOXED(230998584216514,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(230998584216514,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(230998584216514,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607818708283570298,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607818708283570298,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(4607818708283570298,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18426456050155434335,64,FLEN) +NAN_BOXED(18426456050155434335,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18425239702822959398,64,FLEN) +NAN_BOXED(18425239702822959398,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9223537872305925475,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(9223537872305925475,64,FLEN) +NAN_BOXED(9223537872305925475,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9223537872305925475,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13844174106499120105,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13844174106499120105,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(13844174106499120105,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18426456050155434335,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18426456050155434335,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18426456050155434335,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18426456050155434335,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18426456050155434335,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18426456050155434335,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9223712050852634572,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223712050852634572,64,FLEN) +NAN_BOXED(9223712050852634572,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9223712050852634572,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9223406038254561684,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223406038254561684,64,FLEN) +NAN_BOXED(9223406038254561684,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9223406038254561684,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9223712050852634572,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223712050852634572,64,FLEN) +NAN_BOXED(9223712050852634572,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9223712050852634572,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9223712050852634572,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833616418609043313,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833616418609043313,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(13833616418609043313,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18425239702822959398,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18425239702822959398,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18425239702822959398,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18425239702822959398,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18425239702822959398,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18425239702822959398,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9223650631002433923,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223650631002433923,64,FLEN) +NAN_BOXED(9223650631002433923,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9223650631002433923,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9223399896269541619,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223399896269541619,64,FLEN) +NAN_BOXED(9223399896269541619,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9223399896269541619,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9223650631002433923,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223650631002433923,64,FLEN) +NAN_BOXED(9223650631002433923,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9223650631002433923,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9223650631002433923,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13832249781578294385,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13832249781578294385,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(13832249781578294385,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9223562569479283840,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(9223562569479283840,64,FLEN) +NAN_BOXED(9223562569479283840,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9223562569479283840,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13844861019434354089,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13844861019434354089,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(13844861019434354089,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9223420049512093330,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(9223420049512093330,64,FLEN) +NAN_BOXED(9223420049512093330,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9223420049512093330,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13835896041088527380,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13835896041088527380,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(13835896041088527380,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(166612995020972,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(166612995020972,64,FLEN) +NAN_BOXED(166612995020972,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(166612995020972,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4620823695801117841,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4620823695801117841,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(4620823695801117841,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(44839857101983,64,FLEN) +NAN_BOXED(44839857101983,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(28781070396190,64,FLEN) +NAN_BOXED(28781070396190,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(23561987041295,64,FLEN) +NAN_BOXED(23561987041295,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(9223550415411434518,64,FLEN) +NAN_BOXED(9223550415411434518,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(9223445234272906465,64,FLEN) +NAN_BOXED(9223445234272906465,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(9223395894065477073,64,FLEN) +NAN_BOXED(9223395894065477073,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(9223410289690338431,64,FLEN) +NAN_BOXED(9223410289690338431,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(9223488566659175186,64,FLEN) +NAN_BOXED(9223488566659175186,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4613780136809803538,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4613780136809803538,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(4613780136809803538,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(44839857101983,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(44839857101983,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4612171018492858427,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4612171018492858427,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(4612171018492858427,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(28781070396190,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(28781070396190,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609082819908488795,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609082819908488795,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(4609082819908488795,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(23561987041295,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(23561987041295,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607921535314653963,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607921535314653963,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(4607921535314653963,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9223550415411434518,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9223550415411434518,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13844522973201278472,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13844522973201278472,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(13844522973201278472,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9223445234272906465,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9223445234272906465,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13838697938734430487,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13838697938734430487,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(13838697938734430487,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9223395894065477073,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9223395894065477073,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13831359261614250926,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13831359261614250926,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(13831359261614250926,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9223410289690338431,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9223410289690338431,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13834562394469839373,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13834562394469839373,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(13834562394469839373,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9223488566659175186,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9223488566659175186,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13841540240819814027,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13841540240819814027,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(13841540240819814027,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_5: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_6: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_7: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_8: + .fill 98*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fleq_b1-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fleq_b1-01.S new file mode 100644 index 000000000..0b3052e14 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fleq_b1-01.S @@ -0,0 +1,368 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:39:45 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fleq.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fleq.s instruction of the RISC-V RV32F_Zicsr_Zfa,RV32FD_Zicsr_Zfa,RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fleq_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr_Zfa,RV32IFD_Zicsr_Zfa,RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fleq_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 != rs2, rs1==f31, rs2==f30, rd==x31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x0; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 == rs2, rs1==f29, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f29; op2:f29; dest:x30; op1val:0x0; op2val:0x0; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x30, f29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f30, rs2==f31, rd==x29,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f30; op2:f31; dest:x29; op1val:0x0; op2val:0x1; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x29, f30, f31, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f28; op2:f27; dest:x28; op1val:0x0; op2val:0x80000001; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f27; op2:f28; dest:x27; op1val:0x0; op2val:0x2; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f26; op2:f25; dest:x26; op1val:0x0; op2val:0x807ffffe; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f25; op2:f26; dest:x25; op1val:0x0; op2val:0x7fffff; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f24; op2:f23; dest:x24; op1val:0x0; op2val:0x807fffff; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f23; op2:f24; dest:x23; op1val:0x0; op2val:0x800000; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f22; op2:f21; dest:x22; op1val:0x0; op2val:0x80800000; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f21; op2:f22; dest:x21; op1val:0x0; op2val:0x800001; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f20; op2:f19; dest:x20; op1val:0x0; op2val:0x80855555; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f19; op2:f20; dest:x19; op1val:0x0; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f18; op2:f17; dest:x18; op1val:0x0; op2val:0xff7fffff; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f17; op2:f18; dest:x17; op1val:0x0; op2val:0x7f800000; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f16; op2:f15; dest:x16; op1val:0x0; op2val:0xff800000; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f15; op2:f16; dest:x15; op1val:0x0; op2val:0x7fc00000; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f14; op2:f13; dest:x14; op1val:0x0; op2val:0xffc00000; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f13; op2:f14; dest:x13; op1val:0x0; op2val:0x7fc00001; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f12; op2:f11; dest:x12; op1val:0x0; op2val:0xffc55555; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f11; op2:f12; dest:x11; op1val:0x0; op2val:0x7f800001; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f10; op2:f9; dest:x10; op1val:0x0; op2val:0xffaaaaaa; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f9; op2:f10; dest:x9; op1val:0x0; op2val:0x3f800000; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f8; op2:f7; dest:x8; op1val:0x0; op2val:0xbf800000; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f7; op2:f8; dest:x7; op1val:0x80000000; op2val:0x0; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f6; op2:f5; dest:x6; op1val:0x80000000; op2val:0x80000000; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f5; op2:f6; dest:x5; op1val:0x80000000; op2val:0x1; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f4; op2:f3; dest:x4; op1val:0x80000000; op2val:0x80000001; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f3; op2:f4; dest:x3; op1val:0x80000000; op2val:0x2; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f2; op2:f1; dest:x2; op1val:0x80000000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f1; op2:f2; dest:x1; op1val:0x80000000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f0; op2:f31; dest:x31; op1val:0x80000000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f31; op2:f0; dest:x31; op1val:0x80000000; op2val:0x800000; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x0; op1val:0x80000000; op2val:0x80800000; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +test_dataset_1: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 16*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fleq_b19-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fleq_b19-01.S new file mode 100644 index 000000000..f97aee396 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fleq_b19-01.S @@ -0,0 +1,368 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:39:45 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fleq.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fleq.s instruction of the RISC-V RV32F_Zicsr_Zfa,RV32FD_Zicsr_Zfa,RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fleq_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr_Zfa,RV32IFD_Zicsr_Zfa,RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fleq_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 != rs2, rs1==f31, rs2==f30, rd==x31,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x7e36c1bf; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 == rs2, rs1==f29, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f29; op2:f29; dest:x30; op1val:0x7e36c1bf; op2val:0x7e36c1bf; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x30, f29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f30, rs2==f31, rd==x29,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x4038a5 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f30; op2:f31; dest:x29; op1val:0x7f7fffff; op2val:0x7d4038a5; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x29, f30, f31, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0xfa and fm1 == 0x4038a5 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f28; op2:f27; dest:x28; op1val:0x7d4038a5; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f27; op2:f28; dest:x27; op1val:0x7f7fffff; op2val:0x7ef046ce; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f26; op2:f25; dest:x26; op1val:0x7e36c1bf; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f25; op2:f26; dest:x25; op1val:0x7e36c1bf; op2val:0x7e472f12; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f24; op2:f23; dest:x24; op1val:0x7e472f12; op2val:0x7e36c1bf; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f23; op2:f24; dest:x23; op1val:0x7e36c1bf; op2val:0x7f2099c0; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x007b00 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f22; op2:f21; dest:x22; op1val:0x7f7fffff; op2val:0x7d807b00; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0xfb and fm1 == 0x007b00 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f21; op2:f22; dest:x21; op1val:0x7d807b00; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f20; op2:f19; dest:x20; op1val:0x7f7fffff; op2val:0x7f2099c0; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f19; op2:f20; dest:x19; op1val:0x7e36c1bf; op2val:0x7ef3c956; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x430778 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f18; op2:f17; dest:x18; op1val:0x7f7fffff; op2val:0x7d430778; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 0 and fe1 == 0xfa and fm1 == 0x430778 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f17; op2:f18; dest:x17; op1val:0x7d430778; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f16; op2:f15; dest:x16; op1val:0x7f7fffff; op2val:0x7ef3c956; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f15; op2:f16; dest:x15; op1val:0x7e36c1bf; op2val:0xfeaf0416; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x0c0345 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f14; op2:f13; dest:x14; op1val:0x7f7fffff; op2val:0xfd0c0345; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 1 and fe1 == 0xfa and fm1 == 0x0c0345 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f13; op2:f14; dest:x13; op1val:0xfd0c0345; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f12; op2:f11; dest:x12; op1val:0x7f7fffff; op2val:0xfeaf0416; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f11; op2:f12; dest:x11; op1val:0x7e36c1bf; op2val:0xff336b1f; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfb and fm2 == 0x0f88e6 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f10; op2:f9; dest:x10; op1val:0x7f7fffff; op2val:0xfd8f88e6; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 1 and fe1 == 0xfb and fm1 == 0x0f88e6 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f9; op2:f10; dest:x9; op1val:0xfd8f88e6; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f8; op2:f7; dest:x8; op1val:0x7f7fffff; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f7; op2:f8; dest:x7; op1val:0x7e36c1bf; op2val:0xff130229; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x6b36a9 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f6; op2:f5; dest:x6; op1val:0x7f7fffff; op2val:0xfd6b36a9; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 1 and fe1 == 0xfa and fm1 == 0x6b36a9 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f5; op2:f6; dest:x5; op1val:0xfd6b36a9; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f4; op2:f3; dest:x4; op1val:0x7f7fffff; op2val:0xff130229; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f3; op2:f4; dest:x3; op1val:0x7e36c1bf; op2val:0xfec91492; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x20dd41 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f2; op2:f1; dest:x2; op1val:0x7f7fffff; op2val:0xfd20dd41; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 1 and fe1 == 0xfa and fm1 == 0x20dd41 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f1; op2:f2; dest:x1; op1val:0xfd20dd41; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f0; op2:f31; dest:x31; op1val:0x7f7fffff; op2val:0xfec91492; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f31; op2:f0; dest:x31; op1val:0x7e36c1bf; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x0; op1val:0xfdcaaeb1; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2101360805,32,FLEN) +NAN_BOXED(2101360805,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2105572096,32,FLEN) +NAN_BOXED(2105572096,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2101544824,32,FLEN) +NAN_BOXED(2101544824,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4245422917,32,FLEN) +NAN_BOXED(4245422917,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4254042342,32,FLEN) +NAN_BOXED(4254042342,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +test_dataset_1: +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4251661993,32,FLEN) +NAN_BOXED(4251661993,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4246789441,32,FLEN) +NAN_BOXED(4246789441,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 16*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fli.d-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fli.d-01.S new file mode 100644 index 000000000..b670ebc23 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fli.d-01.S @@ -0,0 +1,204 @@ +// Copyright (c) 2023. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fli.d instruction +// for the following ISA configurations: +// * RV32ID_Zfa +// * RV64ID_Zfa + +#include "model_test.h" +#include "arch_test.h" + +RVTEST_ISA("RV32ID_Zfa,RV64ID_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: + +RVMODEL_BOOT + +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*);def TEST_CASE_1=True;",fli.d) + +// Registers with a special purpose +#define SIG_BASEREG x1 +#define FCSR_REG x2 +#define DATA_BASEREG x3 + +// Initialize the FPU +RVTEST_FP_ENABLE() +// Prepare the DATA_BASEREG register +RVTEST_VALBASEUPD(DATA_BASEREG, dataset_tc1) +// Prepare the SIG_BASEREG register +RVTEST_SIGBASE(SIG_BASEREG, signature_tc1) + +// FLI.D loads a pre-defined constant into a FP register. +// FLI.D has the following inputs and outputs: +// - input rs1: 5-bit immediate holding the constants ID +// - output fld: FP register + +// TEST_CASE_FLI_D executes a FLI.D insn and stores the result in the sig +// 1) the FCSR_OLD value will be store into FCSR using FCSR_REG +// 2) fli.d is executed using FLD as dest register and FLI_CONST as constant +// 3) The constents of FLD and FCSR are stored in the signature +#define TEST_CASE_FLI_D(fld, fli_const, fcsr_old, fcsr_reg) \ + li fcsr_reg, fcsr_old ;\ + csrw fcsr, fcsr_reg ;\ + fli.d fld, fli_const ;\ + csrr fcsr_reg, fcsr ;\ + RVTEST_SIGUPD_F(SIG_BASEREG, fld, fcsr_reg) ;\ + +// Below we have one instruction test per constant + +inst_0: +TEST_CASE_FLI_D(f16, -0x1p+0, 0, FCSR_REG) + +inst_1: +TEST_CASE_FLI_D(f17, min, 0, FCSR_REG) + +inst_2: +TEST_CASE_FLI_D(f18, 0x1p-16, 0, FCSR_REG) + +inst_3: +TEST_CASE_FLI_D(f19, 0x1p-15, 0, FCSR_REG) + +inst_4: +TEST_CASE_FLI_D(f20, 0x1p-8, 0, FCSR_REG) + +inst_5: +TEST_CASE_FLI_D(f21, 0x1p-7, 0, FCSR_REG) + +inst_6: +TEST_CASE_FLI_D(f22, 0x1p-4, 0, FCSR_REG) + +inst_7: +TEST_CASE_FLI_D(f23, 0x1p-3, 0, FCSR_REG) + +inst_8: +TEST_CASE_FLI_D(f24, 0x1p-2, 0, FCSR_REG) + +inst_9: +TEST_CASE_FLI_D(f25, 0x1.4p-2, 0, FCSR_REG) + +inst_10: +TEST_CASE_FLI_D(f26, 0x1.8p-2, 0, FCSR_REG) + +inst_11: +TEST_CASE_FLI_D(f27, 0x1.cp-2, 0, FCSR_REG) + +inst_12: +TEST_CASE_FLI_D(f28, 0x1p-1, 0, FCSR_REG) + +inst_13: +TEST_CASE_FLI_D(f29, 0x1.4p-1, 0, FCSR_REG) + +inst_14: +TEST_CASE_FLI_D(f30, 0x1.8p-1, 0, FCSR_REG) + +inst_15: +TEST_CASE_FLI_D(f31, 0x1.cp-1, 0, FCSR_REG) + +inst_16: +TEST_CASE_FLI_D(f0, 0x1p0, 0, FCSR_REG) + +inst_17: +TEST_CASE_FLI_D(f1, 0x1.4p+0, 0, FCSR_REG) + +inst_18: +TEST_CASE_FLI_D(f2, 0x1.8p+0, 0, FCSR_REG) + +inst_19: +TEST_CASE_FLI_D(f3, 0x1.cp+0, 0, FCSR_REG) + +inst_20: +TEST_CASE_FLI_D(f4, 0x1p+1, 0, FCSR_REG) + +inst_21: +TEST_CASE_FLI_D(f5, 0x1.4p+1, 0, FCSR_REG) + +inst_22: +TEST_CASE_FLI_D(f6, 0x1.8p+1, 0, FCSR_REG) + +inst_23: +TEST_CASE_FLI_D(f7, 0x1p+2, 0, FCSR_REG) + +inst_24: +TEST_CASE_FLI_D(f8, 0x1p+3, 0, FCSR_REG) + +inst_25: +TEST_CASE_FLI_D(f9, 0x1p+4, 0, FCSR_REG) + +inst_26: +TEST_CASE_FLI_D(f10, 0x1p+7, 0, FCSR_REG) + +inst_27: +TEST_CASE_FLI_D(f11, 0x1p+8, 0, FCSR_REG) + +inst_28: +TEST_CASE_FLI_D(f12, 0x1p+15, 0, FCSR_REG) + +inst_29: +TEST_CASE_FLI_D(f13, 0x1p+16, 0, FCSR_REG) + +inst_30: +TEST_CASE_FLI_D(f14, inf, 0, FCSR_REG) + +inst_31: +TEST_CASE_FLI_D(f15, nan, 0, FCSR_REG) + +#endif // TEST_CASE_1 + +RVTEST_CODE_END + +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.word 0xbabecafe // trapreg_sv +.word 0xabecafeb // tramptbl_sv +.word 0xbecafeba // mtvec_save +.word 0xecafebab // mscratch_save +dataset_tc1: +/* empty */ +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + +signature_tc1: +// We have 32 test cases and store for each test case: +// - 32-bit FP register (fld) +// - 32-bit FCSR content after the instruction + .fill 64*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +tsig_begin_canary: +CANARY; +tsig_begin_canary: +CANARY; +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef +tsig_end_canary: +CANARY; +tsig_end_canary: +CANARY; + +#endif // rvtest_mtrap_routine + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif // rvtest_gpr_save + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fltq.d_b1-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fltq.d_b1-01.S new file mode 100644 index 000000000..07d3f41b2 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fltq.d_b1-01.S @@ -0,0 +1,4740 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:44:35 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fltq.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fltq.d instruction of the RISC-V RV32FD_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fltq.d_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fltq.d_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 != rs2, rs1==f31, rs2==f30, rd==x31,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x0; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 == rs2, rs1==f29, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f29; op2:f29; dest:x30; op1val:0x0; op2val:0x0; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x30, f29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f30, rs2==f31, rd==x29,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f30; op2:f31; dest:x29; op1val:0x0; op2val:0x1; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x29, f30, f31, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f28; op2:f27; dest:x28; op1val:0x0; op2val:0x8000000000000001; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f27; op2:f28; dest:x27; op1val:0x0; op2val:0x2; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f26; op2:f25; dest:x26; op1val:0x0; op2val:0x8000000000000002; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f25; op2:f26; dest:x25; op1val:0x0; op2val:0xfffffffffffff; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f24; op2:f23; dest:x24; op1val:0x0; op2val:0x800fffffffffffff; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f23; op2:f24; dest:x23; op1val:0x0; op2val:0x10000000000000; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f22; op2:f21; dest:x22; op1val:0x0; op2val:0x8010000000000000; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f21; op2:f22; dest:x21; op1val:0x0; op2val:0x10000000000002; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f20; op2:f19; dest:x20; op1val:0x0; op2val:0x8010000000000002; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f19; op2:f20; dest:x19; op1val:0x0; op2val:0x7fefffffffffffff; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f18; op2:f17; dest:x18; op1val:0x0; op2val:0xffefffffffffffff; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f17; op2:f18; dest:x17; op1val:0x0; op2val:0x7ff0000000000000; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f16; op2:f15; dest:x16; op1val:0x0; op2val:0xfff0000000000000; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f15; op2:f16; dest:x15; op1val:0x0; op2val:0x7ff8000000000000; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f14; op2:f13; dest:x14; op1val:0x0; op2val:0xfff8000000000000; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f13; op2:f14; dest:x13; op1val:0x0; op2val:0x7ff8000000000001; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f12; op2:f11; dest:x12; op1val:0x0; op2val:0xfff8000000000001; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f11; op2:f12; dest:x11; op1val:0x0; op2val:0x7ff0000000000001; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f10; op2:f9; dest:x10; op1val:0x0; op2val:0xfff0000000000001; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f9; op2:f10; dest:x9; op1val:0x0; op2val:0x3ff0000000000000; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f8; op2:f7; dest:x8; op1val:0x0; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f7; op2:f8; dest:x7; op1val:0x8000000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f6; op2:f5; dest:x6; op1val:0x8000000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f5; op2:f6; dest:x5; op1val:0x8000000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f4; op2:f3; dest:x4; op1val:0x8000000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f3; op2:f4; dest:x3; op1val:0x8000000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f2; op2:f1; dest:x2; op1val:0x8000000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f1; op2:f2; dest:x1; op1val:0x8000000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f0; op2:f31; dest:x31; op1val:0x8000000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f0; dest:x31; op1val:0x8000000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x0; op1val:0x8000000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) + +inst_34:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:22*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 22*FLEN/8, x10, x6, x7) + +inst_35:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:24*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 24*FLEN/8, x10, x6, x7) + +inst_36:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:26*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 26*FLEN/8, x10, x6, x7) + +inst_37:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:28*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 28*FLEN/8, x10, x6, x7) + +inst_38:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:30*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 30*FLEN/8, x10, x6, x7) + +inst_39:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:32*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 32*FLEN/8, x10, x6, x7) + +inst_40:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:34*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 34*FLEN/8, x10, x6, x7) + +inst_41:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:36*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 36*FLEN/8, x10, x6, x7) + +inst_42:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:38*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 38*FLEN/8, x10, x6, x7) + +inst_43:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:40*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 40*FLEN/8, x10, x6, x7) + +inst_44:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:42*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 42*FLEN/8, x10, x6, x7) + +inst_45:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:44*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 44*FLEN/8, x10, x6, x7) + +inst_46:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:46*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 46*FLEN/8, x10, x6, x7) + +inst_47:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:48*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 48*FLEN/8, x10, x6, x7) + +inst_48:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x0; +valaddr_reg:x9; val_offset:50*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 50*FLEN/8, x10, x6, x7) + +inst_49:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:52*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 52*FLEN/8, x10, x6, x7) + +inst_50:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x1; +valaddr_reg:x9; val_offset:54*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 54*FLEN/8, x10, x6, x7) + +inst_51:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:56*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 56*FLEN/8, x10, x6, x7) + +inst_52:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x2; +valaddr_reg:x9; val_offset:58*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 58*FLEN/8, x10, x6, x7) + +inst_53:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:60*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 60*FLEN/8, x10, x6, x7) + +inst_54:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:62*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 62*FLEN/8, x10, x6, x7) + +inst_55:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:64*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 64*FLEN/8, x10, x6, x7) + +inst_56:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:66*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 66*FLEN/8, x10, x6, x7) + +inst_57:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:68*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 68*FLEN/8, x10, x6, x7) + +inst_58:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:70*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 70*FLEN/8, x10, x6, x7) + +inst_59:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:72*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 72*FLEN/8, x10, x6, x7) + +inst_60:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:74*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 74*FLEN/8, x10, x6, x7) + +inst_61:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:76*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 76*FLEN/8, x10, x6, x7) + +inst_62:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:78*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 78*FLEN/8, x10, x6, x7) + +inst_63:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:80*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 80*FLEN/8, x10, x6, x7) + +inst_64:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:82*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 82*FLEN/8, x10, x6, x7) + +inst_65:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:84*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 84*FLEN/8, x10, x6, x7) + +inst_66:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:86*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 86*FLEN/8, x10, x6, x7) + +inst_67:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:88*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 88*FLEN/8, x10, x6, x7) + +inst_68:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:90*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 90*FLEN/8, x10, x6, x7) + +inst_69:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:92*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 92*FLEN/8, x10, x6, x7) + +inst_70:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:94*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 94*FLEN/8, x10, x6, x7) + +inst_71:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:96*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 96*FLEN/8, x10, x6, x7) + +inst_72:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x0; +valaddr_reg:x9; val_offset:98*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 98*FLEN/8, x10, x6, x7) + +inst_73:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 100*FLEN/8, x10, x6, x7) + +inst_74:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x1; +valaddr_reg:x9; val_offset:102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 102*FLEN/8, x10, x6, x7) + +inst_75:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 104*FLEN/8, x10, x6, x7) + +inst_76:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x2; +valaddr_reg:x9; val_offset:106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 106*FLEN/8, x10, x6, x7) + +inst_77:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 108*FLEN/8, x10, x6, x7) + +inst_78:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 110*FLEN/8, x10, x6, x7) + +inst_79:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 112*FLEN/8, x10, x6, x7) + +inst_80:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 114*FLEN/8, x10, x6, x7) + +inst_81:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 116*FLEN/8, x10, x6, x7) + +inst_82:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 118*FLEN/8, x10, x6, x7) + +inst_83:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 120*FLEN/8, x10, x6, x7) + +inst_84:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 122*FLEN/8, x10, x6, x7) + +inst_85:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 124*FLEN/8, x10, x6, x7) + +inst_86:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 126*FLEN/8, x10, x6, x7) + +inst_87:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 128*FLEN/8, x10, x6, x7) + +inst_88:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 130*FLEN/8, x10, x6, x7) + +inst_89:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 132*FLEN/8, x10, x6, x7) + +inst_90:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 134*FLEN/8, x10, x6, x7) + +inst_91:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 136*FLEN/8, x10, x6, x7) + +inst_92:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 138*FLEN/8, x10, x6, x7) + +inst_93:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 140*FLEN/8, x10, x6, x7) + +inst_94:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 142*FLEN/8, x10, x6, x7) + +inst_95:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 144*FLEN/8, x10, x6, x7) + +inst_96:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x0; +valaddr_reg:x9; val_offset:146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 146*FLEN/8, x10, x6, x7) + +inst_97:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 148*FLEN/8, x10, x6, x7) + +inst_98:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x1; +valaddr_reg:x9; val_offset:150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 150*FLEN/8, x10, x6, x7) + +inst_99:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 152*FLEN/8, x10, x6, x7) + +inst_100:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x2; +valaddr_reg:x9; val_offset:154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 154*FLEN/8, x10, x6, x7) + +inst_101:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 156*FLEN/8, x10, x6, x7) + +inst_102:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 158*FLEN/8, x10, x6, x7) + +inst_103:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 160*FLEN/8, x10, x6, x7) + +inst_104:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 162*FLEN/8, x10, x6, x7) + +inst_105:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 164*FLEN/8, x10, x6, x7) + +inst_106:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 166*FLEN/8, x10, x6, x7) + +inst_107:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 168*FLEN/8, x10, x6, x7) + +inst_108:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 170*FLEN/8, x10, x6, x7) + +inst_109:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 172*FLEN/8, x10, x6, x7) + +inst_110:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 174*FLEN/8, x10, x6, x7) + +inst_111:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 176*FLEN/8, x10, x6, x7) + +inst_112:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 178*FLEN/8, x10, x6, x7) + +inst_113:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 180*FLEN/8, x10, x6, x7) + +inst_114:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 182*FLEN/8, x10, x6, x7) + +inst_115:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 184*FLEN/8, x10, x6, x7) + +inst_116:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 186*FLEN/8, x10, x6, x7) + +inst_117:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 188*FLEN/8, x10, x6, x7) + +inst_118:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 190*FLEN/8, x10, x6, x7) + +inst_119:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 192*FLEN/8, x10, x6, x7) + +inst_120:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x0; +valaddr_reg:x9; val_offset:194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 194*FLEN/8, x10, x6, x7) + +inst_121:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 196*FLEN/8, x10, x6, x7) + +inst_122:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x1; +valaddr_reg:x9; val_offset:198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 198*FLEN/8, x10, x6, x7) + +inst_123:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 200*FLEN/8, x10, x6, x7) + +inst_124:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x2; +valaddr_reg:x9; val_offset:202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 202*FLEN/8, x10, x6, x7) + +inst_125:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 204*FLEN/8, x10, x6, x7) + +inst_126:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 206*FLEN/8, x10, x6, x7) + +inst_127:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 208*FLEN/8, x10, x6, x7) + +inst_128:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 210*FLEN/8, x10, x6, x7) + +inst_129:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 212*FLEN/8, x10, x6, x7) + +inst_130:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 214*FLEN/8, x10, x6, x7) + +inst_131:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 216*FLEN/8, x10, x6, x7) + +inst_132:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 218*FLEN/8, x10, x6, x7) + +inst_133:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 220*FLEN/8, x10, x6, x7) + +inst_134:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 222*FLEN/8, x10, x6, x7) + +inst_135:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 224*FLEN/8, x10, x6, x7) + +inst_136:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 226*FLEN/8, x10, x6, x7) + +inst_137:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 228*FLEN/8, x10, x6, x7) + +inst_138:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 230*FLEN/8, x10, x6, x7) + +inst_139:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 232*FLEN/8, x10, x6, x7) + +inst_140:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 234*FLEN/8, x10, x6, x7) + +inst_141:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 236*FLEN/8, x10, x6, x7) + +inst_142:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 238*FLEN/8, x10, x6, x7) + +inst_143:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 240*FLEN/8, x10, x6, x7) + +inst_144:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x0; +valaddr_reg:x9; val_offset:242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 242*FLEN/8, x10, x6, x7) + +inst_145:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 244*FLEN/8, x10, x6, x7) + +inst_146:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x1; +valaddr_reg:x9; val_offset:246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 246*FLEN/8, x10, x6, x7) + +inst_147:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 248*FLEN/8, x10, x6, x7) + +inst_148:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x2; +valaddr_reg:x9; val_offset:250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 250*FLEN/8, x10, x6, x7) + +inst_149:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 252*FLEN/8, x10, x6, x7) + +inst_150:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 254*FLEN/8, x10, x6, x7) + +inst_151:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 256*FLEN/8, x10, x6, x7) + +inst_152:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 258*FLEN/8, x10, x6, x7) + +inst_153:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 260*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_1) + +inst_154:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 262*FLEN/8, x10, x6, x7) + +inst_155:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 264*FLEN/8, x10, x6, x7) + +inst_156:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 266*FLEN/8, x10, x6, x7) + +inst_157:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 268*FLEN/8, x10, x6, x7) + +inst_158:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 270*FLEN/8, x10, x6, x7) + +inst_159:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 272*FLEN/8, x10, x6, x7) + +inst_160:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 274*FLEN/8, x10, x6, x7) + +inst_161:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 276*FLEN/8, x10, x6, x7) + +inst_162:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 278*FLEN/8, x10, x6, x7) + +inst_163:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 280*FLEN/8, x10, x6, x7) + +inst_164:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 282*FLEN/8, x10, x6, x7) + +inst_165:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 284*FLEN/8, x10, x6, x7) + +inst_166:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 286*FLEN/8, x10, x6, x7) + +inst_167:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 288*FLEN/8, x10, x6, x7) + +inst_168:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x0; +valaddr_reg:x9; val_offset:290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 290*FLEN/8, x10, x6, x7) + +inst_169:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 292*FLEN/8, x10, x6, x7) + +inst_170:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x1; +valaddr_reg:x9; val_offset:294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 294*FLEN/8, x10, x6, x7) + +inst_171:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 296*FLEN/8, x10, x6, x7) + +inst_172:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x2; +valaddr_reg:x9; val_offset:298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 298*FLEN/8, x10, x6, x7) + +inst_173:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 300*FLEN/8, x10, x6, x7) + +inst_174:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 302*FLEN/8, x10, x6, x7) + +inst_175:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 304*FLEN/8, x10, x6, x7) + +inst_176:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 306*FLEN/8, x10, x6, x7) + +inst_177:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 308*FLEN/8, x10, x6, x7) + +inst_178:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 310*FLEN/8, x10, x6, x7) + +inst_179:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 312*FLEN/8, x10, x6, x7) + +inst_180:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 314*FLEN/8, x10, x6, x7) + +inst_181:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 316*FLEN/8, x10, x6, x7) + +inst_182:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 318*FLEN/8, x10, x6, x7) + +inst_183:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 320*FLEN/8, x10, x6, x7) + +inst_184:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 322*FLEN/8, x10, x6, x7) + +inst_185:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 324*FLEN/8, x10, x6, x7) + +inst_186:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 326*FLEN/8, x10, x6, x7) + +inst_187:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 328*FLEN/8, x10, x6, x7) + +inst_188:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 330*FLEN/8, x10, x6, x7) + +inst_189:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 332*FLEN/8, x10, x6, x7) + +inst_190:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 334*FLEN/8, x10, x6, x7) + +inst_191:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 336*FLEN/8, x10, x6, x7) + +inst_192:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 338*FLEN/8, x10, x6, x7) + +inst_193:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 340*FLEN/8, x10, x6, x7) + +inst_194:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 342*FLEN/8, x10, x6, x7) + +inst_195:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 344*FLEN/8, x10, x6, x7) + +inst_196:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 346*FLEN/8, x10, x6, x7) + +inst_197:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 348*FLEN/8, x10, x6, x7) + +inst_198:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 350*FLEN/8, x10, x6, x7) + +inst_199:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 352*FLEN/8, x10, x6, x7) + +inst_200:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 354*FLEN/8, x10, x6, x7) + +inst_201:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 356*FLEN/8, x10, x6, x7) + +inst_202:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 358*FLEN/8, x10, x6, x7) + +inst_203:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 360*FLEN/8, x10, x6, x7) + +inst_204:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 362*FLEN/8, x10, x6, x7) + +inst_205:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 364*FLEN/8, x10, x6, x7) + +inst_206:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 366*FLEN/8, x10, x6, x7) + +inst_207:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 368*FLEN/8, x10, x6, x7) + +inst_208:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 370*FLEN/8, x10, x6, x7) + +inst_209:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 372*FLEN/8, x10, x6, x7) + +inst_210:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 374*FLEN/8, x10, x6, x7) + +inst_211:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 376*FLEN/8, x10, x6, x7) + +inst_212:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 378*FLEN/8, x10, x6, x7) + +inst_213:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 380*FLEN/8, x10, x6, x7) + +inst_214:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 382*FLEN/8, x10, x6, x7) + +inst_215:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 384*FLEN/8, x10, x6, x7) + +inst_216:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 386*FLEN/8, x10, x6, x7) + +inst_217:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 388*FLEN/8, x10, x6, x7) + +inst_218:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 390*FLEN/8, x10, x6, x7) + +inst_219:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 392*FLEN/8, x10, x6, x7) + +inst_220:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 394*FLEN/8, x10, x6, x7) + +inst_221:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 396*FLEN/8, x10, x6, x7) + +inst_222:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 398*FLEN/8, x10, x6, x7) + +inst_223:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 400*FLEN/8, x10, x6, x7) + +inst_224:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 402*FLEN/8, x10, x6, x7) + +inst_225:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 404*FLEN/8, x10, x6, x7) + +inst_226:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 406*FLEN/8, x10, x6, x7) + +inst_227:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 408*FLEN/8, x10, x6, x7) + +inst_228:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 410*FLEN/8, x10, x6, x7) + +inst_229:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 412*FLEN/8, x10, x6, x7) + +inst_230:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 414*FLEN/8, x10, x6, x7) + +inst_231:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 416*FLEN/8, x10, x6, x7) + +inst_232:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 418*FLEN/8, x10, x6, x7) + +inst_233:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 420*FLEN/8, x10, x6, x7) + +inst_234:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 422*FLEN/8, x10, x6, x7) + +inst_235:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 424*FLEN/8, x10, x6, x7) + +inst_236:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 426*FLEN/8, x10, x6, x7) + +inst_237:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 428*FLEN/8, x10, x6, x7) + +inst_238:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 430*FLEN/8, x10, x6, x7) + +inst_239:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 432*FLEN/8, x10, x6, x7) + +inst_240:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x0; +valaddr_reg:x9; val_offset:434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 434*FLEN/8, x10, x6, x7) + +inst_241:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 436*FLEN/8, x10, x6, x7) + +inst_242:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x1; +valaddr_reg:x9; val_offset:438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 438*FLEN/8, x10, x6, x7) + +inst_243:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 440*FLEN/8, x10, x6, x7) + +inst_244:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x2; +valaddr_reg:x9; val_offset:442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 442*FLEN/8, x10, x6, x7) + +inst_245:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 444*FLEN/8, x10, x6, x7) + +inst_246:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 446*FLEN/8, x10, x6, x7) + +inst_247:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 448*FLEN/8, x10, x6, x7) + +inst_248:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 450*FLEN/8, x10, x6, x7) + +inst_249:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 452*FLEN/8, x10, x6, x7) + +inst_250:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 454*FLEN/8, x10, x6, x7) + +inst_251:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 456*FLEN/8, x10, x6, x7) + +inst_252:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 458*FLEN/8, x10, x6, x7) + +inst_253:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 460*FLEN/8, x10, x6, x7) + +inst_254:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 462*FLEN/8, x10, x6, x7) + +inst_255:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 464*FLEN/8, x10, x6, x7) + +inst_256:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 466*FLEN/8, x10, x6, x7) + +inst_257:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 468*FLEN/8, x10, x6, x7) + +inst_258:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 470*FLEN/8, x10, x6, x7) + +inst_259:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 472*FLEN/8, x10, x6, x7) + +inst_260:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 474*FLEN/8, x10, x6, x7) + +inst_261:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 476*FLEN/8, x10, x6, x7) + +inst_262:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 478*FLEN/8, x10, x6, x7) + +inst_263:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 480*FLEN/8, x10, x6, x7) + +inst_264:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x0; +valaddr_reg:x9; val_offset:482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 482*FLEN/8, x10, x6, x7) + +inst_265:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 484*FLEN/8, x10, x6, x7) + +inst_266:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x1; +valaddr_reg:x9; val_offset:486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 486*FLEN/8, x10, x6, x7) + +inst_267:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 488*FLEN/8, x10, x6, x7) + +inst_268:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x2; +valaddr_reg:x9; val_offset:490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 490*FLEN/8, x10, x6, x7) + +inst_269:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 492*FLEN/8, x10, x6, x7) + +inst_270:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 494*FLEN/8, x10, x6, x7) + +inst_271:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 496*FLEN/8, x10, x6, x7) + +inst_272:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 498*FLEN/8, x10, x6, x7) + +inst_273:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 500*FLEN/8, x10, x6, x7) + +inst_274:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 502*FLEN/8, x10, x6, x7) + +inst_275:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 504*FLEN/8, x10, x6, x7) + +inst_276:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 506*FLEN/8, x10, x6, x7) + +inst_277:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 508*FLEN/8, x10, x6, x7) + +inst_278:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 510*FLEN/8, x10, x6, x7) + +inst_279:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 512*FLEN/8, x10, x6, x7) + +inst_280:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 514*FLEN/8, x10, x6, x7) + +inst_281:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 516*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_2) + +inst_282:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 518*FLEN/8, x10, x6, x7) + +inst_283:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 520*FLEN/8, x10, x6, x7) + +inst_284:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 522*FLEN/8, x10, x6, x7) + +inst_285:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 524*FLEN/8, x10, x6, x7) + +inst_286:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 526*FLEN/8, x10, x6, x7) + +inst_287:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 528*FLEN/8, x10, x6, x7) + +inst_288:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x0; +valaddr_reg:x9; val_offset:530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 530*FLEN/8, x10, x6, x7) + +inst_289:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 532*FLEN/8, x10, x6, x7) + +inst_290:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x1; +valaddr_reg:x9; val_offset:534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 534*FLEN/8, x10, x6, x7) + +inst_291:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 536*FLEN/8, x10, x6, x7) + +inst_292:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x2; +valaddr_reg:x9; val_offset:538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 538*FLEN/8, x10, x6, x7) + +inst_293:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 540*FLEN/8, x10, x6, x7) + +inst_294:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 542*FLEN/8, x10, x6, x7) + +inst_295:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 544*FLEN/8, x10, x6, x7) + +inst_296:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 546*FLEN/8, x10, x6, x7) + +inst_297:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 548*FLEN/8, x10, x6, x7) + +inst_298:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 550*FLEN/8, x10, x6, x7) + +inst_299:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 552*FLEN/8, x10, x6, x7) + +inst_300:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 554*FLEN/8, x10, x6, x7) + +inst_301:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 556*FLEN/8, x10, x6, x7) + +inst_302:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 558*FLEN/8, x10, x6, x7) + +inst_303:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 560*FLEN/8, x10, x6, x7) + +inst_304:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 562*FLEN/8, x10, x6, x7) + +inst_305:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 564*FLEN/8, x10, x6, x7) + +inst_306:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 566*FLEN/8, x10, x6, x7) + +inst_307:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 568*FLEN/8, x10, x6, x7) + +inst_308:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 570*FLEN/8, x10, x6, x7) + +inst_309:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 572*FLEN/8, x10, x6, x7) + +inst_310:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 574*FLEN/8, x10, x6, x7) + +inst_311:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 576*FLEN/8, x10, x6, x7) + +inst_312:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x0; +valaddr_reg:x9; val_offset:578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 578*FLEN/8, x10, x6, x7) + +inst_313:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 580*FLEN/8, x10, x6, x7) + +inst_314:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x1; +valaddr_reg:x9; val_offset:582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 582*FLEN/8, x10, x6, x7) + +inst_315:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 584*FLEN/8, x10, x6, x7) + +inst_316:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x2; +valaddr_reg:x9; val_offset:586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 586*FLEN/8, x10, x6, x7) + +inst_317:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 588*FLEN/8, x10, x6, x7) + +inst_318:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 590*FLEN/8, x10, x6, x7) + +inst_319:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 592*FLEN/8, x10, x6, x7) + +inst_320:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 594*FLEN/8, x10, x6, x7) + +inst_321:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 596*FLEN/8, x10, x6, x7) + +inst_322:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 598*FLEN/8, x10, x6, x7) + +inst_323:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 600*FLEN/8, x10, x6, x7) + +inst_324:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 602*FLEN/8, x10, x6, x7) + +inst_325:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 604*FLEN/8, x10, x6, x7) + +inst_326:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 606*FLEN/8, x10, x6, x7) + +inst_327:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 608*FLEN/8, x10, x6, x7) + +inst_328:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 610*FLEN/8, x10, x6, x7) + +inst_329:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 612*FLEN/8, x10, x6, x7) + +inst_330:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 614*FLEN/8, x10, x6, x7) + +inst_331:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 616*FLEN/8, x10, x6, x7) + +inst_332:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 618*FLEN/8, x10, x6, x7) + +inst_333:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 620*FLEN/8, x10, x6, x7) + +inst_334:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 622*FLEN/8, x10, x6, x7) + +inst_335:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 624*FLEN/8, x10, x6, x7) + +inst_336:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 626*FLEN/8, x10, x6, x7) + +inst_337:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 628*FLEN/8, x10, x6, x7) + +inst_338:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 630*FLEN/8, x10, x6, x7) + +inst_339:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 632*FLEN/8, x10, x6, x7) + +inst_340:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 634*FLEN/8, x10, x6, x7) + +inst_341:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 636*FLEN/8, x10, x6, x7) + +inst_342:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 638*FLEN/8, x10, x6, x7) + +inst_343:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 640*FLEN/8, x10, x6, x7) + +inst_344:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 642*FLEN/8, x10, x6, x7) + +inst_345:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 644*FLEN/8, x10, x6, x7) + +inst_346:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 646*FLEN/8, x10, x6, x7) + +inst_347:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 648*FLEN/8, x10, x6, x7) + +inst_348:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 650*FLEN/8, x10, x6, x7) + +inst_349:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 652*FLEN/8, x10, x6, x7) + +inst_350:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 654*FLEN/8, x10, x6, x7) + +inst_351:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 656*FLEN/8, x10, x6, x7) + +inst_352:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 658*FLEN/8, x10, x6, x7) + +inst_353:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 660*FLEN/8, x10, x6, x7) + +inst_354:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 662*FLEN/8, x10, x6, x7) + +inst_355:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 664*FLEN/8, x10, x6, x7) + +inst_356:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 666*FLEN/8, x10, x6, x7) + +inst_357:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 668*FLEN/8, x10, x6, x7) + +inst_358:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 670*FLEN/8, x10, x6, x7) + +inst_359:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 672*FLEN/8, x10, x6, x7) + +inst_360:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 674*FLEN/8, x10, x6, x7) + +inst_361:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 676*FLEN/8, x10, x6, x7) + +inst_362:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 678*FLEN/8, x10, x6, x7) + +inst_363:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 680*FLEN/8, x10, x6, x7) + +inst_364:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 682*FLEN/8, x10, x6, x7) + +inst_365:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 684*FLEN/8, x10, x6, x7) + +inst_366:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 686*FLEN/8, x10, x6, x7) + +inst_367:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 688*FLEN/8, x10, x6, x7) + +inst_368:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 690*FLEN/8, x10, x6, x7) + +inst_369:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 692*FLEN/8, x10, x6, x7) + +inst_370:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 694*FLEN/8, x10, x6, x7) + +inst_371:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 696*FLEN/8, x10, x6, x7) + +inst_372:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 698*FLEN/8, x10, x6, x7) + +inst_373:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 700*FLEN/8, x10, x6, x7) + +inst_374:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 702*FLEN/8, x10, x6, x7) + +inst_375:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 704*FLEN/8, x10, x6, x7) + +inst_376:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 706*FLEN/8, x10, x6, x7) + +inst_377:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 708*FLEN/8, x10, x6, x7) + +inst_378:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 710*FLEN/8, x10, x6, x7) + +inst_379:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 712*FLEN/8, x10, x6, x7) + +inst_380:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 714*FLEN/8, x10, x6, x7) + +inst_381:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 716*FLEN/8, x10, x6, x7) + +inst_382:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 718*FLEN/8, x10, x6, x7) + +inst_383:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 720*FLEN/8, x10, x6, x7) + +inst_384:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 722*FLEN/8, x10, x6, x7) + +inst_385:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 724*FLEN/8, x10, x6, x7) + +inst_386:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 726*FLEN/8, x10, x6, x7) + +inst_387:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 728*FLEN/8, x10, x6, x7) + +inst_388:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 730*FLEN/8, x10, x6, x7) + +inst_389:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 732*FLEN/8, x10, x6, x7) + +inst_390:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 734*FLEN/8, x10, x6, x7) + +inst_391:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 736*FLEN/8, x10, x6, x7) + +inst_392:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 738*FLEN/8, x10, x6, x7) + +inst_393:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 740*FLEN/8, x10, x6, x7) + +inst_394:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 742*FLEN/8, x10, x6, x7) + +inst_395:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 744*FLEN/8, x10, x6, x7) + +inst_396:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 746*FLEN/8, x10, x6, x7) + +inst_397:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 748*FLEN/8, x10, x6, x7) + +inst_398:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 750*FLEN/8, x10, x6, x7) + +inst_399:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 752*FLEN/8, x10, x6, x7) + +inst_400:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 754*FLEN/8, x10, x6, x7) + +inst_401:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 756*FLEN/8, x10, x6, x7) + +inst_402:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 758*FLEN/8, x10, x6, x7) + +inst_403:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 760*FLEN/8, x10, x6, x7) + +inst_404:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 762*FLEN/8, x10, x6, x7) + +inst_405:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 764*FLEN/8, x10, x6, x7) + +inst_406:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 766*FLEN/8, x10, x6, x7) + +inst_407:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 768*FLEN/8, x10, x6, x7) + +inst_408:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 770*FLEN/8, x10, x6, x7) + +inst_409:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 772*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_3) + +inst_410:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 774*FLEN/8, x10, x6, x7) + +inst_411:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 776*FLEN/8, x10, x6, x7) + +inst_412:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 778*FLEN/8, x10, x6, x7) + +inst_413:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 780*FLEN/8, x10, x6, x7) + +inst_414:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 782*FLEN/8, x10, x6, x7) + +inst_415:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 784*FLEN/8, x10, x6, x7) + +inst_416:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 786*FLEN/8, x10, x6, x7) + +inst_417:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 788*FLEN/8, x10, x6, x7) + +inst_418:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 790*FLEN/8, x10, x6, x7) + +inst_419:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 792*FLEN/8, x10, x6, x7) + +inst_420:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 794*FLEN/8, x10, x6, x7) + +inst_421:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 796*FLEN/8, x10, x6, x7) + +inst_422:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 798*FLEN/8, x10, x6, x7) + +inst_423:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 800*FLEN/8, x10, x6, x7) + +inst_424:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 802*FLEN/8, x10, x6, x7) + +inst_425:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 804*FLEN/8, x10, x6, x7) + +inst_426:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 806*FLEN/8, x10, x6, x7) + +inst_427:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 808*FLEN/8, x10, x6, x7) + +inst_428:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 810*FLEN/8, x10, x6, x7) + +inst_429:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 812*FLEN/8, x10, x6, x7) + +inst_430:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 814*FLEN/8, x10, x6, x7) + +inst_431:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 816*FLEN/8, x10, x6, x7) + +inst_432:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x0; +valaddr_reg:x9; val_offset:818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 818*FLEN/8, x10, x6, x7) + +inst_433:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 820*FLEN/8, x10, x6, x7) + +inst_434:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x1; +valaddr_reg:x9; val_offset:822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 822*FLEN/8, x10, x6, x7) + +inst_435:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 824*FLEN/8, x10, x6, x7) + +inst_436:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x2; +valaddr_reg:x9; val_offset:826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 826*FLEN/8, x10, x6, x7) + +inst_437:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 828*FLEN/8, x10, x6, x7) + +inst_438:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 830*FLEN/8, x10, x6, x7) + +inst_439:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 832*FLEN/8, x10, x6, x7) + +inst_440:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 834*FLEN/8, x10, x6, x7) + +inst_441:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 836*FLEN/8, x10, x6, x7) + +inst_442:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 838*FLEN/8, x10, x6, x7) + +inst_443:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 840*FLEN/8, x10, x6, x7) + +inst_444:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 842*FLEN/8, x10, x6, x7) + +inst_445:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 844*FLEN/8, x10, x6, x7) + +inst_446:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 846*FLEN/8, x10, x6, x7) + +inst_447:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 848*FLEN/8, x10, x6, x7) + +inst_448:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 850*FLEN/8, x10, x6, x7) + +inst_449:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 852*FLEN/8, x10, x6, x7) + +inst_450:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 854*FLEN/8, x10, x6, x7) + +inst_451:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 856*FLEN/8, x10, x6, x7) + +inst_452:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 858*FLEN/8, x10, x6, x7) + +inst_453:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 860*FLEN/8, x10, x6, x7) + +inst_454:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 862*FLEN/8, x10, x6, x7) + +inst_455:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 864*FLEN/8, x10, x6, x7) + +inst_456:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x0; +valaddr_reg:x9; val_offset:866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 866*FLEN/8, x10, x6, x7) + +inst_457:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 868*FLEN/8, x10, x6, x7) + +inst_458:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x1; +valaddr_reg:x9; val_offset:870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 870*FLEN/8, x10, x6, x7) + +inst_459:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 872*FLEN/8, x10, x6, x7) + +inst_460:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x2; +valaddr_reg:x9; val_offset:874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 874*FLEN/8, x10, x6, x7) + +inst_461:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 876*FLEN/8, x10, x6, x7) + +inst_462:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 878*FLEN/8, x10, x6, x7) + +inst_463:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 880*FLEN/8, x10, x6, x7) + +inst_464:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 882*FLEN/8, x10, x6, x7) + +inst_465:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 884*FLEN/8, x10, x6, x7) + +inst_466:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 886*FLEN/8, x10, x6, x7) + +inst_467:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 888*FLEN/8, x10, x6, x7) + +inst_468:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 890*FLEN/8, x10, x6, x7) + +inst_469:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 892*FLEN/8, x10, x6, x7) + +inst_470:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 894*FLEN/8, x10, x6, x7) + +inst_471:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 896*FLEN/8, x10, x6, x7) + +inst_472:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 898*FLEN/8, x10, x6, x7) + +inst_473:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 900*FLEN/8, x10, x6, x7) + +inst_474:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 902*FLEN/8, x10, x6, x7) + +inst_475:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 904*FLEN/8, x10, x6, x7) + +inst_476:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 906*FLEN/8, x10, x6, x7) + +inst_477:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 908*FLEN/8, x10, x6, x7) + +inst_478:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 910*FLEN/8, x10, x6, x7) + +inst_479:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 912*FLEN/8, x10, x6, x7) + +inst_480:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x0; +valaddr_reg:x9; val_offset:914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 914*FLEN/8, x10, x6, x7) + +inst_481:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 916*FLEN/8, x10, x6, x7) + +inst_482:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x1; +valaddr_reg:x9; val_offset:918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 918*FLEN/8, x10, x6, x7) + +inst_483:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 920*FLEN/8, x10, x6, x7) + +inst_484:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x2; +valaddr_reg:x9; val_offset:922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 922*FLEN/8, x10, x6, x7) + +inst_485:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 924*FLEN/8, x10, x6, x7) + +inst_486:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 926*FLEN/8, x10, x6, x7) + +inst_487:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:928*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 928*FLEN/8, x10, x6, x7) + +inst_488:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:930*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 930*FLEN/8, x10, x6, x7) + +inst_489:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:932*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 932*FLEN/8, x10, x6, x7) + +inst_490:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:934*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 934*FLEN/8, x10, x6, x7) + +inst_491:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:936*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 936*FLEN/8, x10, x6, x7) + +inst_492:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:938*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 938*FLEN/8, x10, x6, x7) + +inst_493:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:940*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 940*FLEN/8, x10, x6, x7) + +inst_494:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:942*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 942*FLEN/8, x10, x6, x7) + +inst_495:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:944*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 944*FLEN/8, x10, x6, x7) + +inst_496:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:946*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 946*FLEN/8, x10, x6, x7) + +inst_497:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:948*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 948*FLEN/8, x10, x6, x7) + +inst_498:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:950*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 950*FLEN/8, x10, x6, x7) + +inst_499:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:952*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 952*FLEN/8, x10, x6, x7) + +inst_500:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:954*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 954*FLEN/8, x10, x6, x7) + +inst_501:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:956*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 956*FLEN/8, x10, x6, x7) + +inst_502:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:958*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 958*FLEN/8, x10, x6, x7) + +inst_503:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:960*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 960*FLEN/8, x10, x6, x7) + +inst_504:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x0; +valaddr_reg:x9; val_offset:962*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 962*FLEN/8, x10, x6, x7) + +inst_505:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:964*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 964*FLEN/8, x10, x6, x7) + +inst_506:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x1; +valaddr_reg:x9; val_offset:966*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 966*FLEN/8, x10, x6, x7) + +inst_507:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:968*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 968*FLEN/8, x10, x6, x7) + +inst_508:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x2; +valaddr_reg:x9; val_offset:970*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 970*FLEN/8, x10, x6, x7) + +inst_509:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:972*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 972*FLEN/8, x10, x6, x7) + +inst_510:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:974*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 974*FLEN/8, x10, x6, x7) + +inst_511:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:976*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 976*FLEN/8, x10, x6, x7) + +inst_512:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:978*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 978*FLEN/8, x10, x6, x7) + +inst_513:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:980*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 980*FLEN/8, x10, x6, x7) + +inst_514:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:982*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 982*FLEN/8, x10, x6, x7) + +inst_515:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:984*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 984*FLEN/8, x10, x6, x7) + +inst_516:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:986*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 986*FLEN/8, x10, x6, x7) + +inst_517:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:988*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 988*FLEN/8, x10, x6, x7) + +inst_518:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:990*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 990*FLEN/8, x10, x6, x7) + +inst_519:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:992*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 992*FLEN/8, x10, x6, x7) + +inst_520:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:994*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 994*FLEN/8, x10, x6, x7) + +inst_521:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:996*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 996*FLEN/8, x10, x6, x7) + +inst_522:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:998*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 998*FLEN/8, x10, x6, x7) + +inst_523:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:1000*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1000*FLEN/8, x10, x6, x7) + +inst_524:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:1002*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1002*FLEN/8, x10, x6, x7) + +inst_525:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:1004*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1004*FLEN/8, x10, x6, x7) + +inst_526:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:1006*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1006*FLEN/8, x10, x6, x7) + +inst_527:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:1008*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1008*FLEN/8, x10, x6, x7) + +inst_528:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:1010*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1010*FLEN/8, x10, x6, x7) + +inst_529:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:1012*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1012*FLEN/8, x10, x6, x7) + +inst_530:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:1014*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1014*FLEN/8, x10, x6, x7) + +inst_531:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:1016*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1016*FLEN/8, x10, x6, x7) + +inst_532:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:1018*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1018*FLEN/8, x10, x6, x7) + +inst_533:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:1020*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1020*FLEN/8, x10, x6, x7) + +inst_534:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:1022*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1022*FLEN/8, x10, x6, x7) + +inst_535:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:1024*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1024*FLEN/8, x10, x6, x7) + +inst_536:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:1026*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1026*FLEN/8, x10, x6, x7) + +inst_537:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:1028*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1028*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_4) + +inst_538:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:1030*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1030*FLEN/8, x10, x6, x7) + +inst_539:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:1032*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1032*FLEN/8, x10, x6, x7) + +inst_540:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:1034*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1034*FLEN/8, x10, x6, x7) + +inst_541:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:1036*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1036*FLEN/8, x10, x6, x7) + +inst_542:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:1038*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1038*FLEN/8, x10, x6, x7) + +inst_543:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1040*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1040*FLEN/8, x10, x6, x7) + +inst_544:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:1042*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1042*FLEN/8, x10, x6, x7) + +inst_545:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:1044*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1044*FLEN/8, x10, x6, x7) + +inst_546:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:1046*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1046*FLEN/8, x10, x6, x7) + +inst_547:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:1048*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1048*FLEN/8, x10, x6, x7) + +inst_548:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:1050*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1050*FLEN/8, x10, x6, x7) + +inst_549:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:1052*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1052*FLEN/8, x10, x6, x7) + +inst_550:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:1054*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1054*FLEN/8, x10, x6, x7) + +inst_551:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:1056*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1056*FLEN/8, x10, x6, x7) + +inst_552:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:1058*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1058*FLEN/8, x10, x6, x7) + +inst_553:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:1060*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1060*FLEN/8, x10, x6, x7) + +inst_554:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:1062*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1062*FLEN/8, x10, x6, x7) + +inst_555:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:1064*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1064*FLEN/8, x10, x6, x7) + +inst_556:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:1066*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1066*FLEN/8, x10, x6, x7) + +inst_557:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:1068*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1068*FLEN/8, x10, x6, x7) + +inst_558:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:1070*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1070*FLEN/8, x10, x6, x7) + +inst_559:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:1072*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1072*FLEN/8, x10, x6, x7) + +inst_560:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:1074*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1074*FLEN/8, x10, x6, x7) + +inst_561:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:1076*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1076*FLEN/8, x10, x6, x7) + +inst_562:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:1078*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1078*FLEN/8, x10, x6, x7) + +inst_563:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:1080*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1080*FLEN/8, x10, x6, x7) + +inst_564:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:1082*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1082*FLEN/8, x10, x6, x7) + +inst_565:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:1084*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1084*FLEN/8, x10, x6, x7) + +inst_566:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:1086*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1086*FLEN/8, x10, x6, x7) + +inst_567:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1088*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1088*FLEN/8, x10, x6, x7) + +inst_568:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:1090*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1090*FLEN/8, x10, x6, x7) + +inst_569:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:1092*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1092*FLEN/8, x10, x6, x7) + +inst_570:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:1094*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1094*FLEN/8, x10, x6, x7) + +inst_571:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:1096*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1096*FLEN/8, x10, x6, x7) + +inst_572:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:1098*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1098*FLEN/8, x10, x6, x7) + +inst_573:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:1100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1100*FLEN/8, x10, x6, x7) + +inst_574:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:1102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1102*FLEN/8, x10, x6, x7) + +inst_575:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:1104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1104*FLEN/8, x10, x6, x7) + +inst_576:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:1106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1106*FLEN/8, x10, x6, x7) + +inst_577:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:1108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1108*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +test_dataset_1: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_4: + .fill 80*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fltq.d_b19-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fltq.d_b19-01.S new file mode 100644 index 000000000..408db4ff2 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fltq.d_b19-01.S @@ -0,0 +1,9344 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:44:35 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fltq.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fltq.d instruction of the RISC-V RV32FD_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fltq.d_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fltq.d_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 != rs2, rs1==f31, rs2==f30, rd==x31,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 == rs2, rs1==f29, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f29; op2:f29; dest:x30; op1val:0x7fee97d52f73d2ed; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x30, f29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f30, rs2==f31, rd==x29,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f30; op2:f31; dest:x29; op1val:0x7feabc6824ad2440; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x29, f30, f31, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f28; op2:f27; dest:x28; op1val:0x7fee97d52f73d2ed; op2val:0x7fe363e504d94fe2; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f27; op2:f28; dest:x27; op1val:0x7fe363e504d94fe2; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f26; op2:f25; dest:x26; op1val:0x7fee97d52f73d2ed; op2val:0x7fdb9017651b96db; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x879775929758a and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f25; op2:f26; dest:x25; op1val:0x7fb879775929758a; op2val:0x7ff0000000000000; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x879775929758a and fcsr == 0 +/* opcode: fltq.d ; op1:f24; op2:f23; dest:x24; op1val:0x7ff0000000000000; op2val:0x7fb879775929758a; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x879775929758a and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f23; op2:f24; dest:x23; op1val:0x7fb879775929758a; op2val:0x7fdb9017651b96db; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x879775929758a and fcsr == 0 +/* opcode: fltq.d ; op1:f22; op2:f21; dest:x22; op1val:0x7fee97d52f73d2ed; op2val:0x7fb879775929758a; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f21; op2:f22; dest:x21; op1val:0x7fee97d52f73d2ed; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f20; op2:f19; dest:x20; op1val:0x7fee61729d7cfd5e; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f19; op2:f20; dest:x19; op1val:0x7fee97d52f73d2ed; op2val:0xffaab65b09a91410; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x3945f7a87913c and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f18; op2:f17; dest:x18; op1val:0x7f83945f7a87913c; op2val:0xfff0000000000000; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x3945f7a87913c and fcsr == 0 +/* opcode: fltq.d ; op1:f17; op2:f18; dest:x17; op1val:0xfff0000000000000; op2val:0x7f83945f7a87913c; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x3945f7a87913c and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f16; op2:f15; dest:x16; op1val:0x7f83945f7a87913c; op2val:0xffaab65b09a91410; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x3945f7a87913c and fcsr == 0 +/* opcode: fltq.d ; op1:f15; op2:f16; dest:x15; op1val:0x7fee97d52f73d2ed; op2val:0x7f83945f7a87913c; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f14; op2:f13; dest:x14; op1val:0x7fee97d52f73d2ed; op2val:0xffd0e5de21873eea; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x879775929758a and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f13; op2:f14; dest:x13; op1val:0x7fb879775929758a; op2val:0xfff0000000000000; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x879775929758a and fcsr == 0 +/* opcode: fltq.d ; op1:f12; op2:f11; dest:x12; op1val:0xfff0000000000000; op2val:0x7fb879775929758a; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x879775929758a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f11; op2:f12; dest:x11; op1val:0x7fb879775929758a; op2val:0xffd0e5de21873eea; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f10; op2:f9; dest:x10; op1val:0x7fee97d52f73d2ed; op2val:0xffd92a290fb6d0de; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x879775929758a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f9; op2:f10; dest:x9; op1val:0x7fb879775929758a; op2val:0xffd92a290fb6d0de; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f8; op2:f7; dest:x8; op1val:0x7fee97d52f73d2ed; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f7; op2:f8; dest:x7; op1val:0xffe3682ff4c90ae0; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f6; op2:f5; dest:x6; op1val:0x7fee97d52f73d2ed; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x879775929758a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f5; op2:f6; dest:x5; op1val:0x7fb879775929758a; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f4; op2:f3; dest:x4; op1val:0x7fee97d52f73d2ed; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 0 and fe1 == 0x000 and fm1 == 0x13c6071994562 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x6660e5465cd6d and fcsr == 0 +/* opcode: fltq.d ; op1:f3; op2:f4; dest:x3; op1val:0x13c6071994562; op2val:0x7fe6660e5465cd6d; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 0 and fe1 == 0x7fe and fm1 == 0x6660e5465cd6d and fs2 == 0 and fe2 == 0x000 and fm2 == 0x13c6071994562 and fcsr == 0 +/* opcode: fltq.d ; op1:f2; op2:f1; dest:x2; op1val:0x7fe6660e5465cd6d; op2val:0x13c6071994562; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 0 and fe1 == 0x000 and fm1 == 0x13c6071994562 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f1; op2:f2; dest:x1; op1val:0x13c6071994562; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x000 and fm2 == 0x13c6071994562 and fcsr == 0 +/* opcode: fltq.d ; op1:f0; op2:f31; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x13c6071994562; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f0; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xa86c4594097a9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x0; op1val:0xc5bc46ffcb5d2; op2val:0x7fea86c4594097a9; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) + +inst_34:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xa86c4594097a9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc5bc46ffcb5d2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fea86c4594097a9; op2val:0xc5bc46ffcb5d2; +valaddr_reg:x9; val_offset:22*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 22*FLEN/8, x10, x6, x7) + +inst_35:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:24*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 24*FLEN/8, x10, x6, x7) + +inst_36:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc5bc46ffcb5d2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0xc5bc46ffcb5d2; +valaddr_reg:x9; val_offset:26*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 26*FLEN/8, x10, x6, x7) + +inst_37:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:28*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 28*FLEN/8, x10, x6, x7) + +inst_38:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x705262580c704 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0x7fe705262580c704; +valaddr_reg:x9; val_offset:30*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 30*FLEN/8, x10, x6, x7) + +inst_39:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x705262580c704 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc5bc46ffcb5d2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe705262580c704; op2val:0xc5bc46ffcb5d2; +valaddr_reg:x9; val_offset:32*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 32*FLEN/8, x10, x6, x7) + +inst_40:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:34*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 34*FLEN/8, x10, x6, x7) + +inst_41:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:36*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 36*FLEN/8, x10, x6, x7) + +inst_42:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xca304f80cbc99 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0x7feca304f80cbc99; +valaddr_reg:x9; val_offset:38*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 38*FLEN/8, x10, x6, x7) + +inst_43:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xca304f80cbc99 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc5bc46ffcb5d2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feca304f80cbc99; op2val:0xc5bc46ffcb5d2; +valaddr_reg:x9; val_offset:40*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 40*FLEN/8, x10, x6, x7) + +inst_44:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:42*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 42*FLEN/8, x10, x6, x7) + +inst_45:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:44*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 44*FLEN/8, x10, x6, x7) + +inst_46:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x13c6071994562 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x13c6071994562; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:46*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 46*FLEN/8, x10, x6, x7) + +inst_47:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x13c6071994562 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x13c6071994562; +valaddr_reg:x9; val_offset:48*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 48*FLEN/8, x10, x6, x7) + +inst_48:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x13c6071994562 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x13c6071994562; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:50*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 50*FLEN/8, x10, x6, x7) + +inst_49:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:52*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 52*FLEN/8, x10, x6, x7) + +inst_50:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:54*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 54*FLEN/8, x10, x6, x7) + +inst_51:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc5bc46ffcb5d2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xc5bc46ffcb5d2; +valaddr_reg:x9; val_offset:56*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 56*FLEN/8, x10, x6, x7) + +inst_52:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:58*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 58*FLEN/8, x10, x6, x7) + +inst_53:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:60*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 60*FLEN/8, x10, x6, x7) + +inst_54:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xb672fe8c6e870 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0xffeb672fe8c6e870; +valaddr_reg:x9; val_offset:62*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 62*FLEN/8, x10, x6, x7) + +inst_55:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb672fe8c6e870 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc5bc46ffcb5d2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffeb672fe8c6e870; op2val:0xc5bc46ffcb5d2; +valaddr_reg:x9; val_offset:64*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 64*FLEN/8, x10, x6, x7) + +inst_56:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:66*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 66*FLEN/8, x10, x6, x7) + +inst_57:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:68*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 68*FLEN/8, x10, x6, x7) + +inst_58:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:70*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 70*FLEN/8, x10, x6, x7) + +inst_59:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:72*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 72*FLEN/8, x10, x6, x7) + +inst_60:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01fa33e8f53bd and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x484bb4eb5b9d5 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1fa33e8f53bd; op2val:0xffe484bb4eb5b9d5; +valaddr_reg:x9; val_offset:74*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 74*FLEN/8, x10, x6, x7) + +inst_61:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x484bb4eb5b9d5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01fa33e8f53bd and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe484bb4eb5b9d5; op2val:0x1fa33e8f53bd; +valaddr_reg:x9; val_offset:76*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 76*FLEN/8, x10, x6, x7) + +inst_62:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01fa33e8f53bd and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1fa33e8f53bd; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:78*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 78*FLEN/8, x10, x6, x7) + +inst_63:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01fa33e8f53bd and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x1fa33e8f53bd; +valaddr_reg:x9; val_offset:80*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 80*FLEN/8, x10, x6, x7) + +inst_64:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:82*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 82*FLEN/8, x10, x6, x7) + +inst_65:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xcfbb63d922c8f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0xffecfbb63d922c8f; +valaddr_reg:x9; val_offset:84*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 84*FLEN/8, x10, x6, x7) + +inst_66:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xcfbb63d922c8f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc5bc46ffcb5d2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffecfbb63d922c8f; op2val:0xc5bc46ffcb5d2; +valaddr_reg:x9; val_offset:86*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 86*FLEN/8, x10, x6, x7) + +inst_67:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:88*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 88*FLEN/8, x10, x6, x7) + +inst_68:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x0; +valaddr_reg:x9; val_offset:90*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 90*FLEN/8, x10, x6, x7) + +inst_69:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0xb7f9db1715774 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ffb7f9db1715774; op2val:0x0; +valaddr_reg:x9; val_offset:92*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 92*FLEN/8, x10, x6, x7) + +inst_70:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xb7f9db1715774 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ffb7f9db1715774; +valaddr_reg:x9; val_offset:94*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 94*FLEN/8, x10, x6, x7) + +inst_71:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xb7f9db1715774 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x3ffb7f9db1715774; +valaddr_reg:x9; val_offset:96*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 96*FLEN/8, x10, x6, x7) + +inst_72:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:98*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 98*FLEN/8, x10, x6, x7) + +inst_73:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 100*FLEN/8, x10, x6, x7) + +inst_74:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 102*FLEN/8, x10, x6, x7) + +inst_75:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 104*FLEN/8, x10, x6, x7) + +inst_76:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5638683bdb69a and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5638683bdb69a; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 106*FLEN/8, x10, x6, x7) + +inst_77:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x5638683bdb69a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7fb5638683bdb69a; +valaddr_reg:x9; val_offset:108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 108*FLEN/8, x10, x6, x7) + +inst_78:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5638683bdb69a and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5638683bdb69a; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 110*FLEN/8, x10, x6, x7) + +inst_79:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x5638683bdb69a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x7fb5638683bdb69a; +valaddr_reg:x9; val_offset:112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 112*FLEN/8, x10, x6, x7) + +inst_80:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 114*FLEN/8, x10, x6, x7) + +inst_81:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 116*FLEN/8, x10, x6, x7) + +inst_82:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 118*FLEN/8, x10, x6, x7) + +inst_83:// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x11c6b9c97c548 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f811c6b9c97c548; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 120*FLEN/8, x10, x6, x7) + +inst_84:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x11c6b9c97c548 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7f811c6b9c97c548; +valaddr_reg:x9; val_offset:122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 122*FLEN/8, x10, x6, x7) + +inst_85:// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x11c6b9c97c548 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f811c6b9c97c548; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 124*FLEN/8, x10, x6, x7) + +inst_86:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x11c6b9c97c548 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x7f811c6b9c97c548; +valaddr_reg:x9; val_offset:126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 126*FLEN/8, x10, x6, x7) + +inst_87:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 128*FLEN/8, x10, x6, x7) + +inst_88:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5638683bdb69a and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5638683bdb69a; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 130*FLEN/8, x10, x6, x7) + +inst_89:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x5638683bdb69a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fb5638683bdb69a; +valaddr_reg:x9; val_offset:132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 132*FLEN/8, x10, x6, x7) + +inst_90:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5638683bdb69a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5638683bdb69a; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 134*FLEN/8, x10, x6, x7) + +inst_91:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 136*FLEN/8, x10, x6, x7) + +inst_92:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5638683bdb69a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5638683bdb69a; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 138*FLEN/8, x10, x6, x7) + +inst_93:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 140*FLEN/8, x10, x6, x7) + +inst_94:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 142*FLEN/8, x10, x6, x7) + +inst_95:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 144*FLEN/8, x10, x6, x7) + +inst_96:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5638683bdb69a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5638683bdb69a; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 146*FLEN/8, x10, x6, x7) + +inst_97:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 148*FLEN/8, x10, x6, x7) + +inst_98:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1147d0920addb and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x6660e5465cd6d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1147d0920addb; op2val:0x7fe6660e5465cd6d; +valaddr_reg:x9; val_offset:150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 150*FLEN/8, x10, x6, x7) + +inst_99:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x6660e5465cd6d and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1147d0920addb and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe6660e5465cd6d; op2val:0x1147d0920addb; +valaddr_reg:x9; val_offset:152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 152*FLEN/8, x10, x6, x7) + +inst_100:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1147d0920addb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1147d0920addb; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 154*FLEN/8, x10, x6, x7) + +inst_101:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1147d0920addb and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x1147d0920addb; +valaddr_reg:x9; val_offset:156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 156*FLEN/8, x10, x6, x7) + +inst_102:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 158*FLEN/8, x10, x6, x7) + +inst_103:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xa86c4594097a9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0x7fea86c4594097a9; +valaddr_reg:x9; val_offset:160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 160*FLEN/8, x10, x6, x7) + +inst_104:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xa86c4594097a9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xacce25b46ca92 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fea86c4594097a9; op2val:0xacce25b46ca92; +valaddr_reg:x9; val_offset:162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 162*FLEN/8, x10, x6, x7) + +inst_105:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 164*FLEN/8, x10, x6, x7) + +inst_106:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xacce25b46ca92 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0xacce25b46ca92; +valaddr_reg:x9; val_offset:166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 166*FLEN/8, x10, x6, x7) + +inst_107:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 168*FLEN/8, x10, x6, x7) + +inst_108:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x705262580c704 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0x7fe705262580c704; +valaddr_reg:x9; val_offset:170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 170*FLEN/8, x10, x6, x7) + +inst_109:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x705262580c704 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xacce25b46ca92 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe705262580c704; op2val:0xacce25b46ca92; +valaddr_reg:x9; val_offset:172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 172*FLEN/8, x10, x6, x7) + +inst_110:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 174*FLEN/8, x10, x6, x7) + +inst_111:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 176*FLEN/8, x10, x6, x7) + +inst_112:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xca304f80cbc99 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0x7feca304f80cbc99; +valaddr_reg:x9; val_offset:178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 178*FLEN/8, x10, x6, x7) + +inst_113:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xca304f80cbc99 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xacce25b46ca92 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feca304f80cbc99; op2val:0xacce25b46ca92; +valaddr_reg:x9; val_offset:180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 180*FLEN/8, x10, x6, x7) + +inst_114:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 182*FLEN/8, x10, x6, x7) + +inst_115:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 184*FLEN/8, x10, x6, x7) + +inst_116:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1147d0920addb and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1147d0920addb; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 186*FLEN/8, x10, x6, x7) + +inst_117:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1147d0920addb and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x1147d0920addb; +valaddr_reg:x9; val_offset:188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 188*FLEN/8, x10, x6, x7) + +inst_118:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1147d0920addb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1147d0920addb; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 190*FLEN/8, x10, x6, x7) + +inst_119:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 192*FLEN/8, x10, x6, x7) + +inst_120:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 194*FLEN/8, x10, x6, x7) + +inst_121:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xacce25b46ca92 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xacce25b46ca92; +valaddr_reg:x9; val_offset:196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 196*FLEN/8, x10, x6, x7) + +inst_122:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 198*FLEN/8, x10, x6, x7) + +inst_123:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 200*FLEN/8, x10, x6, x7) + +inst_124:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xb672fe8c6e870 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0xffeb672fe8c6e870; +valaddr_reg:x9; val_offset:202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 202*FLEN/8, x10, x6, x7) + +inst_125:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb672fe8c6e870 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xacce25b46ca92 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffeb672fe8c6e870; op2val:0xacce25b46ca92; +valaddr_reg:x9; val_offset:204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 204*FLEN/8, x10, x6, x7) + +inst_126:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 206*FLEN/8, x10, x6, x7) + +inst_127:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 208*FLEN/8, x10, x6, x7) + +inst_128:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 210*FLEN/8, x10, x6, x7) + +inst_129:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 212*FLEN/8, x10, x6, x7) + +inst_130:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01ba61a834496 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x484bb4eb5b9d5 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ba61a834496; op2val:0xffe484bb4eb5b9d5; +valaddr_reg:x9; val_offset:214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 214*FLEN/8, x10, x6, x7) + +inst_131:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x484bb4eb5b9d5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01ba61a834496 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe484bb4eb5b9d5; op2val:0x1ba61a834496; +valaddr_reg:x9; val_offset:216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 216*FLEN/8, x10, x6, x7) + +inst_132:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01ba61a834496 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ba61a834496; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 218*FLEN/8, x10, x6, x7) + +inst_133:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01ba61a834496 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x1ba61a834496; +valaddr_reg:x9; val_offset:220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 220*FLEN/8, x10, x6, x7) + +inst_134:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 222*FLEN/8, x10, x6, x7) + +inst_135:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xcfbb63d922c8f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0xffecfbb63d922c8f; +valaddr_reg:x9; val_offset:224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 224*FLEN/8, x10, x6, x7) + +inst_136:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xcfbb63d922c8f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xacce25b46ca92 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffecfbb63d922c8f; op2val:0xacce25b46ca92; +valaddr_reg:x9; val_offset:226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 226*FLEN/8, x10, x6, x7) + +inst_137:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 228*FLEN/8, x10, x6, x7) + +inst_138:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x0; +valaddr_reg:x9; val_offset:230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 230*FLEN/8, x10, x6, x7) + +inst_139:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x80812523614ab and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff80812523614ab; op2val:0x0; +valaddr_reg:x9; val_offset:232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 232*FLEN/8, x10, x6, x7) + +inst_140:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x80812523614ab and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ff80812523614ab; +valaddr_reg:x9; val_offset:234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 234*FLEN/8, x10, x6, x7) + +inst_141:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x80812523614ab and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x3ff80812523614ab; +valaddr_reg:x9; val_offset:236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 236*FLEN/8, x10, x6, x7) + +inst_142:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 238*FLEN/8, x10, x6, x7) + +inst_143:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 240*FLEN/8, x10, x6, x7) + +inst_144:// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xf063b3af54c9d and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7faf063b3af54c9d; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 242*FLEN/8, x10, x6, x7) + +inst_145:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fa and fm2 == 0xf063b3af54c9d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7faf063b3af54c9d; +valaddr_reg:x9; val_offset:244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 244*FLEN/8, x10, x6, x7) + +inst_146:// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xf063b3af54c9d and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7faf063b3af54c9d; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 246*FLEN/8, x10, x6, x7) + +inst_147:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x7fa and fm2 == 0xf063b3af54c9d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x7faf063b3af54c9d; +valaddr_reg:x9; val_offset:248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 248*FLEN/8, x10, x6, x7) + +inst_148:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 250*FLEN/8, x10, x6, x7) + +inst_149:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 252*FLEN/8, x10, x6, x7) + +inst_150:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 254*FLEN/8, x10, x6, x7) + +inst_151:// fs1 == 0 and fe1 == 0x7f7 and fm1 == 0x8d1c8fbf7707e and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f78d1c8fbf7707e; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 256*FLEN/8, x10, x6, x7) + +inst_152:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7f7 and fm2 == 0x8d1c8fbf7707e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7f78d1c8fbf7707e; +valaddr_reg:x9; val_offset:258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 258*FLEN/8, x10, x6, x7) + +inst_153:// fs1 == 0 and fe1 == 0x7f7 and fm1 == 0x8d1c8fbf7707e and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f78d1c8fbf7707e; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 260*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_1) + +inst_154:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x7f7 and fm2 == 0x8d1c8fbf7707e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x7f78d1c8fbf7707e; +valaddr_reg:x9; val_offset:262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 262*FLEN/8, x10, x6, x7) + +inst_155:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 264*FLEN/8, x10, x6, x7) + +inst_156:// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xf063b3af54c9d and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7faf063b3af54c9d; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 266*FLEN/8, x10, x6, x7) + +inst_157:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fa and fm2 == 0xf063b3af54c9d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7faf063b3af54c9d; +valaddr_reg:x9; val_offset:268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 268*FLEN/8, x10, x6, x7) + +inst_158:// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xf063b3af54c9d and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7faf063b3af54c9d; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 270*FLEN/8, x10, x6, x7) + +inst_159:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 272*FLEN/8, x10, x6, x7) + +inst_160:// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xf063b3af54c9d and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7faf063b3af54c9d; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 274*FLEN/8, x10, x6, x7) + +inst_161:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 276*FLEN/8, x10, x6, x7) + +inst_162:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 278*FLEN/8, x10, x6, x7) + +inst_163:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 280*FLEN/8, x10, x6, x7) + +inst_164:// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xf063b3af54c9d and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7faf063b3af54c9d; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 282*FLEN/8, x10, x6, x7) + +inst_165:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 284*FLEN/8, x10, x6, x7) + +inst_166:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0c885d3ef4f92 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x6660e5465cd6d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc885d3ef4f92; op2val:0x7fe6660e5465cd6d; +valaddr_reg:x9; val_offset:286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 286*FLEN/8, x10, x6, x7) + +inst_167:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x6660e5465cd6d and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0c885d3ef4f92 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe6660e5465cd6d; op2val:0xc885d3ef4f92; +valaddr_reg:x9; val_offset:288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 288*FLEN/8, x10, x6, x7) + +inst_168:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0c885d3ef4f92 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc885d3ef4f92; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 290*FLEN/8, x10, x6, x7) + +inst_169:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0c885d3ef4f92 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0xc885d3ef4f92; +valaddr_reg:x9; val_offset:292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 292*FLEN/8, x10, x6, x7) + +inst_170:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 294*FLEN/8, x10, x6, x7) + +inst_171:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xa86c4594097a9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0x7fea86c4594097a9; +valaddr_reg:x9; val_offset:296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 296*FLEN/8, x10, x6, x7) + +inst_172:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xa86c4594097a9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7d53a47591bb8 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fea86c4594097a9; op2val:0x7d53a47591bb8; +valaddr_reg:x9; val_offset:298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 298*FLEN/8, x10, x6, x7) + +inst_173:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 300*FLEN/8, x10, x6, x7) + +inst_174:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7d53a47591bb8 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x7d53a47591bb8; +valaddr_reg:x9; val_offset:302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 302*FLEN/8, x10, x6, x7) + +inst_175:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 304*FLEN/8, x10, x6, x7) + +inst_176:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x705262580c704 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0x7fe705262580c704; +valaddr_reg:x9; val_offset:306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 306*FLEN/8, x10, x6, x7) + +inst_177:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x705262580c704 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7d53a47591bb8 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe705262580c704; op2val:0x7d53a47591bb8; +valaddr_reg:x9; val_offset:308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 308*FLEN/8, x10, x6, x7) + +inst_178:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 310*FLEN/8, x10, x6, x7) + +inst_179:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 312*FLEN/8, x10, x6, x7) + +inst_180:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xca304f80cbc99 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0x7feca304f80cbc99; +valaddr_reg:x9; val_offset:314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 314*FLEN/8, x10, x6, x7) + +inst_181:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xca304f80cbc99 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7d53a47591bb8 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feca304f80cbc99; op2val:0x7d53a47591bb8; +valaddr_reg:x9; val_offset:316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 316*FLEN/8, x10, x6, x7) + +inst_182:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 318*FLEN/8, x10, x6, x7) + +inst_183:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 320*FLEN/8, x10, x6, x7) + +inst_184:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0c885d3ef4f92 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc885d3ef4f92; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 322*FLEN/8, x10, x6, x7) + +inst_185:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0c885d3ef4f92 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xc885d3ef4f92; +valaddr_reg:x9; val_offset:324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 324*FLEN/8, x10, x6, x7) + +inst_186:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0c885d3ef4f92 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc885d3ef4f92; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 326*FLEN/8, x10, x6, x7) + +inst_187:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 328*FLEN/8, x10, x6, x7) + +inst_188:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 330*FLEN/8, x10, x6, x7) + +inst_189:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7d53a47591bb8 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7d53a47591bb8; +valaddr_reg:x9; val_offset:332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 332*FLEN/8, x10, x6, x7) + +inst_190:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 334*FLEN/8, x10, x6, x7) + +inst_191:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 336*FLEN/8, x10, x6, x7) + +inst_192:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xb672fe8c6e870 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0xffeb672fe8c6e870; +valaddr_reg:x9; val_offset:338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 338*FLEN/8, x10, x6, x7) + +inst_193:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb672fe8c6e870 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7d53a47591bb8 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffeb672fe8c6e870; op2val:0x7d53a47591bb8; +valaddr_reg:x9; val_offset:340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 340*FLEN/8, x10, x6, x7) + +inst_194:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 342*FLEN/8, x10, x6, x7) + +inst_195:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 344*FLEN/8, x10, x6, x7) + +inst_196:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 346*FLEN/8, x10, x6, x7) + +inst_197:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 348*FLEN/8, x10, x6, x7) + +inst_198:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0140d61fe54c2 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x484bb4eb5b9d5 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x140d61fe54c2; op2val:0xffe484bb4eb5b9d5; +valaddr_reg:x9; val_offset:350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 350*FLEN/8, x10, x6, x7) + +inst_199:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x484bb4eb5b9d5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0140d61fe54c2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe484bb4eb5b9d5; op2val:0x140d61fe54c2; +valaddr_reg:x9; val_offset:352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 352*FLEN/8, x10, x6, x7) + +inst_200:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0140d61fe54c2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x140d61fe54c2; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 354*FLEN/8, x10, x6, x7) + +inst_201:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0140d61fe54c2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x140d61fe54c2; +valaddr_reg:x9; val_offset:356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 356*FLEN/8, x10, x6, x7) + +inst_202:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 358*FLEN/8, x10, x6, x7) + +inst_203:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xcfbb63d922c8f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0xffecfbb63d922c8f; +valaddr_reg:x9; val_offset:360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 360*FLEN/8, x10, x6, x7) + +inst_204:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xcfbb63d922c8f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7d53a47591bb8 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffecfbb63d922c8f; op2val:0x7d53a47591bb8; +valaddr_reg:x9; val_offset:362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 362*FLEN/8, x10, x6, x7) + +inst_205:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 364*FLEN/8, x10, x6, x7) + +inst_206:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x0; +valaddr_reg:x9; val_offset:366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 366*FLEN/8, x10, x6, x7) + +inst_207:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x16dc795a2b73d and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff16dc795a2b73d; op2val:0x0; +valaddr_reg:x9; val_offset:368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 368*FLEN/8, x10, x6, x7) + +inst_208:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x16dc795a2b73d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ff16dc795a2b73d; +valaddr_reg:x9; val_offset:370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 370*FLEN/8, x10, x6, x7) + +inst_209:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x16dc795a2b73d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x3ff16dc795a2b73d; +valaddr_reg:x9; val_offset:372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 372*FLEN/8, x10, x6, x7) + +inst_210:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 374*FLEN/8, x10, x6, x7) + +inst_211:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 376*FLEN/8, x10, x6, x7) + +inst_212:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 378*FLEN/8, x10, x6, x7) + +inst_213:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 380*FLEN/8, x10, x6, x7) + +inst_214:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 382*FLEN/8, x10, x6, x7) + +inst_215:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 384*FLEN/8, x10, x6, x7) + +inst_216:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 386*FLEN/8, x10, x6, x7) + +inst_217:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 388*FLEN/8, x10, x6, x7) + +inst_218:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 390*FLEN/8, x10, x6, x7) + +inst_219:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x84df54aca644b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7fb84df54aca644b; +valaddr_reg:x9; val_offset:392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 392*FLEN/8, x10, x6, x7) + +inst_220:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x84df54aca644b and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb84df54aca644b; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 394*FLEN/8, x10, x6, x7) + +inst_221:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 396*FLEN/8, x10, x6, x7) + +inst_222:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 398*FLEN/8, x10, x6, x7) + +inst_223:// fs1 == 0 and fe1 == 0x7fa and fm1 == 0x60cdf84161249 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0b1f8e609ac8a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fa60cdf84161249; op2val:0xffe0b1f8e609ac8a; +valaddr_reg:x9; val_offset:400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 400*FLEN/8, x10, x6, x7) + +inst_224:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0b1f8e609ac8a and fs2 == 0 and fe2 == 0x7fa and fm2 == 0x60cdf84161249 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0b1f8e609ac8a; op2val:0x7fa60cdf84161249; +valaddr_reg:x9; val_offset:402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 402*FLEN/8, x10, x6, x7) + +inst_225:// fs1 == 0 and fe1 == 0x7fa and fm1 == 0x60cdf84161249 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fa60cdf84161249; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 404*FLEN/8, x10, x6, x7) + +inst_226:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x7fa and fm2 == 0x60cdf84161249 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x7fa60cdf84161249; +valaddr_reg:x9; val_offset:406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 406*FLEN/8, x10, x6, x7) + +inst_227:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 408*FLEN/8, x10, x6, x7) + +inst_228:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 410*FLEN/8, x10, x6, x7) + +inst_229:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 412*FLEN/8, x10, x6, x7) + +inst_230:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 414*FLEN/8, x10, x6, x7) + +inst_231:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 416*FLEN/8, x10, x6, x7) + +inst_232:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xf0d1987a81166 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xffaf0d1987a81166; +valaddr_reg:x9; val_offset:418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 418*FLEN/8, x10, x6, x7) + +inst_233:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf0d1987a81166 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaf0d1987a81166; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 420*FLEN/8, x10, x6, x7) + +inst_234:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 422*FLEN/8, x10, x6, x7) + +inst_235:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 424*FLEN/8, x10, x6, x7) + +inst_236:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 426*FLEN/8, x10, x6, x7) + +inst_237:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 428*FLEN/8, x10, x6, x7) + +inst_238:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x59132cc0dc780 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x1eb3ea9eb0abd and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x59132cc0dc780; op2val:0x7fb1eb3ea9eb0abd; +valaddr_reg:x9; val_offset:430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 430*FLEN/8, x10, x6, x7) + +inst_239:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x1eb3ea9eb0abd and fs2 == 0 and fe2 == 0x000 and fm2 == 0x59132cc0dc780 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb1eb3ea9eb0abd; op2val:0x59132cc0dc780; +valaddr_reg:x9; val_offset:432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 432*FLEN/8, x10, x6, x7) + +inst_240:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x59132cc0dc780 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x59132cc0dc780; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 434*FLEN/8, x10, x6, x7) + +inst_241:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x59132cc0dc780 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x59132cc0dc780; +valaddr_reg:x9; val_offset:436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 436*FLEN/8, x10, x6, x7) + +inst_242:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 438*FLEN/8, x10, x6, x7) + +inst_243:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x5389d1433ac87 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0x7fb5389d1433ac87; +valaddr_reg:x9; val_offset:440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 440*FLEN/8, x10, x6, x7) + +inst_244:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5389d1433ac87 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xbd5fdfc44e580 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5389d1433ac87; op2val:0x2bd5fdfc44e580; +valaddr_reg:x9; val_offset:442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 442*FLEN/8, x10, x6, x7) + +inst_245:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 444*FLEN/8, x10, x6, x7) + +inst_246:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x002 and fm2 == 0xbd5fdfc44e580 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x2bd5fdfc44e580; +valaddr_reg:x9; val_offset:446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 446*FLEN/8, x10, x6, x7) + +inst_247:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 448*FLEN/8, x10, x6, x7) + +inst_248:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x26a84eacd6c03 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0x7fb26a84eacd6c03; +valaddr_reg:x9; val_offset:450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 450*FLEN/8, x10, x6, x7) + +inst_249:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x26a84eacd6c03 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xbd5fdfc44e580 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb26a84eacd6c03; op2val:0x2bd5fdfc44e580; +valaddr_reg:x9; val_offset:452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 452*FLEN/8, x10, x6, x7) + +inst_250:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 454*FLEN/8, x10, x6, x7) + +inst_251:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 456*FLEN/8, x10, x6, x7) + +inst_252:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x6e8d0c67096e1 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0x7fb6e8d0c67096e1; +valaddr_reg:x9; val_offset:458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 458*FLEN/8, x10, x6, x7) + +inst_253:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x6e8d0c67096e1 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xbd5fdfc44e580 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb6e8d0c67096e1; op2val:0x2bd5fdfc44e580; +valaddr_reg:x9; val_offset:460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 460*FLEN/8, x10, x6, x7) + +inst_254:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 462*FLEN/8, x10, x6, x7) + +inst_255:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 464*FLEN/8, x10, x6, x7) + +inst_256:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x59132cc0dc780 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x19e9f6802bcef and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x59132cc0dc780; op2val:0x7fe19e9f6802bcef; +valaddr_reg:x9; val_offset:466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 466*FLEN/8, x10, x6, x7) + +inst_257:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x19e9f6802bcef and fs2 == 0 and fe2 == 0x000 and fm2 == 0x59132cc0dc780 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe19e9f6802bcef; op2val:0x59132cc0dc780; +valaddr_reg:x9; val_offset:468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 468*FLEN/8, x10, x6, x7) + +inst_258:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x59132cc0dc780 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x59132cc0dc780; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 470*FLEN/8, x10, x6, x7) + +inst_259:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 472*FLEN/8, x10, x6, x7) + +inst_260:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xcff30f3beb065 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0xffbcff30f3beb065; +valaddr_reg:x9; val_offset:474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 474*FLEN/8, x10, x6, x7) + +inst_261:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xcff30f3beb065 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xbd5fdfc44e580 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbcff30f3beb065; op2val:0x2bd5fdfc44e580; +valaddr_reg:x9; val_offset:476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 476*FLEN/8, x10, x6, x7) + +inst_262:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 478*FLEN/8, x10, x6, x7) + +inst_263:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 480*FLEN/8, x10, x6, x7) + +inst_264:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x5ec2653d2538d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0xffb5ec2653d2538d; +valaddr_reg:x9; val_offset:482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 482*FLEN/8, x10, x6, x7) + +inst_265:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x5ec2653d2538d and fs2 == 0 and fe2 == 0x002 and fm2 == 0xbd5fdfc44e580 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb5ec2653d2538d; op2val:0x2bd5fdfc44e580; +valaddr_reg:x9; val_offset:484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 484*FLEN/8, x10, x6, x7) + +inst_266:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 486*FLEN/8, x10, x6, x7) + +inst_267:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 488*FLEN/8, x10, x6, x7) + +inst_268:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xaaae5b42b9d01 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0xffbaaae5b42b9d01; +valaddr_reg:x9; val_offset:490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 490*FLEN/8, x10, x6, x7) + +inst_269:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xaaae5b42b9d01 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xbd5fdfc44e580 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbaaae5b42b9d01; op2val:0x2bd5fdfc44e580; +valaddr_reg:x9; val_offset:492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 492*FLEN/8, x10, x6, x7) + +inst_270:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 494*FLEN/8, x10, x6, x7) + +inst_271:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 496*FLEN/8, x10, x6, x7) + +inst_272:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x08e851467c726 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x06a2f722afb11 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8e851467c726; op2val:0xffb06a2f722afb11; +valaddr_reg:x9; val_offset:498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 498*FLEN/8, x10, x6, x7) + +inst_273:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x06a2f722afb11 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x08e851467c726 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb06a2f722afb11; op2val:0x8e851467c726; +valaddr_reg:x9; val_offset:500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 500*FLEN/8, x10, x6, x7) + +inst_274:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x08e851467c726 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8e851467c726; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 502*FLEN/8, x10, x6, x7) + +inst_275:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x08e851467c726 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x8e851467c726; +valaddr_reg:x9; val_offset:504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 504*FLEN/8, x10, x6, x7) + +inst_276:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 506*FLEN/8, x10, x6, x7) + +inst_277:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x72fc4fe0e8a0c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0xffb72fc4fe0e8a0c; +valaddr_reg:x9; val_offset:508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 508*FLEN/8, x10, x6, x7) + +inst_278:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x72fc4fe0e8a0c and fs2 == 0 and fe2 == 0x002 and fm2 == 0xbd5fdfc44e580 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb72fc4fe0e8a0c; op2val:0x2bd5fdfc44e580; +valaddr_reg:x9; val_offset:510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 510*FLEN/8, x10, x6, x7) + +inst_279:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 512*FLEN/8, x10, x6, x7) + +inst_280:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x0; +valaddr_reg:x9; val_offset:514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 514*FLEN/8, x10, x6, x7) + +inst_281:// fs1 == 0 and fe1 == 0x401 and fm1 == 0xef7eded580ce9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x401ef7eded580ce9; op2val:0x0; +valaddr_reg:x9; val_offset:516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 516*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_2) + +inst_282:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x401 and fm2 == 0xef7eded580ce9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x401ef7eded580ce9; +valaddr_reg:x9; val_offset:518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 518*FLEN/8, x10, x6, x7) + +inst_283:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x401 and fm2 == 0xef7eded580ce9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x401ef7eded580ce9; +valaddr_reg:x9; val_offset:520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 520*FLEN/8, x10, x6, x7) + +inst_284:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 522*FLEN/8, x10, x6, x7) + +inst_285:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 524*FLEN/8, x10, x6, x7) + +inst_286:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x84df54aca644b and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb84df54aca644b; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 526*FLEN/8, x10, x6, x7) + +inst_287:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x84df54aca644b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x7fb84df54aca644b; +valaddr_reg:x9; val_offset:528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 528*FLEN/8, x10, x6, x7) + +inst_288:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 530*FLEN/8, x10, x6, x7) + +inst_289:// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x3719108a1e9d6 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f83719108a1e9d6; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 532*FLEN/8, x10, x6, x7) + +inst_290:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x3719108a1e9d6 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7f83719108a1e9d6; +valaddr_reg:x9; val_offset:534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 534*FLEN/8, x10, x6, x7) + +inst_291:// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x3719108a1e9d6 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f83719108a1e9d6; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 536*FLEN/8, x10, x6, x7) + +inst_292:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x3719108a1e9d6 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x7f83719108a1e9d6; +valaddr_reg:x9; val_offset:538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 538*FLEN/8, x10, x6, x7) + +inst_293:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 540*FLEN/8, x10, x6, x7) + +inst_294:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x84df54aca644b and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb84df54aca644b; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 542*FLEN/8, x10, x6, x7) + +inst_295:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x84df54aca644b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fb84df54aca644b; +valaddr_reg:x9; val_offset:544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 544*FLEN/8, x10, x6, x7) + +inst_296:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x84df54aca644b and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb84df54aca644b; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 546*FLEN/8, x10, x6, x7) + +inst_297:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 548*FLEN/8, x10, x6, x7) + +inst_298:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x84df54aca644b and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb84df54aca644b; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 550*FLEN/8, x10, x6, x7) + +inst_299:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 552*FLEN/8, x10, x6, x7) + +inst_300:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 554*FLEN/8, x10, x6, x7) + +inst_301:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 556*FLEN/8, x10, x6, x7) + +inst_302:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x84df54aca644b and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb84df54aca644b; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 558*FLEN/8, x10, x6, x7) + +inst_303:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 560*FLEN/8, x10, x6, x7) + +inst_304:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x13a2e0625c7c9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x6660e5465cd6d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x13a2e0625c7c9; op2val:0x7fe6660e5465cd6d; +valaddr_reg:x9; val_offset:562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 562*FLEN/8, x10, x6, x7) + +inst_305:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x6660e5465cd6d and fs2 == 0 and fe2 == 0x000 and fm2 == 0x13a2e0625c7c9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe6660e5465cd6d; op2val:0x13a2e0625c7c9; +valaddr_reg:x9; val_offset:564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 564*FLEN/8, x10, x6, x7) + +inst_306:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x13a2e0625c7c9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x13a2e0625c7c9; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 566*FLEN/8, x10, x6, x7) + +inst_307:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x13a2e0625c7c9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x13a2e0625c7c9; +valaddr_reg:x9; val_offset:568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 568*FLEN/8, x10, x6, x7) + +inst_308:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 570*FLEN/8, x10, x6, x7) + +inst_309:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xa86c4594097a9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0x7fea86c4594097a9; +valaddr_reg:x9; val_offset:572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 572*FLEN/8, x10, x6, x7) + +inst_310:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xa86c4594097a9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc45cc3d79cddb and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fea86c4594097a9; op2val:0xc45cc3d79cddb; +valaddr_reg:x9; val_offset:574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 574*FLEN/8, x10, x6, x7) + +inst_311:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 576*FLEN/8, x10, x6, x7) + +inst_312:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc45cc3d79cddb and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0xc45cc3d79cddb; +valaddr_reg:x9; val_offset:578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 578*FLEN/8, x10, x6, x7) + +inst_313:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 580*FLEN/8, x10, x6, x7) + +inst_314:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x705262580c704 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0x7fe705262580c704; +valaddr_reg:x9; val_offset:582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 582*FLEN/8, x10, x6, x7) + +inst_315:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x705262580c704 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc45cc3d79cddb and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe705262580c704; op2val:0xc45cc3d79cddb; +valaddr_reg:x9; val_offset:584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 584*FLEN/8, x10, x6, x7) + +inst_316:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 586*FLEN/8, x10, x6, x7) + +inst_317:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 588*FLEN/8, x10, x6, x7) + +inst_318:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xca304f80cbc99 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0x7feca304f80cbc99; +valaddr_reg:x9; val_offset:590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 590*FLEN/8, x10, x6, x7) + +inst_319:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xca304f80cbc99 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc45cc3d79cddb and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feca304f80cbc99; op2val:0xc45cc3d79cddb; +valaddr_reg:x9; val_offset:592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 592*FLEN/8, x10, x6, x7) + +inst_320:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 594*FLEN/8, x10, x6, x7) + +inst_321:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 596*FLEN/8, x10, x6, x7) + +inst_322:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x13a2e0625c7c9 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x13a2e0625c7c9; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 598*FLEN/8, x10, x6, x7) + +inst_323:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x13a2e0625c7c9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x13a2e0625c7c9; +valaddr_reg:x9; val_offset:600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 600*FLEN/8, x10, x6, x7) + +inst_324:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x13a2e0625c7c9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x13a2e0625c7c9; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 602*FLEN/8, x10, x6, x7) + +inst_325:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 604*FLEN/8, x10, x6, x7) + +inst_326:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 606*FLEN/8, x10, x6, x7) + +inst_327:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc45cc3d79cddb and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xc45cc3d79cddb; +valaddr_reg:x9; val_offset:608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 608*FLEN/8, x10, x6, x7) + +inst_328:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 610*FLEN/8, x10, x6, x7) + +inst_329:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 612*FLEN/8, x10, x6, x7) + +inst_330:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xb672fe8c6e870 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0xffeb672fe8c6e870; +valaddr_reg:x9; val_offset:614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 614*FLEN/8, x10, x6, x7) + +inst_331:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb672fe8c6e870 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc45cc3d79cddb and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffeb672fe8c6e870; op2val:0xc45cc3d79cddb; +valaddr_reg:x9; val_offset:616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 616*FLEN/8, x10, x6, x7) + +inst_332:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 618*FLEN/8, x10, x6, x7) + +inst_333:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 620*FLEN/8, x10, x6, x7) + +inst_334:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 622*FLEN/8, x10, x6, x7) + +inst_335:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 624*FLEN/8, x10, x6, x7) + +inst_336:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01f6b009d60c7 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x484bb4eb5b9d5 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1f6b009d60c7; op2val:0xffe484bb4eb5b9d5; +valaddr_reg:x9; val_offset:626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 626*FLEN/8, x10, x6, x7) + +inst_337:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x484bb4eb5b9d5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01f6b009d60c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe484bb4eb5b9d5; op2val:0x1f6b009d60c7; +valaddr_reg:x9; val_offset:628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 628*FLEN/8, x10, x6, x7) + +inst_338:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01f6b009d60c7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1f6b009d60c7; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 630*FLEN/8, x10, x6, x7) + +inst_339:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01f6b009d60c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x1f6b009d60c7; +valaddr_reg:x9; val_offset:632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 632*FLEN/8, x10, x6, x7) + +inst_340:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 634*FLEN/8, x10, x6, x7) + +inst_341:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xcfbb63d922c8f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0xffecfbb63d922c8f; +valaddr_reg:x9; val_offset:636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 636*FLEN/8, x10, x6, x7) + +inst_342:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xcfbb63d922c8f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc45cc3d79cddb and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffecfbb63d922c8f; op2val:0xc45cc3d79cddb; +valaddr_reg:x9; val_offset:638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 638*FLEN/8, x10, x6, x7) + +inst_343:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 640*FLEN/8, x10, x6, x7) + +inst_344:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x0; +valaddr_reg:x9; val_offset:642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 642*FLEN/8, x10, x6, x7) + +inst_345:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0xb4ebb70505c5a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ffb4ebb70505c5a; op2val:0x0; +valaddr_reg:x9; val_offset:644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 644*FLEN/8, x10, x6, x7) + +inst_346:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xb4ebb70505c5a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ffb4ebb70505c5a; +valaddr_reg:x9; val_offset:646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 646*FLEN/8, x10, x6, x7) + +inst_347:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xb4ebb70505c5a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x3ffb4ebb70505c5a; +valaddr_reg:x9; val_offset:648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 648*FLEN/8, x10, x6, x7) + +inst_348:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 650*FLEN/8, x10, x6, x7) + +inst_349:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 652*FLEN/8, x10, x6, x7) + +inst_350:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 654*FLEN/8, x10, x6, x7) + +inst_351:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 656*FLEN/8, x10, x6, x7) + +inst_352:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 658*FLEN/8, x10, x6, x7) + +inst_353:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 660*FLEN/8, x10, x6, x7) + +inst_354:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 662*FLEN/8, x10, x6, x7) + +inst_355:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 664*FLEN/8, x10, x6, x7) + +inst_356:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 666*FLEN/8, x10, x6, x7) + +inst_357:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0b1f8e609ac8a and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0b1f8e609ac8a; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 668*FLEN/8, x10, x6, x7) + +inst_358:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0b1f8e609ac8a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0xffe0b1f8e609ac8a; +valaddr_reg:x9; val_offset:670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 670*FLEN/8, x10, x6, x7) + +inst_359:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 672*FLEN/8, x10, x6, x7) + +inst_360:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 674*FLEN/8, x10, x6, x7) + +inst_361:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 676*FLEN/8, x10, x6, x7) + +inst_362:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0b1f8e609ac8a and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0xb096368d864aa and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0b1f8e609ac8a; op2val:0xff9b096368d864aa; +valaddr_reg:x9; val_offset:678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 678*FLEN/8, x10, x6, x7) + +inst_363:// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0xb096368d864aa and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0b1f8e609ac8a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff9b096368d864aa; op2val:0xffe0b1f8e609ac8a; +valaddr_reg:x9; val_offset:680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 680*FLEN/8, x10, x6, x7) + +inst_364:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0b1f8e609ac8a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0b1f8e609ac8a; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 682*FLEN/8, x10, x6, x7) + +inst_365:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 684*FLEN/8, x10, x6, x7) + +inst_366:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0b1f8e609ac8a and fs2 == 1 and fe2 == 0x7fa and fm2 == 0x421ba72f8a718 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0b1f8e609ac8a; op2val:0xffa421ba72f8a718; +valaddr_reg:x9; val_offset:686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 686*FLEN/8, x10, x6, x7) + +inst_367:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0x421ba72f8a718 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0b1f8e609ac8a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffa421ba72f8a718; op2val:0xffe0b1f8e609ac8a; +valaddr_reg:x9; val_offset:688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 688*FLEN/8, x10, x6, x7) + +inst_368:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0b1f8e609ac8a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0b1f8e609ac8a; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 690*FLEN/8, x10, x6, x7) + +inst_369:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 692*FLEN/8, x10, x6, x7) + +inst_370:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7f7 and fm2 == 0x8d7479fb9a785 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xff78d7479fb9a785; +valaddr_reg:x9; val_offset:694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 694*FLEN/8, x10, x6, x7) + +inst_371:// fs1 == 1 and fe1 == 0x7f7 and fm1 == 0x8d7479fb9a785 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff78d7479fb9a785; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 696*FLEN/8, x10, x6, x7) + +inst_372:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 698*FLEN/8, x10, x6, x7) + +inst_373:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 700*FLEN/8, x10, x6, x7) + +inst_374:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0b1f8e609ac8a and fs2 == 1 and fe2 == 0x7fa and fm2 == 0x7569006cfbae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0b1f8e609ac8a; op2val:0xffa7569006cfbae0; +valaddr_reg:x9; val_offset:702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 702*FLEN/8, x10, x6, x7) + +inst_375:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0x7569006cfbae0 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0b1f8e609ac8a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffa7569006cfbae0; op2val:0xffe0b1f8e609ac8a; +valaddr_reg:x9; val_offset:704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 704*FLEN/8, x10, x6, x7) + +inst_376:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0b1f8e609ac8a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0b1f8e609ac8a; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 706*FLEN/8, x10, x6, x7) + +inst_377:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 708*FLEN/8, x10, x6, x7) + +inst_378:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6be865c2463a7 and fs2 == 0 and fe2 == 0x7f7 and fm2 == 0xcab977644ddfc and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006be865c2463a7; op2val:0x7f7cab977644ddfc; +valaddr_reg:x9; val_offset:710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 710*FLEN/8, x10, x6, x7) + +inst_379:// fs1 == 0 and fe1 == 0x7f7 and fm1 == 0xcab977644ddfc and fs2 == 1 and fe2 == 0x000 and fm2 == 0x6be865c2463a7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f7cab977644ddfc; op2val:0x8006be865c2463a7; +valaddr_reg:x9; val_offset:712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 712*FLEN/8, x10, x6, x7) + +inst_380:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6be865c2463a7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006be865c2463a7; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 714*FLEN/8, x10, x6, x7) + +inst_381:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x6be865c2463a7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x8006be865c2463a7; +valaddr_reg:x9; val_offset:716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 716*FLEN/8, x10, x6, x7) + +inst_382:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 718*FLEN/8, x10, x6, x7) + +inst_383:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x0fa17435c8a06 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0x7f80fa17435c8a06; +valaddr_reg:x9; val_offset:720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 720*FLEN/8, x10, x6, x7) + +inst_384:// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x0fa17435c8a06 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0dc4fe65af923 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f80fa17435c8a06; op2val:0x8030dc4fe65af923; +valaddr_reg:x9; val_offset:722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 722*FLEN/8, x10, x6, x7) + +inst_385:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 724*FLEN/8, x10, x6, x7) + +inst_386:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0dc4fe65af923 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x8030dc4fe65af923; +valaddr_reg:x9; val_offset:726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 726*FLEN/8, x10, x6, x7) + +inst_387:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 728*FLEN/8, x10, x6, x7) + +inst_388:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 0 and fe2 == 0x7f7 and fm2 == 0xd773b1148acd1 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0x7f7d773b1148acd1; +valaddr_reg:x9; val_offset:730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 730*FLEN/8, x10, x6, x7) + +inst_389:// fs1 == 0 and fe1 == 0x7f7 and fm1 == 0xd773b1148acd1 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0dc4fe65af923 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f7d773b1148acd1; op2val:0x8030dc4fe65af923; +valaddr_reg:x9; val_offset:732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 732*FLEN/8, x10, x6, x7) + +inst_390:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 734*FLEN/8, x10, x6, x7) + +inst_391:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 736*FLEN/8, x10, x6, x7) + +inst_392:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x253da385a124e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0x7f8253da385a124e; +valaddr_reg:x9; val_offset:738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 738*FLEN/8, x10, x6, x7) + +inst_393:// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x253da385a124e and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0dc4fe65af923 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f8253da385a124e; op2val:0x8030dc4fe65af923; +valaddr_reg:x9; val_offset:740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 740*FLEN/8, x10, x6, x7) + +inst_394:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 742*FLEN/8, x10, x6, x7) + +inst_395:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 744*FLEN/8, x10, x6, x7) + +inst_396:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6be865c2463a7 and fs2 == 0 and fe2 == 0x7fa and fm2 == 0xc30ff0cd12e4b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006be865c2463a7; op2val:0x7fac30ff0cd12e4b; +valaddr_reg:x9; val_offset:746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 746*FLEN/8, x10, x6, x7) + +inst_397:// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xc30ff0cd12e4b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x6be865c2463a7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fac30ff0cd12e4b; op2val:0x8006be865c2463a7; +valaddr_reg:x9; val_offset:748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 748*FLEN/8, x10, x6, x7) + +inst_398:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6be865c2463a7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006be865c2463a7; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 750*FLEN/8, x10, x6, x7) + +inst_399:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 752*FLEN/8, x10, x6, x7) + +inst_400:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x7328d8fcbc051 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0xff87328d8fcbc051; +valaddr_reg:x9; val_offset:754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 754*FLEN/8, x10, x6, x7) + +inst_401:// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x7328d8fcbc051 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0dc4fe65af923 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff87328d8fcbc051; op2val:0x8030dc4fe65af923; +valaddr_reg:x9; val_offset:756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 756*FLEN/8, x10, x6, x7) + +inst_402:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 758*FLEN/8, x10, x6, x7) + +inst_403:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 760*FLEN/8, x10, x6, x7) + +inst_404:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x189b8430ea93d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0xff8189b8430ea93d; +valaddr_reg:x9; val_offset:762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 762*FLEN/8, x10, x6, x7) + +inst_405:// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x189b8430ea93d and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0dc4fe65af923 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff8189b8430ea93d; op2val:0x8030dc4fe65af923; +valaddr_reg:x9; val_offset:764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 764*FLEN/8, x10, x6, x7) + +inst_406:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 766*FLEN/8, x10, x6, x7) + +inst_407:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 768*FLEN/8, x10, x6, x7) + +inst_408:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x555849022e400 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0xff8555849022e400; +valaddr_reg:x9; val_offset:770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 770*FLEN/8, x10, x6, x7) + +inst_409:// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x555849022e400 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0dc4fe65af923 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff8555849022e400; op2val:0x8030dc4fe65af923; +valaddr_reg:x9; val_offset:772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 772*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_3) + +inst_410:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 774*FLEN/8, x10, x6, x7) + +inst_411:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 776*FLEN/8, x10, x6, x7) + +inst_412:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0aca70936d6c4 and fs2 == 1 and fe2 == 0x7f7 and fm2 == 0xa437f1d1191b5 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000aca70936d6c4; op2val:0xff7a437f1d1191b5; +valaddr_reg:x9; val_offset:778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 778*FLEN/8, x10, x6, x7) + +inst_413:// fs1 == 1 and fe1 == 0x7f7 and fm1 == 0xa437f1d1191b5 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0aca70936d6c4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff7a437f1d1191b5; op2val:0x8000aca70936d6c4; +valaddr_reg:x9; val_offset:780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 780*FLEN/8, x10, x6, x7) + +inst_414:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0aca70936d6c4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000aca70936d6c4; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 782*FLEN/8, x10, x6, x7) + +inst_415:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0aca70936d6c4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x8000aca70936d6c4; +valaddr_reg:x9; val_offset:784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 784*FLEN/8, x10, x6, x7) + +inst_416:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 786*FLEN/8, x10, x6, x7) + +inst_417:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x28c9d980ba1a3 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0xff828c9d980ba1a3; +valaddr_reg:x9; val_offset:788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 788*FLEN/8, x10, x6, x7) + +inst_418:// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x28c9d980ba1a3 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0dc4fe65af923 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff828c9d980ba1a3; op2val:0x8030dc4fe65af923; +valaddr_reg:x9; val_offset:790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 790*FLEN/8, x10, x6, x7) + +inst_419:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 792*FLEN/8, x10, x6, x7) + +inst_420:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x0; +valaddr_reg:x9; val_offset:794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 794*FLEN/8, x10, x6, x7) + +inst_421:// fs1 == 1 and fe1 == 0x402 and fm1 == 0x2c20e7e96fa8e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc022c20e7e96fa8e; op2val:0x0; +valaddr_reg:x9; val_offset:796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 796*FLEN/8, x10, x6, x7) + +inst_422:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x402 and fm2 == 0x2c20e7e96fa8e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xc022c20e7e96fa8e; +valaddr_reg:x9; val_offset:798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 798*FLEN/8, x10, x6, x7) + +inst_423:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x402 and fm2 == 0x2c20e7e96fa8e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0xc022c20e7e96fa8e; +valaddr_reg:x9; val_offset:800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 800*FLEN/8, x10, x6, x7) + +inst_424:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 802*FLEN/8, x10, x6, x7) + +inst_425:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 804*FLEN/8, x10, x6, x7) + +inst_426:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 806*FLEN/8, x10, x6, x7) + +inst_427:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 808*FLEN/8, x10, x6, x7) + +inst_428:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 810*FLEN/8, x10, x6, x7) + +inst_429:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 812*FLEN/8, x10, x6, x7) + +inst_430:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 814*FLEN/8, x10, x6, x7) + +inst_431:// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0xb096368d864aa and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff9b096368d864aa; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 816*FLEN/8, x10, x6, x7) + +inst_432:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0xb096368d864aa and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0xff9b096368d864aa; +valaddr_reg:x9; val_offset:818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 818*FLEN/8, x10, x6, x7) + +inst_433:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 820*FLEN/8, x10, x6, x7) + +inst_434:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 822*FLEN/8, x10, x6, x7) + +inst_435:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 824*FLEN/8, x10, x6, x7) + +inst_436:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xf0d1987a81166 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xffaf0d1987a81166; +valaddr_reg:x9; val_offset:826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 826*FLEN/8, x10, x6, x7) + +inst_437:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf0d1987a81166 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaf0d1987a81166; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 828*FLEN/8, x10, x6, x7) + +inst_438:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 830*FLEN/8, x10, x6, x7) + +inst_439:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 832*FLEN/8, x10, x6, x7) + +inst_440:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 834*FLEN/8, x10, x6, x7) + +inst_441:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x369be8c5e3b80 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x1eb3ea9eb0abd and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800369be8c5e3b80; op2val:0x7fb1eb3ea9eb0abd; +valaddr_reg:x9; val_offset:836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 836*FLEN/8, x10, x6, x7) + +inst_442:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x1eb3ea9eb0abd and fs2 == 1 and fe2 == 0x000 and fm2 == 0x369be8c5e3b80 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb1eb3ea9eb0abd; op2val:0x800369be8c5e3b80; +valaddr_reg:x9; val_offset:838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 838*FLEN/8, x10, x6, x7) + +inst_443:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x369be8c5e3b80 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800369be8c5e3b80; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 840*FLEN/8, x10, x6, x7) + +inst_444:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x000 and fm2 == 0x369be8c5e3b80 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x800369be8c5e3b80; +valaddr_reg:x9; val_offset:842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 842*FLEN/8, x10, x6, x7) + +inst_445:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 844*FLEN/8, x10, x6, x7) + +inst_446:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x5389d1433ac87 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0x7fb5389d1433ac87; +valaddr_reg:x9; val_offset:846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 846*FLEN/8, x10, x6, x7) + +inst_447:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5389d1433ac87 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x110b8bdd7297e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5389d1433ac87; op2val:0x802110b8bdd7297e; +valaddr_reg:x9; val_offset:848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 848*FLEN/8, x10, x6, x7) + +inst_448:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 850*FLEN/8, x10, x6, x7) + +inst_449:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x002 and fm2 == 0x110b8bdd7297e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x802110b8bdd7297e; +valaddr_reg:x9; val_offset:852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 852*FLEN/8, x10, x6, x7) + +inst_450:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 854*FLEN/8, x10, x6, x7) + +inst_451:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x26a84eacd6c03 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0x7fb26a84eacd6c03; +valaddr_reg:x9; val_offset:856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 856*FLEN/8, x10, x6, x7) + +inst_452:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x26a84eacd6c03 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x110b8bdd7297e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb26a84eacd6c03; op2val:0x802110b8bdd7297e; +valaddr_reg:x9; val_offset:858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 858*FLEN/8, x10, x6, x7) + +inst_453:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 860*FLEN/8, x10, x6, x7) + +inst_454:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 862*FLEN/8, x10, x6, x7) + +inst_455:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x6e8d0c67096e1 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0x7fb6e8d0c67096e1; +valaddr_reg:x9; val_offset:864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 864*FLEN/8, x10, x6, x7) + +inst_456:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x6e8d0c67096e1 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x110b8bdd7297e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb6e8d0c67096e1; op2val:0x802110b8bdd7297e; +valaddr_reg:x9; val_offset:866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 866*FLEN/8, x10, x6, x7) + +inst_457:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 868*FLEN/8, x10, x6, x7) + +inst_458:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 870*FLEN/8, x10, x6, x7) + +inst_459:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x369be8c5e3b80 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x19e9f6802bcef and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800369be8c5e3b80; op2val:0x7fe19e9f6802bcef; +valaddr_reg:x9; val_offset:872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 872*FLEN/8, x10, x6, x7) + +inst_460:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x19e9f6802bcef and fs2 == 1 and fe2 == 0x000 and fm2 == 0x369be8c5e3b80 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe19e9f6802bcef; op2val:0x800369be8c5e3b80; +valaddr_reg:x9; val_offset:874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 874*FLEN/8, x10, x6, x7) + +inst_461:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x369be8c5e3b80 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800369be8c5e3b80; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 876*FLEN/8, x10, x6, x7) + +inst_462:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 878*FLEN/8, x10, x6, x7) + +inst_463:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xcff30f3beb065 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0xffbcff30f3beb065; +valaddr_reg:x9; val_offset:880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 880*FLEN/8, x10, x6, x7) + +inst_464:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xcff30f3beb065 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x110b8bdd7297e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbcff30f3beb065; op2val:0x802110b8bdd7297e; +valaddr_reg:x9; val_offset:882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 882*FLEN/8, x10, x6, x7) + +inst_465:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 884*FLEN/8, x10, x6, x7) + +inst_466:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 886*FLEN/8, x10, x6, x7) + +inst_467:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x5ec2653d2538d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0xffb5ec2653d2538d; +valaddr_reg:x9; val_offset:888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 888*FLEN/8, x10, x6, x7) + +inst_468:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x5ec2653d2538d and fs2 == 1 and fe2 == 0x002 and fm2 == 0x110b8bdd7297e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb5ec2653d2538d; op2val:0x802110b8bdd7297e; +valaddr_reg:x9; val_offset:890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 890*FLEN/8, x10, x6, x7) + +inst_469:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 892*FLEN/8, x10, x6, x7) + +inst_470:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 894*FLEN/8, x10, x6, x7) + +inst_471:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xaaae5b42b9d01 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0xffbaaae5b42b9d01; +valaddr_reg:x9; val_offset:896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 896*FLEN/8, x10, x6, x7) + +inst_472:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xaaae5b42b9d01 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x110b8bdd7297e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbaaae5b42b9d01; op2val:0x802110b8bdd7297e; +valaddr_reg:x9; val_offset:898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 898*FLEN/8, x10, x6, x7) + +inst_473:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 900*FLEN/8, x10, x6, x7) + +inst_474:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 902*FLEN/8, x10, x6, x7) + +inst_475:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0575fdad63926 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x06a2f722afb11 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000575fdad63926; op2val:0xffb06a2f722afb11; +valaddr_reg:x9; val_offset:904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 904*FLEN/8, x10, x6, x7) + +inst_476:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x06a2f722afb11 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0575fdad63926 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb06a2f722afb11; op2val:0x8000575fdad63926; +valaddr_reg:x9; val_offset:906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 906*FLEN/8, x10, x6, x7) + +inst_477:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0575fdad63926 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000575fdad63926; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 908*FLEN/8, x10, x6, x7) + +inst_478:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0575fdad63926 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x8000575fdad63926; +valaddr_reg:x9; val_offset:910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 910*FLEN/8, x10, x6, x7) + +inst_479:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 912*FLEN/8, x10, x6, x7) + +inst_480:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x72fc4fe0e8a0c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0xffb72fc4fe0e8a0c; +valaddr_reg:x9; val_offset:914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 914*FLEN/8, x10, x6, x7) + +inst_481:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x72fc4fe0e8a0c and fs2 == 1 and fe2 == 0x002 and fm2 == 0x110b8bdd7297e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb72fc4fe0e8a0c; op2val:0x802110b8bdd7297e; +valaddr_reg:x9; val_offset:916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 916*FLEN/8, x10, x6, x7) + +inst_482:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 918*FLEN/8, x10, x6, x7) + +inst_483:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x0; +valaddr_reg:x9; val_offset:920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 920*FLEN/8, x10, x6, x7) + +inst_484:// fs1 == 1 and fe1 == 0x401 and fm1 == 0x2fc5d39f551da and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc012fc5d39f551da; op2val:0x0; +valaddr_reg:x9; val_offset:922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 922*FLEN/8, x10, x6, x7) + +inst_485:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x401 and fm2 == 0x2fc5d39f551da and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xc012fc5d39f551da; +valaddr_reg:x9; val_offset:924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 924*FLEN/8, x10, x6, x7) + +inst_486:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x401 and fm2 == 0x2fc5d39f551da and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0xc012fc5d39f551da; +valaddr_reg:x9; val_offset:926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 926*FLEN/8, x10, x6, x7) + +inst_487:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:928*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 928*FLEN/8, x10, x6, x7) + +inst_488:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:930*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 930*FLEN/8, x10, x6, x7) + +inst_489:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:932*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 932*FLEN/8, x10, x6, x7) + +inst_490:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:934*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 934*FLEN/8, x10, x6, x7) + +inst_491:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:936*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 936*FLEN/8, x10, x6, x7) + +inst_492:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:938*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 938*FLEN/8, x10, x6, x7) + +inst_493:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:940*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 940*FLEN/8, x10, x6, x7) + +inst_494:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0x421ba72f8a718 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffa421ba72f8a718; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:942*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 942*FLEN/8, x10, x6, x7) + +inst_495:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x7fa and fm2 == 0x421ba72f8a718 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0xffa421ba72f8a718; +valaddr_reg:x9; val_offset:944*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 944*FLEN/8, x10, x6, x7) + +inst_496:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:946*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 946*FLEN/8, x10, x6, x7) + +inst_497:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:948*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 948*FLEN/8, x10, x6, x7) + +inst_498:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:950*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 950*FLEN/8, x10, x6, x7) + +inst_499:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:952*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 952*FLEN/8, x10, x6, x7) + +inst_500:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x51532237be62c and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x1eb3ea9eb0abd and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80051532237be62c; op2val:0x7fb1eb3ea9eb0abd; +valaddr_reg:x9; val_offset:954*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 954*FLEN/8, x10, x6, x7) + +inst_501:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x1eb3ea9eb0abd and fs2 == 1 and fe2 == 0x000 and fm2 == 0x51532237be62c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb1eb3ea9eb0abd; op2val:0x80051532237be62c; +valaddr_reg:x9; val_offset:956*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 956*FLEN/8, x10, x6, x7) + +inst_502:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x51532237be62c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80051532237be62c; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:958*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 958*FLEN/8, x10, x6, x7) + +inst_503:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x000 and fm2 == 0x51532237be62c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x80051532237be62c; +valaddr_reg:x9; val_offset:960*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 960*FLEN/8, x10, x6, x7) + +inst_504:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:962*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 962*FLEN/8, x10, x6, x7) + +inst_505:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x5389d1433ac87 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0x7fb5389d1433ac87; +valaddr_reg:x9; val_offset:964*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 964*FLEN/8, x10, x6, x7) + +inst_506:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5389d1433ac87 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x969fab16b7edc and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5389d1433ac87; op2val:0x802969fab16b7edc; +valaddr_reg:x9; val_offset:966*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 966*FLEN/8, x10, x6, x7) + +inst_507:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:968*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 968*FLEN/8, x10, x6, x7) + +inst_508:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x002 and fm2 == 0x969fab16b7edc and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x802969fab16b7edc; +valaddr_reg:x9; val_offset:970*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 970*FLEN/8, x10, x6, x7) + +inst_509:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:972*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 972*FLEN/8, x10, x6, x7) + +inst_510:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x26a84eacd6c03 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0x7fb26a84eacd6c03; +valaddr_reg:x9; val_offset:974*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 974*FLEN/8, x10, x6, x7) + +inst_511:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x26a84eacd6c03 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x969fab16b7edc and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb26a84eacd6c03; op2val:0x802969fab16b7edc; +valaddr_reg:x9; val_offset:976*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 976*FLEN/8, x10, x6, x7) + +inst_512:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:978*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 978*FLEN/8, x10, x6, x7) + +inst_513:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:980*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 980*FLEN/8, x10, x6, x7) + +inst_514:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x6e8d0c67096e1 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0x7fb6e8d0c67096e1; +valaddr_reg:x9; val_offset:982*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 982*FLEN/8, x10, x6, x7) + +inst_515:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x6e8d0c67096e1 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x969fab16b7edc and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb6e8d0c67096e1; op2val:0x802969fab16b7edc; +valaddr_reg:x9; val_offset:984*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 984*FLEN/8, x10, x6, x7) + +inst_516:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:986*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 986*FLEN/8, x10, x6, x7) + +inst_517:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:988*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 988*FLEN/8, x10, x6, x7) + +inst_518:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x51532237be62c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x19e9f6802bcef and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80051532237be62c; op2val:0x7fe19e9f6802bcef; +valaddr_reg:x9; val_offset:990*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 990*FLEN/8, x10, x6, x7) + +inst_519:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x19e9f6802bcef and fs2 == 1 and fe2 == 0x000 and fm2 == 0x51532237be62c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe19e9f6802bcef; op2val:0x80051532237be62c; +valaddr_reg:x9; val_offset:992*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 992*FLEN/8, x10, x6, x7) + +inst_520:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x51532237be62c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80051532237be62c; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:994*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 994*FLEN/8, x10, x6, x7) + +inst_521:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:996*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 996*FLEN/8, x10, x6, x7) + +inst_522:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xcff30f3beb065 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0xffbcff30f3beb065; +valaddr_reg:x9; val_offset:998*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 998*FLEN/8, x10, x6, x7) + +inst_523:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xcff30f3beb065 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x969fab16b7edc and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbcff30f3beb065; op2val:0x802969fab16b7edc; +valaddr_reg:x9; val_offset:1000*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1000*FLEN/8, x10, x6, x7) + +inst_524:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1002*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1002*FLEN/8, x10, x6, x7) + +inst_525:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1004*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1004*FLEN/8, x10, x6, x7) + +inst_526:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x5ec2653d2538d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0xffb5ec2653d2538d; +valaddr_reg:x9; val_offset:1006*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1006*FLEN/8, x10, x6, x7) + +inst_527:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x5ec2653d2538d and fs2 == 1 and fe2 == 0x002 and fm2 == 0x969fab16b7edc and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb5ec2653d2538d; op2val:0x802969fab16b7edc; +valaddr_reg:x9; val_offset:1008*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1008*FLEN/8, x10, x6, x7) + +inst_528:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1010*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1010*FLEN/8, x10, x6, x7) + +inst_529:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1012*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1012*FLEN/8, x10, x6, x7) + +inst_530:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xaaae5b42b9d01 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0xffbaaae5b42b9d01; +valaddr_reg:x9; val_offset:1014*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1014*FLEN/8, x10, x6, x7) + +inst_531:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xaaae5b42b9d01 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x969fab16b7edc and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbaaae5b42b9d01; op2val:0x802969fab16b7edc; +valaddr_reg:x9; val_offset:1016*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1016*FLEN/8, x10, x6, x7) + +inst_532:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1018*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1018*FLEN/8, x10, x6, x7) + +inst_533:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1020*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1020*FLEN/8, x10, x6, x7) + +inst_534:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0821e9d25fd6b and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x06a2f722afb11 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000821e9d25fd6b; op2val:0xffb06a2f722afb11; +valaddr_reg:x9; val_offset:1022*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1022*FLEN/8, x10, x6, x7) + +inst_535:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x06a2f722afb11 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0821e9d25fd6b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb06a2f722afb11; op2val:0x8000821e9d25fd6b; +valaddr_reg:x9; val_offset:1024*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1024*FLEN/8, x10, x6, x7) + +inst_536:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0821e9d25fd6b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000821e9d25fd6b; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1026*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1026*FLEN/8, x10, x6, x7) + +inst_537:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0821e9d25fd6b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x8000821e9d25fd6b; +valaddr_reg:x9; val_offset:1028*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1028*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_4) + +inst_538:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1030*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1030*FLEN/8, x10, x6, x7) + +inst_539:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x72fc4fe0e8a0c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0xffb72fc4fe0e8a0c; +valaddr_reg:x9; val_offset:1032*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1032*FLEN/8, x10, x6, x7) + +inst_540:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x72fc4fe0e8a0c and fs2 == 1 and fe2 == 0x002 and fm2 == 0x969fab16b7edc and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb72fc4fe0e8a0c; op2val:0x802969fab16b7edc; +valaddr_reg:x9; val_offset:1034*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1034*FLEN/8, x10, x6, x7) + +inst_541:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1036*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1036*FLEN/8, x10, x6, x7) + +inst_542:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x0; +valaddr_reg:x9; val_offset:1038*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1038*FLEN/8, x10, x6, x7) + +inst_543:// fs1 == 1 and fe1 == 0x401 and fm1 == 0xc4624671f2f0c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc01c4624671f2f0c; op2val:0x0; +valaddr_reg:x9; val_offset:1040*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1040*FLEN/8, x10, x6, x7) + +inst_544:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x401 and fm2 == 0xc4624671f2f0c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xc01c4624671f2f0c; +valaddr_reg:x9; val_offset:1042*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1042*FLEN/8, x10, x6, x7) + +inst_545:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x401 and fm2 == 0xc4624671f2f0c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0xc01c4624671f2f0c; +valaddr_reg:x9; val_offset:1044*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1044*FLEN/8, x10, x6, x7) + +inst_546:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1046*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1046*FLEN/8, x10, x6, x7) + +inst_547:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1048*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1048*FLEN/8, x10, x6, x7) + +inst_548:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf0d1987a81166 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaf0d1987a81166; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1050*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1050*FLEN/8, x10, x6, x7) + +inst_549:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xf0d1987a81166 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0xffaf0d1987a81166; +valaddr_reg:x9; val_offset:1052*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1052*FLEN/8, x10, x6, x7) + +inst_550:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1054*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1054*FLEN/8, x10, x6, x7) + +inst_551:// fs1 == 1 and fe1 == 0x7f7 and fm1 == 0x8d7479fb9a785 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff78d7479fb9a785; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1056*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1056*FLEN/8, x10, x6, x7) + +inst_552:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x7f7 and fm2 == 0x8d7479fb9a785 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0xff78d7479fb9a785; +valaddr_reg:x9; val_offset:1058*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1058*FLEN/8, x10, x6, x7) + +inst_553:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1060*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1060*FLEN/8, x10, x6, x7) + +inst_554:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf0d1987a81166 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaf0d1987a81166; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1062*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1062*FLEN/8, x10, x6, x7) + +inst_555:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1064*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1064*FLEN/8, x10, x6, x7) + +inst_556:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf0d1987a81166 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaf0d1987a81166; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1066*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1066*FLEN/8, x10, x6, x7) + +inst_557:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1068*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1068*FLEN/8, x10, x6, x7) + +inst_558:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf0d1987a81166 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaf0d1987a81166; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1070*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1070*FLEN/8, x10, x6, x7) + +inst_559:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1072*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1072*FLEN/8, x10, x6, x7) + +inst_560:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0c8b23887d51e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x6660e5465cd6d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000c8b23887d51e; op2val:0x7fe6660e5465cd6d; +valaddr_reg:x9; val_offset:1074*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1074*FLEN/8, x10, x6, x7) + +inst_561:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x6660e5465cd6d and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0c8b23887d51e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe6660e5465cd6d; op2val:0x8000c8b23887d51e; +valaddr_reg:x9; val_offset:1076*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1076*FLEN/8, x10, x6, x7) + +inst_562:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0c8b23887d51e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000c8b23887d51e; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1078*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1078*FLEN/8, x10, x6, x7) + +inst_563:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0c8b23887d51e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x8000c8b23887d51e; +valaddr_reg:x9; val_offset:1080*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1080*FLEN/8, x10, x6, x7) + +inst_564:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1082*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1082*FLEN/8, x10, x6, x7) + +inst_565:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xa86c4594097a9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0x7fea86c4594097a9; +valaddr_reg:x9; val_offset:1084*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1084*FLEN/8, x10, x6, x7) + +inst_566:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xa86c4594097a9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7d6f6354e532b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fea86c4594097a9; op2val:0x8007d6f6354e532b; +valaddr_reg:x9; val_offset:1086*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1086*FLEN/8, x10, x6, x7) + +inst_567:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1088*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1088*FLEN/8, x10, x6, x7) + +inst_568:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7d6f6354e532b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x8007d6f6354e532b; +valaddr_reg:x9; val_offset:1090*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1090*FLEN/8, x10, x6, x7) + +inst_569:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1092*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1092*FLEN/8, x10, x6, x7) + +inst_570:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x705262580c704 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0x7fe705262580c704; +valaddr_reg:x9; val_offset:1094*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1094*FLEN/8, x10, x6, x7) + +inst_571:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x705262580c704 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7d6f6354e532b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe705262580c704; op2val:0x8007d6f6354e532b; +valaddr_reg:x9; val_offset:1096*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1096*FLEN/8, x10, x6, x7) + +inst_572:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1098*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1098*FLEN/8, x10, x6, x7) + +inst_573:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1100*FLEN/8, x10, x6, x7) + +inst_574:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xca304f80cbc99 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0x7feca304f80cbc99; +valaddr_reg:x9; val_offset:1102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1102*FLEN/8, x10, x6, x7) + +inst_575:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xca304f80cbc99 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7d6f6354e532b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feca304f80cbc99; op2val:0x8007d6f6354e532b; +valaddr_reg:x9; val_offset:1104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1104*FLEN/8, x10, x6, x7) + +inst_576:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1106*FLEN/8, x10, x6, x7) + +inst_577:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1108*FLEN/8, x10, x6, x7) + +inst_578:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0c8b23887d51e and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000c8b23887d51e; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:1110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1110*FLEN/8, x10, x6, x7) + +inst_579:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0c8b23887d51e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8000c8b23887d51e; +valaddr_reg:x9; val_offset:1112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1112*FLEN/8, x10, x6, x7) + +inst_580:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0c8b23887d51e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000c8b23887d51e; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1114*FLEN/8, x10, x6, x7) + +inst_581:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1116*FLEN/8, x10, x6, x7) + +inst_582:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1118*FLEN/8, x10, x6, x7) + +inst_583:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7d6f6354e532b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8007d6f6354e532b; +valaddr_reg:x9; val_offset:1120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1120*FLEN/8, x10, x6, x7) + +inst_584:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1122*FLEN/8, x10, x6, x7) + +inst_585:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1124*FLEN/8, x10, x6, x7) + +inst_586:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xb672fe8c6e870 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0xffeb672fe8c6e870; +valaddr_reg:x9; val_offset:1126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1126*FLEN/8, x10, x6, x7) + +inst_587:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb672fe8c6e870 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7d6f6354e532b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffeb672fe8c6e870; op2val:0x8007d6f6354e532b; +valaddr_reg:x9; val_offset:1128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1128*FLEN/8, x10, x6, x7) + +inst_588:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1130*FLEN/8, x10, x6, x7) + +inst_589:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1132*FLEN/8, x10, x6, x7) + +inst_590:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1134*FLEN/8, x10, x6, x7) + +inst_591:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1136*FLEN/8, x10, x6, x7) + +inst_592:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x01411d273fbb6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x484bb4eb5b9d5 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80001411d273fbb6; op2val:0xffe484bb4eb5b9d5; +valaddr_reg:x9; val_offset:1138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1138*FLEN/8, x10, x6, x7) + +inst_593:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x484bb4eb5b9d5 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x01411d273fbb6 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe484bb4eb5b9d5; op2val:0x80001411d273fbb6; +valaddr_reg:x9; val_offset:1140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1140*FLEN/8, x10, x6, x7) + +inst_594:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x01411d273fbb6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80001411d273fbb6; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1142*FLEN/8, x10, x6, x7) + +inst_595:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x01411d273fbb6 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x80001411d273fbb6; +valaddr_reg:x9; val_offset:1144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1144*FLEN/8, x10, x6, x7) + +inst_596:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1146*FLEN/8, x10, x6, x7) + +inst_597:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xcfbb63d922c8f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0xffecfbb63d922c8f; +valaddr_reg:x9; val_offset:1148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1148*FLEN/8, x10, x6, x7) + +inst_598:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xcfbb63d922c8f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7d6f6354e532b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffecfbb63d922c8f; op2val:0x8007d6f6354e532b; +valaddr_reg:x9; val_offset:1150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1150*FLEN/8, x10, x6, x7) + +inst_599:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1152*FLEN/8, x10, x6, x7) + +inst_600:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x0; +valaddr_reg:x9; val_offset:1154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1154*FLEN/8, x10, x6, x7) + +inst_601:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x171a35c491d80 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbff171a35c491d80; op2val:0x0; +valaddr_reg:x9; val_offset:1156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1156*FLEN/8, x10, x6, x7) + +inst_602:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x171a35c491d80 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xbff171a35c491d80; +valaddr_reg:x9; val_offset:1158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1158*FLEN/8, x10, x6, x7) + +inst_603:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x171a35c491d80 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0xbff171a35c491d80; +valaddr_reg:x9; val_offset:1160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1160*FLEN/8, x10, x6, x7) + +inst_604:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1162*FLEN/8, x10, x6, x7) + +inst_605:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1164*FLEN/8, x10, x6, x7) + +inst_606:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1166*FLEN/8, x10, x6, x7) + +inst_607:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1168*FLEN/8, x10, x6, x7) + +inst_608:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1170*FLEN/8, x10, x6, x7) + +inst_609:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1172*FLEN/8, x10, x6, x7) + +inst_610:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1174*FLEN/8, x10, x6, x7) + +inst_611:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0x7569006cfbae0 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffa7569006cfbae0; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1176*FLEN/8, x10, x6, x7) + +inst_612:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0x7569006cfbae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0xffa7569006cfbae0; +valaddr_reg:x9; val_offset:1178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1178*FLEN/8, x10, x6, x7) + +inst_613:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1180*FLEN/8, x10, x6, x7) + +inst_614:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1182*FLEN/8, x10, x6, x7) + +inst_615:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e46ff3af089e and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x1eb3ea9eb0abd and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e46ff3af089e; op2val:0x7fb1eb3ea9eb0abd; +valaddr_reg:x9; val_offset:1184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1184*FLEN/8, x10, x6, x7) + +inst_616:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x1eb3ea9eb0abd and fs2 == 1 and fe2 == 0x000 and fm2 == 0x5e46ff3af089e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb1eb3ea9eb0abd; op2val:0x8005e46ff3af089e; +valaddr_reg:x9; val_offset:1186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1186*FLEN/8, x10, x6, x7) + +inst_617:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e46ff3af089e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e46ff3af089e; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1188*FLEN/8, x10, x6, x7) + +inst_618:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x5e46ff3af089e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x8005e46ff3af089e; +valaddr_reg:x9; val_offset:1190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1190*FLEN/8, x10, x6, x7) + +inst_619:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1192*FLEN/8, x10, x6, x7) + +inst_620:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x5389d1433ac87 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0x7fb5389d1433ac87; +valaddr_reg:x9; val_offset:1194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1194*FLEN/8, x10, x6, x7) + +inst_621:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5389d1433ac87 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd762fc26b2b18 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5389d1433ac87; op2val:0x802d762fc26b2b18; +valaddr_reg:x9; val_offset:1196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1196*FLEN/8, x10, x6, x7) + +inst_622:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1198*FLEN/8, x10, x6, x7) + +inst_623:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd762fc26b2b18 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x802d762fc26b2b18; +valaddr_reg:x9; val_offset:1200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1200*FLEN/8, x10, x6, x7) + +inst_624:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1202*FLEN/8, x10, x6, x7) + +inst_625:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x26a84eacd6c03 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0x7fb26a84eacd6c03; +valaddr_reg:x9; val_offset:1204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1204*FLEN/8, x10, x6, x7) + +inst_626:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x26a84eacd6c03 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd762fc26b2b18 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb26a84eacd6c03; op2val:0x802d762fc26b2b18; +valaddr_reg:x9; val_offset:1206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1206*FLEN/8, x10, x6, x7) + +inst_627:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1208*FLEN/8, x10, x6, x7) + +inst_628:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1210*FLEN/8, x10, x6, x7) + +inst_629:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x6e8d0c67096e1 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0x7fb6e8d0c67096e1; +valaddr_reg:x9; val_offset:1212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1212*FLEN/8, x10, x6, x7) + +inst_630:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x6e8d0c67096e1 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd762fc26b2b18 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb6e8d0c67096e1; op2val:0x802d762fc26b2b18; +valaddr_reg:x9; val_offset:1214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1214*FLEN/8, x10, x6, x7) + +inst_631:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1216*FLEN/8, x10, x6, x7) + +inst_632:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1218*FLEN/8, x10, x6, x7) + +inst_633:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e46ff3af089e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x19e9f6802bcef and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e46ff3af089e; op2val:0x7fe19e9f6802bcef; +valaddr_reg:x9; val_offset:1220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1220*FLEN/8, x10, x6, x7) + +inst_634:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x19e9f6802bcef and fs2 == 1 and fe2 == 0x000 and fm2 == 0x5e46ff3af089e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe19e9f6802bcef; op2val:0x8005e46ff3af089e; +valaddr_reg:x9; val_offset:1222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1222*FLEN/8, x10, x6, x7) + +inst_635:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e46ff3af089e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e46ff3af089e; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1224*FLEN/8, x10, x6, x7) + +inst_636:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1226*FLEN/8, x10, x6, x7) + +inst_637:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xcff30f3beb065 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0xffbcff30f3beb065; +valaddr_reg:x9; val_offset:1228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1228*FLEN/8, x10, x6, x7) + +inst_638:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xcff30f3beb065 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd762fc26b2b18 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbcff30f3beb065; op2val:0x802d762fc26b2b18; +valaddr_reg:x9; val_offset:1230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1230*FLEN/8, x10, x6, x7) + +inst_639:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1232*FLEN/8, x10, x6, x7) + +inst_640:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1234*FLEN/8, x10, x6, x7) + +inst_641:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x5ec2653d2538d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0xffb5ec2653d2538d; +valaddr_reg:x9; val_offset:1236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1236*FLEN/8, x10, x6, x7) + +inst_642:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x5ec2653d2538d and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd762fc26b2b18 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb5ec2653d2538d; op2val:0x802d762fc26b2b18; +valaddr_reg:x9; val_offset:1238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1238*FLEN/8, x10, x6, x7) + +inst_643:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1240*FLEN/8, x10, x6, x7) + +inst_644:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1242*FLEN/8, x10, x6, x7) + +inst_645:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xaaae5b42b9d01 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0xffbaaae5b42b9d01; +valaddr_reg:x9; val_offset:1244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1244*FLEN/8, x10, x6, x7) + +inst_646:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xaaae5b42b9d01 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd762fc26b2b18 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbaaae5b42b9d01; op2val:0x802d762fc26b2b18; +valaddr_reg:x9; val_offset:1246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1246*FLEN/8, x10, x6, x7) + +inst_647:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1248*FLEN/8, x10, x6, x7) + +inst_648:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1250*FLEN/8, x10, x6, x7) + +inst_649:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x096d7fec4b410 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x06a2f722afb11 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800096d7fec4b410; op2val:0xffb06a2f722afb11; +valaddr_reg:x9; val_offset:1252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1252*FLEN/8, x10, x6, x7) + +inst_650:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x06a2f722afb11 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x096d7fec4b410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb06a2f722afb11; op2val:0x800096d7fec4b410; +valaddr_reg:x9; val_offset:1254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1254*FLEN/8, x10, x6, x7) + +inst_651:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x096d7fec4b410 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800096d7fec4b410; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1256*FLEN/8, x10, x6, x7) + +inst_652:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x096d7fec4b410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x800096d7fec4b410; +valaddr_reg:x9; val_offset:1258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1258*FLEN/8, x10, x6, x7) + +inst_653:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1260*FLEN/8, x10, x6, x7) + +inst_654:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x72fc4fe0e8a0c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0xffb72fc4fe0e8a0c; +valaddr_reg:x9; val_offset:1262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1262*FLEN/8, x10, x6, x7) + +inst_655:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x72fc4fe0e8a0c and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd762fc26b2b18 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb72fc4fe0e8a0c; op2val:0x802d762fc26b2b18; +valaddr_reg:x9; val_offset:1264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1264*FLEN/8, x10, x6, x7) + +inst_656:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1266*FLEN/8, x10, x6, x7) + +inst_657:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x0; +valaddr_reg:x9; val_offset:1268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1268*FLEN/8, x10, x6, x7) + +inst_658:// fs1 == 1 and fe1 == 0x402 and fm1 == 0x0637b0487519a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc020637b0487519a; op2val:0x0; +valaddr_reg:x9; val_offset:1270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1270*FLEN/8, x10, x6, x7) + +inst_659:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x402 and fm2 == 0x0637b0487519a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xc020637b0487519a; +valaddr_reg:x9; val_offset:1272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1272*FLEN/8, x10, x6, x7) + +inst_660:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x402 and fm2 == 0x0637b0487519a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0xc020637b0487519a; +valaddr_reg:x9; val_offset:1274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1274*FLEN/8, x10, x6, x7) + +inst_661:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1276*FLEN/8, x10, x6, x7) + +inst_662:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x6660e5465cd6d and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe6660e5465cd6d; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1278*FLEN/8, x10, x6, x7) + +inst_663:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x6660e5465cd6d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x7fe6660e5465cd6d; +valaddr_reg:x9; val_offset:1280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1280*FLEN/8, x10, x6, x7) + +inst_664:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1282*FLEN/8, x10, x6, x7) + +inst_665:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1284*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_5) + +inst_666:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x6660e5465cd6d and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe6660e5465cd6d; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1286*FLEN/8, x10, x6, x7) + +inst_667:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1288*FLEN/8, x10, x6, x7) + +inst_668:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x6660e5465cd6d and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe6660e5465cd6d; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1290*FLEN/8, x10, x6, x7) + +inst_669:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1292*FLEN/8, x10, x6, x7) + +inst_670:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x1eb3ea9eb0abd and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb1eb3ea9eb0abd; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1294*FLEN/8, x10, x6, x7) + +inst_671:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x1eb3ea9eb0abd and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x7fb1eb3ea9eb0abd; +valaddr_reg:x9; val_offset:1296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1296*FLEN/8, x10, x6, x7) + +inst_672:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1298*FLEN/8, x10, x6, x7) + +inst_673:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x6660e5465cd6d and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe6660e5465cd6d; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1300*FLEN/8, x10, x6, x7) + +inst_674:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1302*FLEN/8, x10, x6, x7) + +inst_675:// fs1 == 0 and fe1 == 0x7f7 and fm1 == 0xcab977644ddfc and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f7cab977644ddfc; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1304*FLEN/8, x10, x6, x7) + +inst_676:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x7f7 and fm2 == 0xcab977644ddfc and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x7f7cab977644ddfc; +valaddr_reg:x9; val_offset:1306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1306*FLEN/8, x10, x6, x7) + +inst_677:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1308*FLEN/8, x10, x6, x7) + +inst_678:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x1eb3ea9eb0abd and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb1eb3ea9eb0abd; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1310*FLEN/8, x10, x6, x7) + +inst_679:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1312*FLEN/8, x10, x6, x7) + +inst_680:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x1eb3ea9eb0abd and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb1eb3ea9eb0abd; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1314*FLEN/8, x10, x6, x7) + +inst_681:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1316*FLEN/8, x10, x6, x7) + +inst_682:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x6660e5465cd6d and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe6660e5465cd6d; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1318*FLEN/8, x10, x6, x7) + +inst_683:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1320*FLEN/8, x10, x6, x7) + +inst_684:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x1eb3ea9eb0abd and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb1eb3ea9eb0abd; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1322*FLEN/8, x10, x6, x7) + +inst_685:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1324*FLEN/8, x10, x6, x7) + +inst_686:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1125252921dc7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0x1125252921dc7; +valaddr_reg:x9; val_offset:1326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1326*FLEN/8, x10, x6, x7) + +inst_687:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1125252921dc7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x90c5811d4ea06 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1125252921dc7; op2val:0x90c5811d4ea06; +valaddr_reg:x9; val_offset:1328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1328*FLEN/8, x10, x6, x7) + +inst_688:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1330*FLEN/8, x10, x6, x7) + +inst_689:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x90c5811d4ea06 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x90c5811d4ea06; +valaddr_reg:x9; val_offset:1332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1332*FLEN/8, x10, x6, x7) + +inst_690:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1334*FLEN/8, x10, x6, x7) + +inst_691:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0ee0fa88947a1 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0xee0fa88947a1; +valaddr_reg:x9; val_offset:1336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1336*FLEN/8, x10, x6, x7) + +inst_692:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0ee0fa88947a1 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x90c5811d4ea06 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xee0fa88947a1; op2val:0x90c5811d4ea06; +valaddr_reg:x9; val_offset:1338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1338*FLEN/8, x10, x6, x7) + +inst_693:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1340*FLEN/8, x10, x6, x7) + +inst_694:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1342*FLEN/8, x10, x6, x7) + +inst_695:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x128254fc5a4fe and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0x128254fc5a4fe; +valaddr_reg:x9; val_offset:1344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1344*FLEN/8, x10, x6, x7) + +inst_696:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x128254fc5a4fe and fs2 == 0 and fe2 == 0x000 and fm2 == 0x90c5811d4ea06 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x128254fc5a4fe; op2val:0x90c5811d4ea06; +valaddr_reg:x9; val_offset:1346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1346*FLEN/8, x10, x6, x7) + +inst_697:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1348*FLEN/8, x10, x6, x7) + +inst_698:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1350*FLEN/8, x10, x6, x7) + +inst_699:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1352*FLEN/8, x10, x6, x7) + +inst_700:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1354*FLEN/8, x10, x6, x7) + +inst_701:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x176d62053e9f2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0x800176d62053e9f2; +valaddr_reg:x9; val_offset:1356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1356*FLEN/8, x10, x6, x7) + +inst_702:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x176d62053e9f2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x90c5811d4ea06 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800176d62053e9f2; op2val:0x90c5811d4ea06; +valaddr_reg:x9; val_offset:1358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1358*FLEN/8, x10, x6, x7) + +inst_703:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1360*FLEN/8, x10, x6, x7) + +inst_704:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1362*FLEN/8, x10, x6, x7) + +inst_705:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x11b63268cb80c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0x80011b63268cb80c; +valaddr_reg:x9; val_offset:1364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1364*FLEN/8, x10, x6, x7) + +inst_706:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x11b63268cb80c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x90c5811d4ea06 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80011b63268cb80c; op2val:0x90c5811d4ea06; +valaddr_reg:x9; val_offset:1366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1366*FLEN/8, x10, x6, x7) + +inst_707:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1368*FLEN/8, x10, x6, x7) + +inst_708:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1370*FLEN/8, x10, x6, x7) + +inst_709:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x158b9f3ccd07c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0x800158b9f3ccd07c; +valaddr_reg:x9; val_offset:1372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1372*FLEN/8, x10, x6, x7) + +inst_710:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x158b9f3ccd07c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x90c5811d4ea06 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800158b9f3ccd07c; op2val:0x90c5811d4ea06; +valaddr_reg:x9; val_offset:1374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1374*FLEN/8, x10, x6, x7) + +inst_711:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1376*FLEN/8, x10, x6, x7) + +inst_712:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1378*FLEN/8, x10, x6, x7) + +inst_713:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01729d737e39f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0d430d1d19325 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1729d737e39f; op2val:0x8000d430d1d19325; +valaddr_reg:x9; val_offset:1380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1380*FLEN/8, x10, x6, x7) + +inst_714:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d430d1d19325 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01729d737e39f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d430d1d19325; op2val:0x1729d737e39f; +valaddr_reg:x9; val_offset:1382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1382*FLEN/8, x10, x6, x7) + +inst_715:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01729d737e39f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1729d737e39f; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1384*FLEN/8, x10, x6, x7) + +inst_716:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01729d737e39f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x1729d737e39f; +valaddr_reg:x9; val_offset:1386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1386*FLEN/8, x10, x6, x7) + +inst_717:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1388*FLEN/8, x10, x6, x7) + +inst_718:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x12bba85050ee4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0x80012bba85050ee4; +valaddr_reg:x9; val_offset:1390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1390*FLEN/8, x10, x6, x7) + +inst_719:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x12bba85050ee4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x90c5811d4ea06 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80012bba85050ee4; op2val:0x90c5811d4ea06; +valaddr_reg:x9; val_offset:1392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1392*FLEN/8, x10, x6, x7) + +inst_720:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1394*FLEN/8, x10, x6, x7) + +inst_721:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x0; +valaddr_reg:x9; val_offset:1396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1396*FLEN/8, x10, x6, x7) + +inst_722:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x422095a1629ee and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff422095a1629ee; op2val:0x0; +valaddr_reg:x9; val_offset:1398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1398*FLEN/8, x10, x6, x7) + +inst_723:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x422095a1629ee and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ff422095a1629ee; +valaddr_reg:x9; val_offset:1400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1400*FLEN/8, x10, x6, x7) + +inst_724:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x422095a1629ee and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x3ff422095a1629ee; +valaddr_reg:x9; val_offset:1402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1402*FLEN/8, x10, x6, x7) + +inst_725:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1404*FLEN/8, x10, x6, x7) + +inst_726:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xa86c4594097a9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fea86c4594097a9; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1406*FLEN/8, x10, x6, x7) + +inst_727:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xa86c4594097a9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x7fea86c4594097a9; +valaddr_reg:x9; val_offset:1408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1408*FLEN/8, x10, x6, x7) + +inst_728:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1410*FLEN/8, x10, x6, x7) + +inst_729:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1412*FLEN/8, x10, x6, x7) + +inst_730:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xa86c4594097a9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fea86c4594097a9; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1414*FLEN/8, x10, x6, x7) + +inst_731:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1416*FLEN/8, x10, x6, x7) + +inst_732:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xa86c4594097a9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fea86c4594097a9; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1418*FLEN/8, x10, x6, x7) + +inst_733:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1420*FLEN/8, x10, x6, x7) + +inst_734:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5389d1433ac87 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5389d1433ac87; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1422*FLEN/8, x10, x6, x7) + +inst_735:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x5389d1433ac87 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x7fb5389d1433ac87; +valaddr_reg:x9; val_offset:1424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1424*FLEN/8, x10, x6, x7) + +inst_736:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1426*FLEN/8, x10, x6, x7) + +inst_737:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xa86c4594097a9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fea86c4594097a9; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1428*FLEN/8, x10, x6, x7) + +inst_738:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1430*FLEN/8, x10, x6, x7) + +inst_739:// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x0fa17435c8a06 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f80fa17435c8a06; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1432*FLEN/8, x10, x6, x7) + +inst_740:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x0fa17435c8a06 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x7f80fa17435c8a06; +valaddr_reg:x9; val_offset:1434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1434*FLEN/8, x10, x6, x7) + +inst_741:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1436*FLEN/8, x10, x6, x7) + +inst_742:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5389d1433ac87 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5389d1433ac87; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1438*FLEN/8, x10, x6, x7) + +inst_743:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1440*FLEN/8, x10, x6, x7) + +inst_744:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5389d1433ac87 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5389d1433ac87; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1442*FLEN/8, x10, x6, x7) + +inst_745:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1444*FLEN/8, x10, x6, x7) + +inst_746:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xa86c4594097a9 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fea86c4594097a9; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1446*FLEN/8, x10, x6, x7) + +inst_747:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1448*FLEN/8, x10, x6, x7) + +inst_748:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5389d1433ac87 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5389d1433ac87; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1450*FLEN/8, x10, x6, x7) + +inst_749:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1452*FLEN/8, x10, x6, x7) + +inst_750:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1125252921dc7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1125252921dc7; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1454*FLEN/8, x10, x6, x7) + +inst_751:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1125252921dc7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x1125252921dc7; +valaddr_reg:x9; val_offset:1456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1456*FLEN/8, x10, x6, x7) + +inst_752:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1458*FLEN/8, x10, x6, x7) + +inst_753:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1460*FLEN/8, x10, x6, x7) + +inst_754:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1462*FLEN/8, x10, x6, x7) + +inst_755:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1464*FLEN/8, x10, x6, x7) + +inst_756:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1466*FLEN/8, x10, x6, x7) + +inst_757:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1125252921dc7 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1cb4e9082a569 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1125252921dc7; op2val:0x31cb4e9082a569; +valaddr_reg:x9; val_offset:1468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1468*FLEN/8, x10, x6, x7) + +inst_758:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1125252921dc7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0x1125252921dc7; +valaddr_reg:x9; val_offset:1470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1470*FLEN/8, x10, x6, x7) + +inst_759:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1125252921dc7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1125252921dc7; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1472*FLEN/8, x10, x6, x7) + +inst_760:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1474*FLEN/8, x10, x6, x7) + +inst_761:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1476*FLEN/8, x10, x6, x7) + +inst_762:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1478*FLEN/8, x10, x6, x7) + +inst_763:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1480*FLEN/8, x10, x6, x7) + +inst_764:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1482*FLEN/8, x10, x6, x7) + +inst_765:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1484*FLEN/8, x10, x6, x7) + +inst_766:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1486*FLEN/8, x10, x6, x7) + +inst_767:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01b6ea1db6961 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x849e8322fbf77 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1b6ea1db6961; op2val:0x800849e8322fbf77; +valaddr_reg:x9; val_offset:1488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1488*FLEN/8, x10, x6, x7) + +inst_768:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01b6ea1db6961 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x1b6ea1db6961; +valaddr_reg:x9; val_offset:1490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1490*FLEN/8, x10, x6, x7) + +inst_769:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01b6ea1db6961 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1b6ea1db6961; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1492*FLEN/8, x10, x6, x7) + +inst_770:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01b6ea1db6961 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x1b6ea1db6961; +valaddr_reg:x9; val_offset:1494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1494*FLEN/8, x10, x6, x7) + +inst_771:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1496*FLEN/8, x10, x6, x7) + +inst_772:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1498*FLEN/8, x10, x6, x7) + +inst_773:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x0; +valaddr_reg:x9; val_offset:1500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1500*FLEN/8, x10, x6, x7) + +inst_774:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x7d7db8b6fc61f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff7d7db8b6fc61f; op2val:0x0; +valaddr_reg:x9; val_offset:1502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1502*FLEN/8, x10, x6, x7) + +inst_775:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x7d7db8b6fc61f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ff7d7db8b6fc61f; +valaddr_reg:x9; val_offset:1504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1504*FLEN/8, x10, x6, x7) + +inst_776:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x7d7db8b6fc61f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x3ff7d7db8b6fc61f; +valaddr_reg:x9; val_offset:1506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1506*FLEN/8, x10, x6, x7) + +inst_777:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1508*FLEN/8, x10, x6, x7) + +inst_778:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x705262580c704 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe705262580c704; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1510*FLEN/8, x10, x6, x7) + +inst_779:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x705262580c704 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x7fe705262580c704; +valaddr_reg:x9; val_offset:1512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1512*FLEN/8, x10, x6, x7) + +inst_780:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1514*FLEN/8, x10, x6, x7) + +inst_781:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1516*FLEN/8, x10, x6, x7) + +inst_782:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x705262580c704 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe705262580c704; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1518*FLEN/8, x10, x6, x7) + +inst_783:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1520*FLEN/8, x10, x6, x7) + +inst_784:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x705262580c704 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe705262580c704; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1522*FLEN/8, x10, x6, x7) + +inst_785:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1524*FLEN/8, x10, x6, x7) + +inst_786:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x26a84eacd6c03 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb26a84eacd6c03; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1526*FLEN/8, x10, x6, x7) + +inst_787:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x26a84eacd6c03 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x7fb26a84eacd6c03; +valaddr_reg:x9; val_offset:1528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1528*FLEN/8, x10, x6, x7) + +inst_788:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1530*FLEN/8, x10, x6, x7) + +inst_789:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x705262580c704 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe705262580c704; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1532*FLEN/8, x10, x6, x7) + +inst_790:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1534*FLEN/8, x10, x6, x7) + +inst_791:// fs1 == 0 and fe1 == 0x7f7 and fm1 == 0xd773b1148acd1 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f7d773b1148acd1; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1536*FLEN/8, x10, x6, x7) + +inst_792:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x7f7 and fm2 == 0xd773b1148acd1 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x7f7d773b1148acd1; +valaddr_reg:x9; val_offset:1538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1538*FLEN/8, x10, x6, x7) + +inst_793:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1540*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_6) + +inst_794:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x26a84eacd6c03 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb26a84eacd6c03; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1542*FLEN/8, x10, x6, x7) + +inst_795:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1544*FLEN/8, x10, x6, x7) + +inst_796:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x26a84eacd6c03 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb26a84eacd6c03; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1546*FLEN/8, x10, x6, x7) + +inst_797:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1548*FLEN/8, x10, x6, x7) + +inst_798:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x705262580c704 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe705262580c704; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1550*FLEN/8, x10, x6, x7) + +inst_799:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1552*FLEN/8, x10, x6, x7) + +inst_800:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x26a84eacd6c03 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb26a84eacd6c03; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1554*FLEN/8, x10, x6, x7) + +inst_801:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1556*FLEN/8, x10, x6, x7) + +inst_802:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0ee0fa88947a1 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xee0fa88947a1; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1558*FLEN/8, x10, x6, x7) + +inst_803:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0ee0fa88947a1 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0xee0fa88947a1; +valaddr_reg:x9; val_offset:1560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1560*FLEN/8, x10, x6, x7) + +inst_804:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1562*FLEN/8, x10, x6, x7) + +inst_805:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1564*FLEN/8, x10, x6, x7) + +inst_806:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1566*FLEN/8, x10, x6, x7) + +inst_807:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0ee0fa88947a1 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1cb4e9082a569 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xee0fa88947a1; op2val:0x31cb4e9082a569; +valaddr_reg:x9; val_offset:1568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1568*FLEN/8, x10, x6, x7) + +inst_808:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0ee0fa88947a1 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0xee0fa88947a1; +valaddr_reg:x9; val_offset:1570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1570*FLEN/8, x10, x6, x7) + +inst_809:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0ee0fa88947a1 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xee0fa88947a1; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1572*FLEN/8, x10, x6, x7) + +inst_810:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1574*FLEN/8, x10, x6, x7) + +inst_811:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1576*FLEN/8, x10, x6, x7) + +inst_812:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1578*FLEN/8, x10, x6, x7) + +inst_813:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1580*FLEN/8, x10, x6, x7) + +inst_814:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1582*FLEN/8, x10, x6, x7) + +inst_815:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1584*FLEN/8, x10, x6, x7) + +inst_816:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1586*FLEN/8, x10, x6, x7) + +inst_817:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x017ce5da753f7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x849e8322fbf77 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x17ce5da753f7; op2val:0x800849e8322fbf77; +valaddr_reg:x9; val_offset:1588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1588*FLEN/8, x10, x6, x7) + +inst_818:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x017ce5da753f7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x17ce5da753f7; +valaddr_reg:x9; val_offset:1590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1590*FLEN/8, x10, x6, x7) + +inst_819:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x017ce5da753f7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x17ce5da753f7; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1592*FLEN/8, x10, x6, x7) + +inst_820:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x017ce5da753f7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x17ce5da753f7; +valaddr_reg:x9; val_offset:1594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1594*FLEN/8, x10, x6, x7) + +inst_821:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1596*FLEN/8, x10, x6, x7) + +inst_822:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1598*FLEN/8, x10, x6, x7) + +inst_823:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x0; +valaddr_reg:x9; val_offset:1600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1600*FLEN/8, x10, x6, x7) + +inst_824:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x4b1096905e83e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff4b1096905e83e; op2val:0x0; +valaddr_reg:x9; val_offset:1602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1602*FLEN/8, x10, x6, x7) + +inst_825:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x4b1096905e83e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ff4b1096905e83e; +valaddr_reg:x9; val_offset:1604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1604*FLEN/8, x10, x6, x7) + +inst_826:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x4b1096905e83e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x3ff4b1096905e83e; +valaddr_reg:x9; val_offset:1606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1606*FLEN/8, x10, x6, x7) + +inst_827:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1608*FLEN/8, x10, x6, x7) + +inst_828:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xca304f80cbc99 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feca304f80cbc99; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1610*FLEN/8, x10, x6, x7) + +inst_829:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xca304f80cbc99 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x7feca304f80cbc99; +valaddr_reg:x9; val_offset:1612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1612*FLEN/8, x10, x6, x7) + +inst_830:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1614*FLEN/8, x10, x6, x7) + +inst_831:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1616*FLEN/8, x10, x6, x7) + +inst_832:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xca304f80cbc99 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feca304f80cbc99; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1618*FLEN/8, x10, x6, x7) + +inst_833:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1620*FLEN/8, x10, x6, x7) + +inst_834:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xca304f80cbc99 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feca304f80cbc99; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1622*FLEN/8, x10, x6, x7) + +inst_835:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1624*FLEN/8, x10, x6, x7) + +inst_836:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x6e8d0c67096e1 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb6e8d0c67096e1; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1626*FLEN/8, x10, x6, x7) + +inst_837:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x6e8d0c67096e1 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x7fb6e8d0c67096e1; +valaddr_reg:x9; val_offset:1628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1628*FLEN/8, x10, x6, x7) + +inst_838:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1630*FLEN/8, x10, x6, x7) + +inst_839:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xca304f80cbc99 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feca304f80cbc99; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1632*FLEN/8, x10, x6, x7) + +inst_840:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1634*FLEN/8, x10, x6, x7) + +inst_841:// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x253da385a124e and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f8253da385a124e; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1636*FLEN/8, x10, x6, x7) + +inst_842:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x253da385a124e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x7f8253da385a124e; +valaddr_reg:x9; val_offset:1638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1638*FLEN/8, x10, x6, x7) + +inst_843:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1640*FLEN/8, x10, x6, x7) + +inst_844:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x6e8d0c67096e1 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb6e8d0c67096e1; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1642*FLEN/8, x10, x6, x7) + +inst_845:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1644*FLEN/8, x10, x6, x7) + +inst_846:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x6e8d0c67096e1 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb6e8d0c67096e1; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1646*FLEN/8, x10, x6, x7) + +inst_847:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1648*FLEN/8, x10, x6, x7) + +inst_848:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xca304f80cbc99 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feca304f80cbc99; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1650*FLEN/8, x10, x6, x7) + +inst_849:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1652*FLEN/8, x10, x6, x7) + +inst_850:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x6e8d0c67096e1 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb6e8d0c67096e1; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1654*FLEN/8, x10, x6, x7) + +inst_851:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1656*FLEN/8, x10, x6, x7) + +inst_852:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x128254fc5a4fe and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x128254fc5a4fe; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1658*FLEN/8, x10, x6, x7) + +inst_853:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x000 and fm2 == 0x128254fc5a4fe and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x128254fc5a4fe; +valaddr_reg:x9; val_offset:1660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1660*FLEN/8, x10, x6, x7) + +inst_854:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1662*FLEN/8, x10, x6, x7) + +inst_855:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x128254fc5a4fe and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1cb4e9082a569 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x128254fc5a4fe; op2val:0x31cb4e9082a569; +valaddr_reg:x9; val_offset:1664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1664*FLEN/8, x10, x6, x7) + +inst_856:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x128254fc5a4fe and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0x128254fc5a4fe; +valaddr_reg:x9; val_offset:1666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1666*FLEN/8, x10, x6, x7) + +inst_857:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x128254fc5a4fe and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x128254fc5a4fe; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1668*FLEN/8, x10, x6, x7) + +inst_858:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1670*FLEN/8, x10, x6, x7) + +inst_859:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1672*FLEN/8, x10, x6, x7) + +inst_860:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1674*FLEN/8, x10, x6, x7) + +inst_861:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1676*FLEN/8, x10, x6, x7) + +inst_862:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1678*FLEN/8, x10, x6, x7) + +inst_863:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1680*FLEN/8, x10, x6, x7) + +inst_864:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1682*FLEN/8, x10, x6, x7) + +inst_865:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01d9d54c6f6e6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x849e8322fbf77 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1d9d54c6f6e6; op2val:0x800849e8322fbf77; +valaddr_reg:x9; val_offset:1684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1684*FLEN/8, x10, x6, x7) + +inst_866:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01d9d54c6f6e6 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x1d9d54c6f6e6; +valaddr_reg:x9; val_offset:1686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1686*FLEN/8, x10, x6, x7) + +inst_867:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01d9d54c6f6e6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1d9d54c6f6e6; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1688*FLEN/8, x10, x6, x7) + +inst_868:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01d9d54c6f6e6 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x1d9d54c6f6e6; +valaddr_reg:x9; val_offset:1690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1690*FLEN/8, x10, x6, x7) + +inst_869:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1692*FLEN/8, x10, x6, x7) + +inst_870:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1694*FLEN/8, x10, x6, x7) + +inst_871:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x0; +valaddr_reg:x9; val_offset:1696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1696*FLEN/8, x10, x6, x7) + +inst_872:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x9bd762d8a6627 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff9bd762d8a6627; op2val:0x0; +valaddr_reg:x9; val_offset:1698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1698*FLEN/8, x10, x6, x7) + +inst_873:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x9bd762d8a6627 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ff9bd762d8a6627; +valaddr_reg:x9; val_offset:1700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1700*FLEN/8, x10, x6, x7) + +inst_874:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x9bd762d8a6627 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x3ff9bd762d8a6627; +valaddr_reg:x9; val_offset:1702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1702*FLEN/8, x10, x6, x7) + +inst_875:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1704*FLEN/8, x10, x6, x7) + +inst_876:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:1706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1706*FLEN/8, x10, x6, x7) + +inst_877:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1708*FLEN/8, x10, x6, x7) + +inst_878:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1710*FLEN/8, x10, x6, x7) + +inst_879:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1712*FLEN/8, x10, x6, x7) + +inst_880:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1714*FLEN/8, x10, x6, x7) + +inst_881:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x19e9f6802bcef and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe19e9f6802bcef; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1716*FLEN/8, x10, x6, x7) + +inst_882:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x19e9f6802bcef and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x7fe19e9f6802bcef; +valaddr_reg:x9; val_offset:1718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1718*FLEN/8, x10, x6, x7) + +inst_883:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1720*FLEN/8, x10, x6, x7) + +inst_884:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1722*FLEN/8, x10, x6, x7) + +inst_885:// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xc30ff0cd12e4b and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fac30ff0cd12e4b; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1724*FLEN/8, x10, x6, x7) + +inst_886:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x7fa and fm2 == 0xc30ff0cd12e4b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x7fac30ff0cd12e4b; +valaddr_reg:x9; val_offset:1726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1726*FLEN/8, x10, x6, x7) + +inst_887:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1728*FLEN/8, x10, x6, x7) + +inst_888:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x19e9f6802bcef and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe19e9f6802bcef; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1730*FLEN/8, x10, x6, x7) + +inst_889:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1732*FLEN/8, x10, x6, x7) + +inst_890:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x19e9f6802bcef and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe19e9f6802bcef; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1734*FLEN/8, x10, x6, x7) + +inst_891:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1736*FLEN/8, x10, x6, x7) + +inst_892:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1738*FLEN/8, x10, x6, x7) + +inst_893:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x19e9f6802bcef and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe19e9f6802bcef; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1740*FLEN/8, x10, x6, x7) + +inst_894:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1742*FLEN/8, x10, x6, x7) + +inst_895:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1744*FLEN/8, x10, x6, x7) + +inst_896:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1cb4e9082a569 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x31cb4e9082a569; +valaddr_reg:x9; val_offset:1746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1746*FLEN/8, x10, x6, x7) + +inst_897:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1748*FLEN/8, x10, x6, x7) + +inst_898:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1750*FLEN/8, x10, x6, x7) + +inst_899:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1752*FLEN/8, x10, x6, x7) + +inst_900:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1754*FLEN/8, x10, x6, x7) + +inst_901:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1756*FLEN/8, x10, x6, x7) + +inst_902:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x176d62053e9f2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0x800176d62053e9f2; +valaddr_reg:x9; val_offset:1758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1758*FLEN/8, x10, x6, x7) + +inst_903:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x176d62053e9f2 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1cb4e9082a569 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800176d62053e9f2; op2val:0x31cb4e9082a569; +valaddr_reg:x9; val_offset:1760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1760*FLEN/8, x10, x6, x7) + +inst_904:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1762*FLEN/8, x10, x6, x7) + +inst_905:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1764*FLEN/8, x10, x6, x7) + +inst_906:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x11b63268cb80c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0x80011b63268cb80c; +valaddr_reg:x9; val_offset:1766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1766*FLEN/8, x10, x6, x7) + +inst_907:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x11b63268cb80c and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1cb4e9082a569 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80011b63268cb80c; op2val:0x31cb4e9082a569; +valaddr_reg:x9; val_offset:1768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1768*FLEN/8, x10, x6, x7) + +inst_908:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1770*FLEN/8, x10, x6, x7) + +inst_909:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1772*FLEN/8, x10, x6, x7) + +inst_910:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x158b9f3ccd07c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0x800158b9f3ccd07c; +valaddr_reg:x9; val_offset:1774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1774*FLEN/8, x10, x6, x7) + +inst_911:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x158b9f3ccd07c and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1cb4e9082a569 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800158b9f3ccd07c; op2val:0x31cb4e9082a569; +valaddr_reg:x9; val_offset:1776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1776*FLEN/8, x10, x6, x7) + +inst_912:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1778*FLEN/8, x10, x6, x7) + +inst_913:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1780*FLEN/8, x10, x6, x7) + +inst_914:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0b63657b34e4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0d430d1d19325 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb63657b34e4c; op2val:0x8000d430d1d19325; +valaddr_reg:x9; val_offset:1782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1782*FLEN/8, x10, x6, x7) + +inst_915:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d430d1d19325 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0b63657b34e4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d430d1d19325; op2val:0xb63657b34e4c; +valaddr_reg:x9; val_offset:1784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1784*FLEN/8, x10, x6, x7) + +inst_916:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0b63657b34e4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb63657b34e4c; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1786*FLEN/8, x10, x6, x7) + +inst_917:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0b63657b34e4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0xb63657b34e4c; +valaddr_reg:x9; val_offset:1788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1788*FLEN/8, x10, x6, x7) + +inst_918:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1790*FLEN/8, x10, x6, x7) + +inst_919:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x12bba85050ee4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0x80012bba85050ee4; +valaddr_reg:x9; val_offset:1792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1792*FLEN/8, x10, x6, x7) + +inst_920:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x12bba85050ee4 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1cb4e9082a569 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80012bba85050ee4; op2val:0x31cb4e9082a569; +valaddr_reg:x9; val_offset:1794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1794*FLEN/8, x10, x6, x7) + +inst_921:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1796*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_7) + +inst_922:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x0; +valaddr_reg:x9; val_offset:1798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1798*FLEN/8, x10, x6, x7) + +inst_923:// fs1 == 0 and fe1 == 0x402 and fm1 == 0x3cbf277e6ba7f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x4023cbf277e6ba7f; op2val:0x0; +valaddr_reg:x9; val_offset:1800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1800*FLEN/8, x10, x6, x7) + +inst_924:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x402 and fm2 == 0x3cbf277e6ba7f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x4023cbf277e6ba7f; +valaddr_reg:x9; val_offset:1802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1802*FLEN/8, x10, x6, x7) + +inst_925:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x402 and fm2 == 0x3cbf277e6ba7f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x4023cbf277e6ba7f; +valaddr_reg:x9; val_offset:1804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1804*FLEN/8, x10, x6, x7) + +inst_926:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1806*FLEN/8, x10, x6, x7) + +inst_927:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1808*FLEN/8, x10, x6, x7) + +inst_928:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1810*FLEN/8, x10, x6, x7) + +inst_929:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1812*FLEN/8, x10, x6, x7) + +inst_930:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1814*FLEN/8, x10, x6, x7) + +inst_931:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1816*FLEN/8, x10, x6, x7) + +inst_932:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xcff30f3beb065 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbcff30f3beb065; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1818*FLEN/8, x10, x6, x7) + +inst_933:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xcff30f3beb065 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xffbcff30f3beb065; +valaddr_reg:x9; val_offset:1820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1820*FLEN/8, x10, x6, x7) + +inst_934:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1822*FLEN/8, x10, x6, x7) + +inst_935:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1824*FLEN/8, x10, x6, x7) + +inst_936:// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x7328d8fcbc051 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff87328d8fcbc051; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1826*FLEN/8, x10, x6, x7) + +inst_937:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x7328d8fcbc051 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xff87328d8fcbc051; +valaddr_reg:x9; val_offset:1828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1828*FLEN/8, x10, x6, x7) + +inst_938:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1830*FLEN/8, x10, x6, x7) + +inst_939:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xcff30f3beb065 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbcff30f3beb065; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1832*FLEN/8, x10, x6, x7) + +inst_940:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1834*FLEN/8, x10, x6, x7) + +inst_941:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xcff30f3beb065 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbcff30f3beb065; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1836*FLEN/8, x10, x6, x7) + +inst_942:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1838*FLEN/8, x10, x6, x7) + +inst_943:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1840*FLEN/8, x10, x6, x7) + +inst_944:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xcff30f3beb065 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbcff30f3beb065; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1842*FLEN/8, x10, x6, x7) + +inst_945:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1844*FLEN/8, x10, x6, x7) + +inst_946:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x176d62053e9f2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800176d62053e9f2; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1846*FLEN/8, x10, x6, x7) + +inst_947:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x176d62053e9f2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x800176d62053e9f2; +valaddr_reg:x9; val_offset:1848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1848*FLEN/8, x10, x6, x7) + +inst_948:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1850*FLEN/8, x10, x6, x7) + +inst_949:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x176d62053e9f2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800176d62053e9f2; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1852*FLEN/8, x10, x6, x7) + +inst_950:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1854*FLEN/8, x10, x6, x7) + +inst_951:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1856*FLEN/8, x10, x6, x7) + +inst_952:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1858*FLEN/8, x10, x6, x7) + +inst_953:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1860*FLEN/8, x10, x6, x7) + +inst_954:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1862*FLEN/8, x10, x6, x7) + +inst_955:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0257bd0086432 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x849e8322fbf77 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000257bd0086432; op2val:0x800849e8322fbf77; +valaddr_reg:x9; val_offset:1864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1864*FLEN/8, x10, x6, x7) + +inst_956:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0257bd0086432 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x8000257bd0086432; +valaddr_reg:x9; val_offset:1866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1866*FLEN/8, x10, x6, x7) + +inst_957:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0257bd0086432 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000257bd0086432; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1868*FLEN/8, x10, x6, x7) + +inst_958:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0257bd0086432 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x8000257bd0086432; +valaddr_reg:x9; val_offset:1870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1870*FLEN/8, x10, x6, x7) + +inst_959:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1872*FLEN/8, x10, x6, x7) + +inst_960:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1874*FLEN/8, x10, x6, x7) + +inst_961:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x0; +valaddr_reg:x9; val_offset:1876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1876*FLEN/8, x10, x6, x7) + +inst_962:// fs1 == 1 and fe1 == 0x400 and fm1 == 0x04a31976bdb6f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc0004a31976bdb6f; op2val:0x0; +valaddr_reg:x9; val_offset:1878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1878*FLEN/8, x10, x6, x7) + +inst_963:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x400 and fm2 == 0x04a31976bdb6f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xc0004a31976bdb6f; +valaddr_reg:x9; val_offset:1880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1880*FLEN/8, x10, x6, x7) + +inst_964:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x400 and fm2 == 0x04a31976bdb6f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xc0004a31976bdb6f; +valaddr_reg:x9; val_offset:1882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1882*FLEN/8, x10, x6, x7) + +inst_965:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1884*FLEN/8, x10, x6, x7) + +inst_966:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb672fe8c6e870 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffeb672fe8c6e870; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1886*FLEN/8, x10, x6, x7) + +inst_967:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xb672fe8c6e870 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xffeb672fe8c6e870; +valaddr_reg:x9; val_offset:1888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1888*FLEN/8, x10, x6, x7) + +inst_968:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1890*FLEN/8, x10, x6, x7) + +inst_969:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1892*FLEN/8, x10, x6, x7) + +inst_970:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb672fe8c6e870 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffeb672fe8c6e870; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1894*FLEN/8, x10, x6, x7) + +inst_971:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1896*FLEN/8, x10, x6, x7) + +inst_972:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb672fe8c6e870 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffeb672fe8c6e870; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1898*FLEN/8, x10, x6, x7) + +inst_973:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1900*FLEN/8, x10, x6, x7) + +inst_974:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x5ec2653d2538d and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb5ec2653d2538d; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1902*FLEN/8, x10, x6, x7) + +inst_975:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x5ec2653d2538d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xffb5ec2653d2538d; +valaddr_reg:x9; val_offset:1904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1904*FLEN/8, x10, x6, x7) + +inst_976:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1906*FLEN/8, x10, x6, x7) + +inst_977:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb672fe8c6e870 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffeb672fe8c6e870; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1908*FLEN/8, x10, x6, x7) + +inst_978:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1910*FLEN/8, x10, x6, x7) + +inst_979:// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x189b8430ea93d and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff8189b8430ea93d; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1912*FLEN/8, x10, x6, x7) + +inst_980:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x189b8430ea93d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xff8189b8430ea93d; +valaddr_reg:x9; val_offset:1914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1914*FLEN/8, x10, x6, x7) + +inst_981:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1916*FLEN/8, x10, x6, x7) + +inst_982:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x5ec2653d2538d and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb5ec2653d2538d; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1918*FLEN/8, x10, x6, x7) + +inst_983:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1920*FLEN/8, x10, x6, x7) + +inst_984:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x5ec2653d2538d and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb5ec2653d2538d; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1922*FLEN/8, x10, x6, x7) + +inst_985:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1924*FLEN/8, x10, x6, x7) + +inst_986:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb672fe8c6e870 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffeb672fe8c6e870; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1926*FLEN/8, x10, x6, x7) + +inst_987:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1928*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1928*FLEN/8, x10, x6, x7) + +inst_988:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x5ec2653d2538d and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb5ec2653d2538d; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1930*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1930*FLEN/8, x10, x6, x7) + +inst_989:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1932*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1932*FLEN/8, x10, x6, x7) + +inst_990:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x11b63268cb80c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80011b63268cb80c; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1934*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1934*FLEN/8, x10, x6, x7) + +inst_991:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x11b63268cb80c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x80011b63268cb80c; +valaddr_reg:x9; val_offset:1936*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1936*FLEN/8, x10, x6, x7) + +inst_992:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1938*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1938*FLEN/8, x10, x6, x7) + +inst_993:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x11b63268cb80c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80011b63268cb80c; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1940*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1940*FLEN/8, x10, x6, x7) + +inst_994:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1942*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1942*FLEN/8, x10, x6, x7) + +inst_995:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1944*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1944*FLEN/8, x10, x6, x7) + +inst_996:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1946*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1946*FLEN/8, x10, x6, x7) + +inst_997:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x01c56b70e1268 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x849e8322fbf77 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80001c56b70e1268; op2val:0x800849e8322fbf77; +valaddr_reg:x9; val_offset:1948*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1948*FLEN/8, x10, x6, x7) + +inst_998:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x01c56b70e1268 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x80001c56b70e1268; +valaddr_reg:x9; val_offset:1950*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1950*FLEN/8, x10, x6, x7) + +inst_999:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x01c56b70e1268 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80001c56b70e1268; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1952*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1952*FLEN/8, x10, x6, x7) + +inst_1000:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x01c56b70e1268 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x80001c56b70e1268; +valaddr_reg:x9; val_offset:1954*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1954*FLEN/8, x10, x6, x7) + +inst_1001:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1956*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1956*FLEN/8, x10, x6, x7) + +inst_1002:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1958*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1958*FLEN/8, x10, x6, x7) + +inst_1003:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x0; +valaddr_reg:x9; val_offset:1960*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1960*FLEN/8, x10, x6, x7) + +inst_1004:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x8a193aec8d637 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbff8a193aec8d637; op2val:0x0; +valaddr_reg:x9; val_offset:1962*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1962*FLEN/8, x10, x6, x7) + +inst_1005:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x8a193aec8d637 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xbff8a193aec8d637; +valaddr_reg:x9; val_offset:1964*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1964*FLEN/8, x10, x6, x7) + +inst_1006:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x8a193aec8d637 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xbff8a193aec8d637; +valaddr_reg:x9; val_offset:1966*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1966*FLEN/8, x10, x6, x7) + +inst_1007:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1968*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1968*FLEN/8, x10, x6, x7) + +inst_1008:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1970*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1970*FLEN/8, x10, x6, x7) + +inst_1009:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1972*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1972*FLEN/8, x10, x6, x7) + +inst_1010:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1974*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1974*FLEN/8, x10, x6, x7) + +inst_1011:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1976*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1976*FLEN/8, x10, x6, x7) + +inst_1012:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1978*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1978*FLEN/8, x10, x6, x7) + +inst_1013:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xaaae5b42b9d01 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbaaae5b42b9d01; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1980*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1980*FLEN/8, x10, x6, x7) + +inst_1014:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xaaae5b42b9d01 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xffbaaae5b42b9d01; +valaddr_reg:x9; val_offset:1982*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1982*FLEN/8, x10, x6, x7) + +inst_1015:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1984*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1984*FLEN/8, x10, x6, x7) + +inst_1016:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1986*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1986*FLEN/8, x10, x6, x7) + +inst_1017:// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x555849022e400 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff8555849022e400; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1988*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1988*FLEN/8, x10, x6, x7) + +inst_1018:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x555849022e400 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xff8555849022e400; +valaddr_reg:x9; val_offset:1990*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1990*FLEN/8, x10, x6, x7) + +inst_1019:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1992*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1992*FLEN/8, x10, x6, x7) + +inst_1020:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xaaae5b42b9d01 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbaaae5b42b9d01; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1994*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1994*FLEN/8, x10, x6, x7) + +inst_1021:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1996*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1996*FLEN/8, x10, x6, x7) + +inst_1022:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xaaae5b42b9d01 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbaaae5b42b9d01; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1998*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1998*FLEN/8, x10, x6, x7) + +inst_1023:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:2000*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2000*FLEN/8, x10, x6, x7) + +inst_1024:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:2002*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2002*FLEN/8, x10, x6, x7) + +inst_1025:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xaaae5b42b9d01 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbaaae5b42b9d01; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:2004*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2004*FLEN/8, x10, x6, x7) + +inst_1026:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:2006*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2006*FLEN/8, x10, x6, x7) + +inst_1027:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x158b9f3ccd07c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800158b9f3ccd07c; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:2008*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2008*FLEN/8, x10, x6, x7) + +inst_1028:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x000 and fm2 == 0x158b9f3ccd07c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x800158b9f3ccd07c; +valaddr_reg:x9; val_offset:2010*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2010*FLEN/8, x10, x6, x7) + +inst_1029:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:2012*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2012*FLEN/8, x10, x6, x7) + +inst_1030:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x158b9f3ccd07c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800158b9f3ccd07c; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:2014*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2014*FLEN/8, x10, x6, x7) + +inst_1031:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:2016*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2016*FLEN/8, x10, x6, x7) + +inst_1032:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x02278fec7ae73 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x849e8322fbf77 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80002278fec7ae73; op2val:0x800849e8322fbf77; +valaddr_reg:x9; val_offset:2018*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2018*FLEN/8, x10, x6, x7) + +inst_1033:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x02278fec7ae73 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x80002278fec7ae73; +valaddr_reg:x9; val_offset:2020*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2020*FLEN/8, x10, x6, x7) + +inst_1034:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x02278fec7ae73 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80002278fec7ae73; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:2022*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2022*FLEN/8, x10, x6, x7) + +inst_1035:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x000 and fm2 == 0x02278fec7ae73 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x80002278fec7ae73; +valaddr_reg:x9; val_offset:2024*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2024*FLEN/8, x10, x6, x7) + +inst_1036:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:2026*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2026*FLEN/8, x10, x6, x7) + +inst_1037:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:2028*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2028*FLEN/8, x10, x6, x7) + +inst_1038:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x0; +valaddr_reg:x9; val_offset:2030*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2030*FLEN/8, x10, x6, x7) + +inst_1039:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0xdf66a9ea7fbe7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbffdf66a9ea7fbe7; op2val:0x0; +valaddr_reg:x9; val_offset:2032*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2032*FLEN/8, x10, x6, x7) + +inst_1040:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xdf66a9ea7fbe7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xbffdf66a9ea7fbe7; +valaddr_reg:x9; val_offset:2034*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2034*FLEN/8, x10, x6, x7) + +inst_1041:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xdf66a9ea7fbe7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xbffdf66a9ea7fbe7; +valaddr_reg:x9; val_offset:2036*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2036*FLEN/8, x10, x6, x7) + +inst_1042:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:2038*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2038*FLEN/8, x10, x6, x7) + +inst_1043:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x484bb4eb5b9d5 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe484bb4eb5b9d5; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:2040*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2040*FLEN/8, x10, x6, x7) + +inst_1044:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x484bb4eb5b9d5 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xffe484bb4eb5b9d5; +valaddr_reg:x9; val_offset:2042*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2042*FLEN/8, x10, x6, x7) + +inst_1045:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:2044*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2044*FLEN/8, x10, x6, x7) + +inst_1046:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:2046*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2046*FLEN/8, x10, x6, x7) + +inst_1047:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x484bb4eb5b9d5 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe484bb4eb5b9d5; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:2048*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2048*FLEN/8, x10, x6, x7) + +inst_1048:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:2050*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2050*FLEN/8, x10, x6, x7) + +inst_1049:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x484bb4eb5b9d5 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe484bb4eb5b9d5; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:2052*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2052*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_8) + +inst_1050:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:2054*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2054*FLEN/8, x10, x6, x7) + +inst_1051:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x06a2f722afb11 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb06a2f722afb11; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:2056*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2056*FLEN/8, x10, x6, x7) + +inst_1052:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x06a2f722afb11 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xffb06a2f722afb11; +valaddr_reg:x9; val_offset:2058*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2058*FLEN/8, x10, x6, x7) + +inst_1053:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:2060*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2060*FLEN/8, x10, x6, x7) + +inst_1054:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x484bb4eb5b9d5 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe484bb4eb5b9d5; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:2062*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2062*FLEN/8, x10, x6, x7) + +inst_1055:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:2064*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2064*FLEN/8, x10, x6, x7) + +inst_1056:// fs1 == 1 and fe1 == 0x7f7 and fm1 == 0xa437f1d1191b5 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff7a437f1d1191b5; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:2066*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2066*FLEN/8, x10, x6, x7) + +inst_1057:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x7f7 and fm2 == 0xa437f1d1191b5 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xff7a437f1d1191b5; +valaddr_reg:x9; val_offset:2068*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2068*FLEN/8, x10, x6, x7) + +inst_1058:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:2070*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2070*FLEN/8, x10, x6, x7) + +inst_1059:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x06a2f722afb11 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb06a2f722afb11; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:2072*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2072*FLEN/8, x10, x6, x7) + +inst_1060:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:2074*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2074*FLEN/8, x10, x6, x7) + +inst_1061:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x06a2f722afb11 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb06a2f722afb11; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:2076*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2076*FLEN/8, x10, x6, x7) + +inst_1062:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:2078*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2078*FLEN/8, x10, x6, x7) + +inst_1063:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x484bb4eb5b9d5 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe484bb4eb5b9d5; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:2080*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2080*FLEN/8, x10, x6, x7) + +inst_1064:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:2082*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2082*FLEN/8, x10, x6, x7) + +inst_1065:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x06a2f722afb11 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb06a2f722afb11; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:2084*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2084*FLEN/8, x10, x6, x7) + +inst_1066:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:2086*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2086*FLEN/8, x10, x6, x7) + +inst_1067:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d430d1d19325 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d430d1d19325; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:2088*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2088*FLEN/8, x10, x6, x7) + +inst_1068:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0d430d1d19325 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x8000d430d1d19325; +valaddr_reg:x9; val_offset:2090*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2090*FLEN/8, x10, x6, x7) + +inst_1069:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:2092*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2092*FLEN/8, x10, x6, x7) + +inst_1070:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:2094*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2094*FLEN/8, x10, x6, x7) + +inst_1071:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x849e8322fbf77 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x800849e8322fbf77; +valaddr_reg:x9; val_offset:2096*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2096*FLEN/8, x10, x6, x7) + +inst_1072:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:2098*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2098*FLEN/8, x10, x6, x7) + +inst_1073:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:2100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2100*FLEN/8, x10, x6, x7) + +inst_1074:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:2102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2102*FLEN/8, x10, x6, x7) + +inst_1075:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:2104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2104*FLEN/8, x10, x6, x7) + +inst_1076:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:2106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2106*FLEN/8, x10, x6, x7) + +inst_1077:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d430d1d19325 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d430d1d19325; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:2108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2108*FLEN/8, x10, x6, x7) + +inst_1078:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:2110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2110*FLEN/8, x10, x6, x7) + +inst_1079:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:2112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2112*FLEN/8, x10, x6, x7) + +inst_1080:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:2114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2114*FLEN/8, x10, x6, x7) + +inst_1081:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:2116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2116*FLEN/8, x10, x6, x7) + +inst_1082:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:2118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2118*FLEN/8, x10, x6, x7) + +inst_1083:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:2120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2120*FLEN/8, x10, x6, x7) + +inst_1084:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:2122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2122*FLEN/8, x10, x6, x7) + +inst_1085:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x01df90d4d4e4a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x80001df90d4d4e4a; +valaddr_reg:x9; val_offset:2124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2124*FLEN/8, x10, x6, x7) + +inst_1086:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x01df90d4d4e4a and fs2 == 1 and fe2 == 0x000 and fm2 == 0x849e8322fbf77 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80001df90d4d4e4a; op2val:0x800849e8322fbf77; +valaddr_reg:x9; val_offset:2126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2126*FLEN/8, x10, x6, x7) + +inst_1087:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:2128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2128*FLEN/8, x10, x6, x7) + +inst_1088:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x0; +valaddr_reg:x9; val_offset:2130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2130*FLEN/8, x10, x6, x7) + +inst_1089:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x271665b532bfd and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbff271665b532bfd; op2val:0x0; +valaddr_reg:x9; val_offset:2132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2132*FLEN/8, x10, x6, x7) + +inst_1090:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x271665b532bfd and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xbff271665b532bfd; +valaddr_reg:x9; val_offset:2134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2134*FLEN/8, x10, x6, x7) + +inst_1091:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x271665b532bfd and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xbff271665b532bfd; +valaddr_reg:x9; val_offset:2136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2136*FLEN/8, x10, x6, x7) + +inst_1092:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:2138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2138*FLEN/8, x10, x6, x7) + +inst_1093:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xcfbb63d922c8f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffecfbb63d922c8f; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:2140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2140*FLEN/8, x10, x6, x7) + +inst_1094:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xcfbb63d922c8f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xffecfbb63d922c8f; +valaddr_reg:x9; val_offset:2142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2142*FLEN/8, x10, x6, x7) + +inst_1095:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:2144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2144*FLEN/8, x10, x6, x7) + +inst_1096:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:2146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2146*FLEN/8, x10, x6, x7) + +inst_1097:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xcfbb63d922c8f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffecfbb63d922c8f; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:2148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2148*FLEN/8, x10, x6, x7) + +inst_1098:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:2150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2150*FLEN/8, x10, x6, x7) + +inst_1099:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xcfbb63d922c8f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffecfbb63d922c8f; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:2152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2152*FLEN/8, x10, x6, x7) + +inst_1100:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:2154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2154*FLEN/8, x10, x6, x7) + +inst_1101:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x72fc4fe0e8a0c and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb72fc4fe0e8a0c; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:2156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2156*FLEN/8, x10, x6, x7) + +inst_1102:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x72fc4fe0e8a0c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xffb72fc4fe0e8a0c; +valaddr_reg:x9; val_offset:2158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2158*FLEN/8, x10, x6, x7) + +inst_1103:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:2160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2160*FLEN/8, x10, x6, x7) + +inst_1104:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xcfbb63d922c8f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffecfbb63d922c8f; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:2162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2162*FLEN/8, x10, x6, x7) + +inst_1105:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:2164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2164*FLEN/8, x10, x6, x7) + +inst_1106:// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x28c9d980ba1a3 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff828c9d980ba1a3; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:2166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2166*FLEN/8, x10, x6, x7) + +inst_1107:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x28c9d980ba1a3 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xff828c9d980ba1a3; +valaddr_reg:x9; val_offset:2168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2168*FLEN/8, x10, x6, x7) + +inst_1108:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:2170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2170*FLEN/8, x10, x6, x7) + +inst_1109:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x72fc4fe0e8a0c and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb72fc4fe0e8a0c; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:2172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2172*FLEN/8, x10, x6, x7) + +inst_1110:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:2174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2174*FLEN/8, x10, x6, x7) + +inst_1111:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x72fc4fe0e8a0c and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb72fc4fe0e8a0c; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:2176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2176*FLEN/8, x10, x6, x7) + +inst_1112:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:2178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2178*FLEN/8, x10, x6, x7) + +inst_1113:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xcfbb63d922c8f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffecfbb63d922c8f; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:2180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2180*FLEN/8, x10, x6, x7) + +inst_1114:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:2182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2182*FLEN/8, x10, x6, x7) + +inst_1115:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x72fc4fe0e8a0c and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb72fc4fe0e8a0c; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:2184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2184*FLEN/8, x10, x6, x7) + +inst_1116:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:2186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2186*FLEN/8, x10, x6, x7) + +inst_1117:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x12bba85050ee4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80012bba85050ee4; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:2188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2188*FLEN/8, x10, x6, x7) + +inst_1118:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x12bba85050ee4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x80012bba85050ee4; +valaddr_reg:x9; val_offset:2190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2190*FLEN/8, x10, x6, x7) + +inst_1119:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:2192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2192*FLEN/8, x10, x6, x7) + +inst_1120:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x12bba85050ee4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80012bba85050ee4; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:2194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2194*FLEN/8, x10, x6, x7) + +inst_1121:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:2196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2196*FLEN/8, x10, x6, x7) + +inst_1122:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x01df90d4d4e4a and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80001df90d4d4e4a; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:2198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2198*FLEN/8, x10, x6, x7) + +inst_1123:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x01df90d4d4e4a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x80001df90d4d4e4a; +valaddr_reg:x9; val_offset:2200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2200*FLEN/8, x10, x6, x7) + +inst_1124:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x0; +valaddr_reg:x9; val_offset:2202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2202*FLEN/8, x10, x6, x7) + +inst_1125:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0xa0d2ebbb9cec0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbffa0d2ebbb9cec0; op2val:0x0; +valaddr_reg:x9; val_offset:2204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2204*FLEN/8, x10, x6, x7) + +inst_1126:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xa0d2ebbb9cec0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xbffa0d2ebbb9cec0; +valaddr_reg:x9; val_offset:2206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2206*FLEN/8, x10, x6, x7) + +inst_1127:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xa0d2ebbb9cec0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xbffa0d2ebbb9cec0; +valaddr_reg:x9; val_offset:2208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2208*FLEN/8, x10, x6, x7) + +inst_1128:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:2210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2210*FLEN/8, x10, x6, x7) + +inst_1129:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x0; +valaddr_reg:x9; val_offset:2212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2212*FLEN/8, x10, x6, x7) + +inst_1130:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:2214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2214*FLEN/8, x10, x6, x7) + +inst_1131:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:2216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2216*FLEN/8, x10, x6, x7) + +inst_1132:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:2218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2218*FLEN/8, x10, x6, x7) + +inst_1133:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:2220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2220*FLEN/8, x10, x6, x7) + +inst_1134:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:2222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2222*FLEN/8, x10, x6, x7) + +inst_1135:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:2224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2224*FLEN/8, x10, x6, x7) + +inst_1136:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:2226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2226*FLEN/8, x10, x6, x7) + +inst_1137:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:2228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2228*FLEN/8, x10, x6, x7) + +inst_1138:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:2230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2230*FLEN/8, x10, x6, x7) + +inst_1139:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:2232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2232*FLEN/8, x10, x6, x7) + +inst_1140:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:2234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2234*FLEN/8, x10, x6, x7) + +inst_1141:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:2236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2236*FLEN/8, x10, x6, x7) + +inst_1142:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:2238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2238*FLEN/8, x10, x6, x7) + +inst_1143:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:2240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2240*FLEN/8, x10, x6, x7) + +inst_1144:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:2242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2242*FLEN/8, x10, x6, x7) + +inst_1145:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:2244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2244*FLEN/8, x10, x6, x7) + +inst_1146:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:2246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2246*FLEN/8, x10, x6, x7) + +inst_1147:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:2248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2248*FLEN/8, x10, x6, x7) + +inst_1148:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:2250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2250*FLEN/8, x10, x6, x7) + +inst_1149:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:2252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2252*FLEN/8, x10, x6, x7) + +inst_1150:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xa86c4594097a9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0x7fea86c4594097a9; +valaddr_reg:x9; val_offset:2254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2254*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9203239392035566986,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9203239392035566986,64,FLEN) +NAN_BOXED(9203239392035566986,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9203239392035566986,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9188350802564452668,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9188350802564452668,64,FLEN) +NAN_BOXED(9188350802564452668,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9188350802564452668,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9203239392035566986,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9203239392035566986,64,FLEN) +NAN_BOXED(9203239392035566986,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9203239392035566986,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +test_dataset_1: +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9203239392035566986,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(347859897107810,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(347859897107810,64,FLEN) +NAN_BOXED(347859897107810,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(347859897107810,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(347859897107810,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(347859897107810,64,FLEN) +NAN_BOXED(347859897107810,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(34785989710781,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(34785989710781,64,FLEN) +NAN_BOXED(34785989710781,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(34785989710781,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610418958807422836,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610418958807422836,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(4610418958807422836,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9202370842988492442,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9202370842988492442,64,FLEN) +NAN_BOXED(9202370842988492442,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9202370842988492442,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9187655963326793032,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9187655963326793032,64,FLEN) +NAN_BOXED(9187655963326793032,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9187655963326793032,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9202370842988492442,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9202370842988492442,64,FLEN) +NAN_BOXED(9202370842988492442,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9202370842988492442,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9202370842988492442,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(304002233314779,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(304002233314779,64,FLEN) +NAN_BOXED(304002233314779,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(304002233314779,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(304002233314779,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(304002233314779,64,FLEN) +NAN_BOXED(304002233314779,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(30400223331478,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(30400223331478,64,FLEN) +NAN_BOXED(30400223331478,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(30400223331478,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609443093395412139,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609443093395412139,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(4609443093395412139,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9200579415203204253,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9200579415203204253,64,FLEN) +NAN_BOXED(9200579415203204253,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9200579415203204253,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9185322101173088382,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9185322101173088382,64,FLEN) +NAN_BOXED(9185322101173088382,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9185322101173088382,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9200579415203204253,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9200579415203204253,64,FLEN) +NAN_BOXED(9200579415203204253,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9200579415203204253,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9200579415203204253,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(220477111881618,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(220477111881618,64,FLEN) +NAN_BOXED(220477111881618,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(220477111881618,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(220477111881618,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(220477111881618,64,FLEN) +NAN_BOXED(220477111881618,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(22047711188162,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(22047711188162,64,FLEN) +NAN_BOXED(22047711188162,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(22047711188162,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607584597753116477,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607584597753116477,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(4607584597753116477,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9203191554448712779,64,FLEN) +NAN_BOXED(9203191554448712779,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9198053443084096073,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(9198053443084096073,64,FLEN) +NAN_BOXED(9198053443084096073,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9198053443084096073,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18423959003897270630,64,FLEN) +NAN_BOXED(18423959003897270630,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(1567022241400704,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(1567022241400704,64,FLEN) +NAN_BOXED(1567022241400704,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(1567022241400704,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(1567022241400704,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(1567022241400704,64,FLEN) +NAN_BOXED(1567022241400704,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(156702224140070,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(156702224140070,64,FLEN) +NAN_BOXED(156702224140070,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(156702224140070,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4620402868989988073,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4620402868989988073,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(4620402868989988073,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9203191554448712779,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9203191554448712779,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9188312532494969302,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9188312532494969302,64,FLEN) +NAN_BOXED(9188312532494969302,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9188312532494969302,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9203191554448712779,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9203191554448712779,64,FLEN) +NAN_BOXED(9203191554448712779,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9203191554448712779,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9203191554448712779,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(345444322756553,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(345444322756553,64,FLEN) +NAN_BOXED(345444322756553,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(345444322756553,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(345444322756553,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(345444322756553,64,FLEN) +NAN_BOXED(345444322756553,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(34544432275655,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(34544432275655,64,FLEN) +NAN_BOXED(34544432275655,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(34544432275655,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610365210494000218,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610365210494000218,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(4610365210494000218,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(18418325423627199658,64,FLEN) +NAN_BOXED(18418325423627199658,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(18420885460528703256,64,FLEN) +NAN_BOXED(18420885460528703256,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18408700179499296645,64,FLEN) +NAN_BOXED(18408700179499296645,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(18421787977371859680,64,FLEN) +NAN_BOXED(18421787977371859680,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9225270370995823527,64,FLEN) +NAN_BOXED(9186406006941605372,64,FLEN) +NAN_BOXED(9186406006941605372,64,FLEN) +NAN_BOXED(9225270370995823527,64,FLEN) +NAN_BOXED(9225270370995823527,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9225270370995823527,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9187618217657141766,64,FLEN) +NAN_BOXED(9187618217657141766,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9186629910482431185,64,FLEN) +NAN_BOXED(9186629910482431185,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9187998386502636110,64,FLEN) +NAN_BOXED(9187998386502636110,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9225270370995823527,64,FLEN) +NAN_BOXED(9199782010800909899,64,FLEN) +NAN_BOXED(9199782010800909899,64,FLEN) +NAN_BOXED(9225270370995823527,64,FLEN) +NAN_BOXED(9225270370995823527,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(18412741185111834705,64,FLEN) +NAN_BOXED(18412741185111834705,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(18411148176159320381,64,FLEN) +NAN_BOXED(18411148176159320381,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(18412216679416390656,64,FLEN) +NAN_BOXED(18412216679416390656,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223561870268880580,64,FLEN) +NAN_BOXED(18409100640057921973,64,FLEN) +NAN_BOXED(18409100640057921973,64,FLEN) +NAN_BOXED(9223561870268880580,64,FLEN) +NAN_BOXED(9223561870268880580,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9223561870268880580,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(18411432835132662179,64,FLEN) +NAN_BOXED(18411432835132662179,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13844841571999480462,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13844841571999480462,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(13844841571999480462,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18418325423627199658,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18418325423627199658,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18423959003897270630,64,FLEN) +NAN_BOXED(18423959003897270630,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9224332728904596352,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(9224332728904596352,64,FLEN) +NAN_BOXED(9224332728904596352,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9224332728904596352,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9224332728904596352,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(9224332728904596352,64,FLEN) +NAN_BOXED(9224332728904596352,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223468106059757862,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(9223468106059757862,64,FLEN) +NAN_BOXED(9223468106059757862,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9223468106059757862,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13840402082197492186,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13840402082197492186,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(13840402082197492186,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18420885460528703256,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18420885460528703256,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9224802716826199596,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(9224802716826199596,64,FLEN) +NAN_BOXED(9224802716826199596,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9224802716826199596,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9224802716826199596,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(9224802716826199596,64,FLEN) +NAN_BOXED(9224802716826199596,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223515104851918187,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(9223515104851918187,64,FLEN) +NAN_BOXED(9223515104851918187,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9223515104851918187,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13843016476792925964,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13843016476792925964,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(13843016476792925964,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18423959003897270630,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18423959003897270630,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18408700179499296645,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18408700179499296645,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18423959003897270630,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18423959003897270630,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18423959003897270630,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9223592704632935710,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(9223592704632935710,64,FLEN) +NAN_BOXED(9223592704632935710,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9223592704632935710,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9223592704632935710,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223592704632935710,64,FLEN) +NAN_BOXED(9223592704632935710,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223394103632591798,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(9223394103632591798,64,FLEN) +NAN_BOXED(9223394103632591798,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9223394103632591798,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13830960877073407360,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13830960877073407360,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(13830960877073407360,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18421787977371859680,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18421787977371859680,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9225030581219166366,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(9225030581219166366,64,FLEN) +NAN_BOXED(9225030581219166366,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9225030581219166366,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9225030581219166366,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(9225030581219166366,64,FLEN) +NAN_BOXED(9225030581219166366,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223537891291214864,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(9223537891291214864,64,FLEN) +NAN_BOXED(9223537891291214864,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9223537891291214864,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13844174634545009050,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13844174634545009050,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(13844174634545009050,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9186406006941605372,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9186406006941605372,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(301619758636487,64,FLEN) +NAN_BOXED(301619758636487,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(261751019489185,64,FLEN) +NAN_BOXED(261751019489185,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(325615693964542,64,FLEN) +NAN_BOXED(325615693964542,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(9223784173868935666,64,FLEN) +NAN_BOXED(9223784173868935666,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(9223683624493955084,64,FLEN) +NAN_BOXED(9223683624493955084,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(9223751067513966716,64,FLEN) +NAN_BOXED(9223751067513966716,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(25468471862175,64,FLEN) +NAN_BOXED(9223605342998467365,64,FLEN) +NAN_BOXED(9223605342998467365,64,FLEN) +NAN_BOXED(25468471862175,64,FLEN) +NAN_BOXED(25468471862175,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(25468471862175,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(9223701591927099108,64,FLEN) +NAN_BOXED(9223701591927099108,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4608345742268312046,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4608345742268312046,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(4608345742268312046,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9187618217657141766,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9187618217657141766,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(301619758636487,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(301619758636487,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(301619758636487,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(301619758636487,64,FLEN) +NAN_BOXED(301619758636487,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(30161975863649,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(30161975863649,64,FLEN) +NAN_BOXED(30161975863649,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(30161975863649,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609390081574159903,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609390081574159903,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(4609390081574159903,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9186629910482431185,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9186629910482431185,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(261751019489185,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(261751019489185,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(261751019489185,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(261751019489185,64,FLEN) +NAN_BOXED(261751019489185,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(26175101948919,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(26175101948919,64,FLEN) +NAN_BOXED(26175101948919,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(26175101948919,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4608502972681676862,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4608502972681676862,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(4608502972681676862,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9187998386502636110,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9187998386502636110,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(325615693964542,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(325615693964542,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(325615693964542,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(325615693964542,64,FLEN) +NAN_BOXED(325615693964542,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(32561569396454,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(32561569396454,64,FLEN) +NAN_BOXED(32561569396454,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(32561569396454,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609924008858248743,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609924008858248743,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(4609924008858248743,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9199782010800909899,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9199782010800909899,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(9223784173868935666,64,FLEN) +NAN_BOXED(9223784173868935666,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(9223683624493955084,64,FLEN) +NAN_BOXED(9223683624493955084,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(9223751067513966716,64,FLEN) +NAN_BOXED(9223751067513966716,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(200344515857996,64,FLEN) +NAN_BOXED(9223605342998467365,64,FLEN) +NAN_BOXED(9223605342998467365,64,FLEN) +NAN_BOXED(200344515857996,64,FLEN) +NAN_BOXED(200344515857996,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(200344515857996,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(9223701591927099108,64,FLEN) +NAN_BOXED(9223701591927099108,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621761884866394751,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621761884866394751,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(4621761884866394751,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18412741185111834705,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18412741185111834705,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9223784173868935666,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9223784173868935666,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9223784173868935666,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223413250556191794,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9223413250556191794,64,FLEN) +NAN_BOXED(9223413250556191794,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9223413250556191794,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13835139632136444783,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13835139632136444783,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(13835139632136444783,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18411148176159320381,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18411148176159320381,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9223683624493955084,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9223683624493955084,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9223683624493955084,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223403195618693736,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9223403195618693736,64,FLEN) +NAN_BOXED(9223403195618693736,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9223403195618693736,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13832983911133140535,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13832983911133140535,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(13832983911133140535,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18412216679416390656,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18412216679416390656,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9223751067513966716,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9223751067513966716,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9223751067513966716,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223409939920694899,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9223409939920694899,64,FLEN) +NAN_BOXED(9223409939920694899,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9223409939920694899,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13834484568140807143,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13834484568140807143,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(13834484568140807143,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18409100640057921973,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18409100640057921973,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9223605342998467365,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223605342998467365,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9223605342998467365,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9223404992362008138,64,FLEN) +NAN_BOXED(9223404992362008138,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13831242090040994813,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13831242090040994813,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(13831242090040994813,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18411432835132662179,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18411432835132662179,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9223701591927099108,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9223701591927099108,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9223701591927099108,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223404992362008138,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9223404992362008138,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833383699791072960,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833383699791072960,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(13833383699791072960,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_5: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_6: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_7: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_8: + .fill 202*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fltq_b1-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fltq_b1-01.S new file mode 100644 index 000000000..34b5bddc3 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fltq_b1-01.S @@ -0,0 +1,368 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:43:02 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fltq.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fltq.s instruction of the RISC-V RV32F_Zicsr_Zfa,RV32FD_Zicsr_Zfa,RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fltq_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr_Zfa,RV32IFD_Zicsr_Zfa,RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fltq_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 != rs2, rs1==f31, rs2==f30, rd==x31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x0; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 == rs2, rs1==f29, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f29; op2:f29; dest:x30; op1val:0x0; op2val:0x0; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x30, f29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f30, rs2==f31, rd==x29,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f30; op2:f31; dest:x29; op1val:0x0; op2val:0x1; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x29, f30, f31, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f28; op2:f27; dest:x28; op1val:0x0; op2val:0x80000001; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f27; op2:f28; dest:x27; op1val:0x0; op2val:0x2; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f26; op2:f25; dest:x26; op1val:0x0; op2val:0x807ffffe; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f25; op2:f26; dest:x25; op1val:0x0; op2val:0x7fffff; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f24; op2:f23; dest:x24; op1val:0x0; op2val:0x807fffff; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f23; op2:f24; dest:x23; op1val:0x0; op2val:0x800000; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f22; op2:f21; dest:x22; op1val:0x0; op2val:0x80800000; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f21; op2:f22; dest:x21; op1val:0x0; op2val:0x800001; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f20; op2:f19; dest:x20; op1val:0x0; op2val:0x80855555; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f19; op2:f20; dest:x19; op1val:0x0; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f18; op2:f17; dest:x18; op1val:0x0; op2val:0xff7fffff; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f17; op2:f18; dest:x17; op1val:0x0; op2val:0x7f800000; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f16; op2:f15; dest:x16; op1val:0x0; op2val:0xff800000; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f15; op2:f16; dest:x15; op1val:0x0; op2val:0x7fc00000; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f14; op2:f13; dest:x14; op1val:0x0; op2val:0xffc00000; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f13; op2:f14; dest:x13; op1val:0x0; op2val:0x7fc00001; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f12; op2:f11; dest:x12; op1val:0x0; op2val:0xffc55555; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f11; op2:f12; dest:x11; op1val:0x0; op2val:0x7f800001; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f10; op2:f9; dest:x10; op1val:0x0; op2val:0xffaaaaaa; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f9; op2:f10; dest:x9; op1val:0x0; op2val:0x3f800000; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f8; op2:f7; dest:x8; op1val:0x0; op2val:0xbf800000; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f7; op2:f8; dest:x7; op1val:0x80000000; op2val:0x0; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f6; op2:f5; dest:x6; op1val:0x80000000; op2val:0x80000000; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f5; op2:f6; dest:x5; op1val:0x80000000; op2val:0x1; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f4; op2:f3; dest:x4; op1val:0x80000000; op2val:0x80000001; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f3; op2:f4; dest:x3; op1val:0x80000000; op2val:0x2; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f2; op2:f1; dest:x2; op1val:0x80000000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f1; op2:f2; dest:x1; op1val:0x80000000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f0; op2:f31; dest:x31; op1val:0x80000000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f31; op2:f0; dest:x31; op1val:0x80000000; op2val:0x800000; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x0; op1val:0x80000000; op2val:0x80800000; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +test_dataset_1: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 16*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fltq_b19-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fltq_b19-01.S new file mode 100644 index 000000000..ebcaac227 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fltq_b19-01.S @@ -0,0 +1,368 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:43:02 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fltq.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fltq.s instruction of the RISC-V RV32F_Zicsr_Zfa,RV32FD_Zicsr_Zfa,RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fltq_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr_Zfa,RV32IFD_Zicsr_Zfa,RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fltq_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 != rs2, rs1==f31, rs2==f30, rd==x31,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 == rs2, rs1==f29, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f29; op2:f29; dest:x30; op1val:0x7f378efe; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x30, f29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f30, rs2==f31, rd==x29,fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f30; op2:f31; dest:x29; op1val:0x7f206a70; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x29, f30, f31, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f28; op2:f27; dest:x28; op1val:0x7f378efe; op2val:0x7ee8aebb; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f27; op2:f28; dest:x27; op1val:0x7ee8aebb; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f26; op2:f25; dest:x26; op1val:0x7f378efe; op2val:0x7ea5608b; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f25; op2:f26; dest:x25; op1val:0x7ea5608b; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f24; op2:f23; dest:x24; op1val:0x7f378efe; op2val:0x7f3648af; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f23; op2:f24; dest:x23; op1val:0x7f3648af; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f22; op2:f21; dest:x22; op1val:0x7f378efe; op2val:0xfd204621; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0xfb and fm1 == 0x12d8cb and fs2 == 1 and fe2 == 0xfd and fm2 == 0x4857aa and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f21; op2:f22; dest:x21; op1val:0x7d92d8cb; op2val:0xfec857aa; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 0 and fe2 == 0xfb and fm2 == 0x12d8cb and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f20; op2:f19; dest:x20; op1val:0xfec857aa; op2val:0x7d92d8cb; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0xfb and fm1 == 0x12d8cb and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f19; op2:f20; dest:x19; op1val:0x7d92d8cb; op2val:0xfd204621; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfb and fm2 == 0x12d8cb and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f18; op2:f17; dest:x18; op1val:0x7f378efe; op2val:0x7d92d8cb; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f17; op2:f18; dest:x17; op1val:0x7f378efe; op2val:0xfe4ac669; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0xfb and fm1 == 0x12d8cb and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f16; op2:f15; dest:x16; op1val:0x7d92d8cb; op2val:0xff7fffff; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x12d8cb and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f15; op2:f16; dest:x15; op1val:0xff7fffff; op2val:0x7d92d8cb; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 0 and fe1 == 0xfb and fm1 == 0x12d8cb and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f14; op2:f13; dest:x14; op1val:0x7d92d8cb; op2val:0xfe4ac669; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f13; op2:f14; dest:x13; op1val:0x7f378efe; op2val:0xfe96fcf5; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f12; op2:f11; dest:x12; op1val:0xfe96fcf5; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f11; op2:f12; dest:x11; op1val:0x7f378efe; op2val:0xfee8e23e; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f10; op2:f9; dest:x10; op1val:0xfee8e23e; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f9; op2:f10; dest:x9; op1val:0x7f378efe; op2val:0xfeaf0937; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f8; op2:f7; dest:x8; op1val:0xfeaf0937; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f7; op2:f8; dest:x7; op1val:0x7f378efe; op2val:0x39e8a; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 0 and fe1 == 0x00 and fm1 == 0x02a825 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7a0dff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f6; op2:f5; dest:x6; op1val:0x2a825; op2val:0x7f7a0dff; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x02a825 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f5; op2:f6; dest:x5; op1val:0x7f7a0dff; op2val:0x2a825; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 0 and fe1 == 0x00 and fm1 == 0x02a825 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f4; op2:f3; dest:x4; op1val:0x2a825; op2val:0x39e8a; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x02a825 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f3; op2:f4; dest:x3; op1val:0x7f378efe; op2val:0x2a825; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f2; op2:f1; dest:x2; op1val:0x7f378efe; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f1; op2:f2; dest:x1; op1val:0x1a917b; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a917b and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f0; op2:f31; dest:x31; op1val:0x7f7fffff; op2val:0x1a917b; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f31; op2:f0; dest:x31; op1val:0x1a917b; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a917b and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x0; op1val:0x7f378efe; op2val:0x1a917b; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +test_dataset_1: +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(174117,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(174117,32,FLEN) +NAN_BOXED(174117,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(174117,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 16*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fmaxm.d_b1-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fmaxm.d_b1-01.S new file mode 100644 index 000000000..200d25cff --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fmaxm.d_b1-01.S @@ -0,0 +1,5889 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:34:37 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fmaxm.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fmaxm.d instruction of the RISC-V RV32FD_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fmaxm.d_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fmaxm.d_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 == rd != rs2, rs1==f31, rs2==f30, rd==f31,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f31; op2:f30; dest:f31; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f31, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rs2 == rd, rs1==f29, rs2==f29, rd==f29,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f29; op2:f29; dest:f29; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f29, f29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f28, rs2==f31, rd==f30,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f28; op2:f31; dest:f30; op1val:0x0; op2val:0x1; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f30, f28, f31, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs2 == rd != rs1, rs1==f30, rs2==f28, rd==f28,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f28; dest:f28; op1val:0x0; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f28, f30, f28, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs1 == rs2 != rd, rs1==f26, rs2==f26, rd==f27,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f26; op2:f26; dest:f27; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f27, f26, f26, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f27, rs2==f25, rd==f26,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f27; op2:f25; dest:f26; op1val:0x0; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f26, f27, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f24, rs2==f27, rd==f25,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f24; op2:f27; dest:f25; op1val:0x0; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f25, f24, f27, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f25, rs2==f23, rd==f24,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f25; op2:f23; dest:f24; op1val:0x0; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f24, f25, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f22, rs2==f24, rd==f23,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f22; op2:f24; dest:f23; op1val:0x0; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f23, f22, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f23, rs2==f21, rd==f22,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f23; op2:f21; dest:f22; op1val:0x0; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f22, f23, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f20, rs2==f22, rd==f21,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f20; op2:f22; dest:f21; op1val:0x0; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f21, f20, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f21, rs2==f19, rd==f20,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f21; op2:f19; dest:f20; op1val:0x0; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f20, f21, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f18, rs2==f20, rd==f19,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f18; op2:f20; dest:f19; op1val:0x0; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f19, f18, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f19, rs2==f17, rd==f18,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f19; op2:f17; dest:f18; op1val:0x0; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f18, f19, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f16, rs2==f18, rd==f17,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f16; op2:f18; dest:f17; op1val:0x0; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f17, f16, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f17, rs2==f15, rd==f16,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f17; op2:f15; dest:f16; op1val:0x0; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f16, f17, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f14, rs2==f16, rd==f15,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f14; op2:f16; dest:f15; op1val:0x0; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f15, f14, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f15, rs2==f13, rd==f14,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f15; op2:f13; dest:f14; op1val:0x0; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f14, f15, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f12, rs2==f14, rd==f13,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f12; op2:f14; dest:f13; op1val:0x0; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f13, f12, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f13, rs2==f11, rd==f12,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f13; op2:f11; dest:f12; op1val:0x0; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f12, f13, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f10, rs2==f12, rd==f11,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f10; op2:f12; dest:f11; op1val:0x0; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f11, f10, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f11, rs2==f9, rd==f10,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f11; op2:f9; dest:f10; op1val:0x0; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f10, f11, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f8, rs2==f10, rd==f9,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f8; op2:f10; dest:f9; op1val:0x0; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f9, f8, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f9, rs2==f7, rd==f8,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f9; op2:f7; dest:f8; op1val:0x0; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f8, f9, f7, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f6, rs2==f8, rd==f7,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f6; op2:f8; dest:f7; op1val:0x8000000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f7, f6, f8, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f7, rs2==f5, rd==f6,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f7; op2:f5; dest:f6; op1val:0x8000000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f6, f7, f5, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f4, rs2==f6, rd==f5,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f4; op2:f6; dest:f5; op1val:0x8000000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f5, f4, f6, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f5, rs2==f3, rd==f4,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f5; op2:f3; dest:f4; op1val:0x8000000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f4, f5, f3, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f2, rs2==f4, rd==f3,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f2; op2:f4; dest:f3; op1val:0x8000000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f3, f2, f4, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f3, rs2==f1, rd==f2,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f3; op2:f1; dest:f2; op1val:0x8000000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f2, f3, f1, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f0, rs2==f2, rd==f1,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f0; op2:f2; dest:f1; op1val:0x8000000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f1, f0, f2, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f1,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f1; op2:f30; dest:f31; op1val:0x8000000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f1, f30, 0, 0, x3, 62*FLEN/8, x4, x1, x2) + +inst_32: +// rs2==f0,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f0; dest:f31; op1val:0x8000000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:64*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f0, 0, 0, x3, 64*FLEN/8, x4, x1, x2) + +inst_33: +// rd==f0,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f31; op2:f30; dest:f0; op1val:0x8000000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:66*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f0, f31, f30, 0, 0, x3, 66*FLEN/8, x4, x1, x2) + +inst_34: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:68*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 68*FLEN/8, x4, x1, x2) + +inst_35: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:70*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 70*FLEN/8, x4, x1, x2) + +inst_36: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:72*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 72*FLEN/8, x4, x1, x2) + +inst_37: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:74*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 74*FLEN/8, x4, x1, x2) + +inst_38: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:76*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 76*FLEN/8, x4, x1, x2) + +inst_39: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:78*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 78*FLEN/8, x4, x1, x2) + +inst_40: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:80*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 80*FLEN/8, x4, x1, x2) + +inst_41: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:82*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 82*FLEN/8, x4, x1, x2) + +inst_42: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:84*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 84*FLEN/8, x4, x1, x2) + +inst_43: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:86*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 86*FLEN/8, x4, x1, x2) + +inst_44: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:88*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 88*FLEN/8, x4, x1, x2) + +inst_45: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:90*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 90*FLEN/8, x4, x1, x2) + +inst_46: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:92*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 92*FLEN/8, x4, x1, x2) + +inst_47: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:94*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 94*FLEN/8, x4, x1, x2) + +inst_48: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x0; + valaddr_reg:x3; val_offset:96*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 96*FLEN/8, x4, x1, x2) + +inst_49: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:98*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 98*FLEN/8, x4, x1, x2) + +inst_50: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x1; + valaddr_reg:x3; val_offset:100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 100*FLEN/8, x4, x1, x2) + +inst_51: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 102*FLEN/8, x4, x1, x2) + +inst_52: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x2; + valaddr_reg:x3; val_offset:104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 104*FLEN/8, x4, x1, x2) + +inst_53: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 106*FLEN/8, x4, x1, x2) + +inst_54: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 108*FLEN/8, x4, x1, x2) + +inst_55: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 110*FLEN/8, x4, x1, x2) + +inst_56: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 112*FLEN/8, x4, x1, x2) + +inst_57: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 114*FLEN/8, x4, x1, x2) + +inst_58: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 116*FLEN/8, x4, x1, x2) + +inst_59: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 118*FLEN/8, x4, x1, x2) + +inst_60: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 120*FLEN/8, x4, x1, x2) + +inst_61: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 122*FLEN/8, x4, x1, x2) + +inst_62: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 124*FLEN/8, x4, x1, x2) + +inst_63: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 126*FLEN/8, x4, x1, x2) + +inst_64: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 128*FLEN/8, x4, x1, x2) + +inst_65: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 130*FLEN/8, x4, x1, x2) + +inst_66: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 132*FLEN/8, x4, x1, x2) + +inst_67: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 134*FLEN/8, x4, x1, x2) + +inst_68: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 136*FLEN/8, x4, x1, x2) + +inst_69: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 138*FLEN/8, x4, x1, x2) + +inst_70: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 140*FLEN/8, x4, x1, x2) + +inst_71: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 142*FLEN/8, x4, x1, x2) + +inst_72: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x0; + valaddr_reg:x3; val_offset:144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 144*FLEN/8, x4, x1, x2) + +inst_73: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 146*FLEN/8, x4, x1, x2) + +inst_74: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x1; + valaddr_reg:x3; val_offset:148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 148*FLEN/8, x4, x1, x2) + +inst_75: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 150*FLEN/8, x4, x1, x2) + +inst_76: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x2; + valaddr_reg:x3; val_offset:152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 152*FLEN/8, x4, x1, x2) + +inst_77: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 154*FLEN/8, x4, x1, x2) + +inst_78: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 156*FLEN/8, x4, x1, x2) + +inst_79: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 158*FLEN/8, x4, x1, x2) + +inst_80: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 160*FLEN/8, x4, x1, x2) + +inst_81: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 162*FLEN/8, x4, x1, x2) + +inst_82: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 164*FLEN/8, x4, x1, x2) + +inst_83: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 166*FLEN/8, x4, x1, x2) + +inst_84: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 168*FLEN/8, x4, x1, x2) + +inst_85: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 170*FLEN/8, x4, x1, x2) + +inst_86: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 172*FLEN/8, x4, x1, x2) + +inst_87: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 174*FLEN/8, x4, x1, x2) + +inst_88: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 176*FLEN/8, x4, x1, x2) + +inst_89: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 178*FLEN/8, x4, x1, x2) + +inst_90: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 180*FLEN/8, x4, x1, x2) + +inst_91: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 182*FLEN/8, x4, x1, x2) + +inst_92: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 184*FLEN/8, x4, x1, x2) + +inst_93: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 186*FLEN/8, x4, x1, x2) + +inst_94: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 188*FLEN/8, x4, x1, x2) + +inst_95: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 190*FLEN/8, x4, x1, x2) + +inst_96: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x0; + valaddr_reg:x3; val_offset:192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 192*FLEN/8, x4, x1, x2) + +inst_97: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 194*FLEN/8, x4, x1, x2) + +inst_98: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x1; + valaddr_reg:x3; val_offset:196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 196*FLEN/8, x4, x1, x2) + +inst_99: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 198*FLEN/8, x4, x1, x2) + +inst_100: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x2; + valaddr_reg:x3; val_offset:200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 200*FLEN/8, x4, x1, x2) + +inst_101: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 202*FLEN/8, x4, x1, x2) + +inst_102: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 204*FLEN/8, x4, x1, x2) + +inst_103: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 206*FLEN/8, x4, x1, x2) + +inst_104: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 208*FLEN/8, x4, x1, x2) + +inst_105: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 210*FLEN/8, x4, x1, x2) + +inst_106: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 212*FLEN/8, x4, x1, x2) + +inst_107: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 214*FLEN/8, x4, x1, x2) + +inst_108: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 216*FLEN/8, x4, x1, x2) + +inst_109: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 218*FLEN/8, x4, x1, x2) + +inst_110: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 220*FLEN/8, x4, x1, x2) + +inst_111: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 222*FLEN/8, x4, x1, x2) + +inst_112: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 224*FLEN/8, x4, x1, x2) + +inst_113: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 226*FLEN/8, x4, x1, x2) + +inst_114: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 228*FLEN/8, x4, x1, x2) + +inst_115: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 230*FLEN/8, x4, x1, x2) + +inst_116: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 232*FLEN/8, x4, x1, x2) + +inst_117: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 234*FLEN/8, x4, x1, x2) + +inst_118: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 236*FLEN/8, x4, x1, x2) + +inst_119: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 238*FLEN/8, x4, x1, x2) + +inst_120: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x0; + valaddr_reg:x3; val_offset:240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 240*FLEN/8, x4, x1, x2) + +inst_121: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 242*FLEN/8, x4, x1, x2) + +inst_122: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x1; + valaddr_reg:x3; val_offset:244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 244*FLEN/8, x4, x1, x2) + +inst_123: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 246*FLEN/8, x4, x1, x2) + +inst_124: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x2; + valaddr_reg:x3; val_offset:248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 248*FLEN/8, x4, x1, x2) + +inst_125: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 250*FLEN/8, x4, x1, x2) + +inst_126: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 252*FLEN/8, x4, x1, x2) + +inst_127: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 254*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_2) + +inst_128: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 256*FLEN/8, x4, x1, x2) + +inst_129: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 258*FLEN/8, x4, x1, x2) + +inst_130: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 260*FLEN/8, x4, x1, x2) + +inst_131: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 262*FLEN/8, x4, x1, x2) + +inst_132: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 264*FLEN/8, x4, x1, x2) + +inst_133: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 266*FLEN/8, x4, x1, x2) + +inst_134: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 268*FLEN/8, x4, x1, x2) + +inst_135: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 270*FLEN/8, x4, x1, x2) + +inst_136: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 272*FLEN/8, x4, x1, x2) + +inst_137: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 274*FLEN/8, x4, x1, x2) + +inst_138: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 276*FLEN/8, x4, x1, x2) + +inst_139: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 278*FLEN/8, x4, x1, x2) + +inst_140: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 280*FLEN/8, x4, x1, x2) + +inst_141: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 282*FLEN/8, x4, x1, x2) + +inst_142: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 284*FLEN/8, x4, x1, x2) + +inst_143: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 286*FLEN/8, x4, x1, x2) + +inst_144: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x0; + valaddr_reg:x3; val_offset:288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 288*FLEN/8, x4, x1, x2) + +inst_145: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 290*FLEN/8, x4, x1, x2) + +inst_146: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x1; + valaddr_reg:x3; val_offset:292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 292*FLEN/8, x4, x1, x2) + +inst_147: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 294*FLEN/8, x4, x1, x2) + +inst_148: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x2; + valaddr_reg:x3; val_offset:296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 296*FLEN/8, x4, x1, x2) + +inst_149: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 298*FLEN/8, x4, x1, x2) + +inst_150: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 300*FLEN/8, x4, x1, x2) + +inst_151: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 302*FLEN/8, x4, x1, x2) + +inst_152: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 304*FLEN/8, x4, x1, x2) + +inst_153: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 306*FLEN/8, x4, x1, x2) + +inst_154: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 308*FLEN/8, x4, x1, x2) + +inst_155: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 310*FLEN/8, x4, x1, x2) + +inst_156: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 312*FLEN/8, x4, x1, x2) + +inst_157: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 314*FLEN/8, x4, x1, x2) + +inst_158: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 316*FLEN/8, x4, x1, x2) + +inst_159: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 318*FLEN/8, x4, x1, x2) + +inst_160: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 320*FLEN/8, x4, x1, x2) + +inst_161: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 322*FLEN/8, x4, x1, x2) + +inst_162: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 324*FLEN/8, x4, x1, x2) + +inst_163: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 326*FLEN/8, x4, x1, x2) + +inst_164: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 328*FLEN/8, x4, x1, x2) + +inst_165: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 330*FLEN/8, x4, x1, x2) + +inst_166: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 332*FLEN/8, x4, x1, x2) + +inst_167: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 334*FLEN/8, x4, x1, x2) + +inst_168: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x0; + valaddr_reg:x3; val_offset:336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 336*FLEN/8, x4, x1, x2) + +inst_169: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 338*FLEN/8, x4, x1, x2) + +inst_170: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x1; + valaddr_reg:x3; val_offset:340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 340*FLEN/8, x4, x1, x2) + +inst_171: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 342*FLEN/8, x4, x1, x2) + +inst_172: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x2; + valaddr_reg:x3; val_offset:344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 344*FLEN/8, x4, x1, x2) + +inst_173: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 346*FLEN/8, x4, x1, x2) + +inst_174: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 348*FLEN/8, x4, x1, x2) + +inst_175: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 350*FLEN/8, x4, x1, x2) + +inst_176: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 352*FLEN/8, x4, x1, x2) + +inst_177: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 354*FLEN/8, x4, x1, x2) + +inst_178: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 356*FLEN/8, x4, x1, x2) + +inst_179: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 358*FLEN/8, x4, x1, x2) + +inst_180: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 360*FLEN/8, x4, x1, x2) + +inst_181: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 362*FLEN/8, x4, x1, x2) + +inst_182: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 364*FLEN/8, x4, x1, x2) + +inst_183: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 366*FLEN/8, x4, x1, x2) + +inst_184: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 368*FLEN/8, x4, x1, x2) + +inst_185: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 370*FLEN/8, x4, x1, x2) + +inst_186: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 372*FLEN/8, x4, x1, x2) + +inst_187: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 374*FLEN/8, x4, x1, x2) + +inst_188: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 376*FLEN/8, x4, x1, x2) + +inst_189: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 378*FLEN/8, x4, x1, x2) + +inst_190: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 380*FLEN/8, x4, x1, x2) + +inst_191: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 382*FLEN/8, x4, x1, x2) + +inst_192: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 384*FLEN/8, x4, x1, x2) + +inst_193: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 386*FLEN/8, x4, x1, x2) + +inst_194: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 388*FLEN/8, x4, x1, x2) + +inst_195: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 390*FLEN/8, x4, x1, x2) + +inst_196: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 392*FLEN/8, x4, x1, x2) + +inst_197: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 394*FLEN/8, x4, x1, x2) + +inst_198: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 396*FLEN/8, x4, x1, x2) + +inst_199: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 398*FLEN/8, x4, x1, x2) + +inst_200: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 400*FLEN/8, x4, x1, x2) + +inst_201: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 402*FLEN/8, x4, x1, x2) + +inst_202: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 404*FLEN/8, x4, x1, x2) + +inst_203: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 406*FLEN/8, x4, x1, x2) + +inst_204: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 408*FLEN/8, x4, x1, x2) + +inst_205: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 410*FLEN/8, x4, x1, x2) + +inst_206: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 412*FLEN/8, x4, x1, x2) + +inst_207: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 414*FLEN/8, x4, x1, x2) + +inst_208: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 416*FLEN/8, x4, x1, x2) + +inst_209: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 418*FLEN/8, x4, x1, x2) + +inst_210: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 420*FLEN/8, x4, x1, x2) + +inst_211: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 422*FLEN/8, x4, x1, x2) + +inst_212: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 424*FLEN/8, x4, x1, x2) + +inst_213: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 426*FLEN/8, x4, x1, x2) + +inst_214: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 428*FLEN/8, x4, x1, x2) + +inst_215: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 430*FLEN/8, x4, x1, x2) + +inst_216: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 432*FLEN/8, x4, x1, x2) + +inst_217: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 434*FLEN/8, x4, x1, x2) + +inst_218: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 436*FLEN/8, x4, x1, x2) + +inst_219: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 438*FLEN/8, x4, x1, x2) + +inst_220: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 440*FLEN/8, x4, x1, x2) + +inst_221: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 442*FLEN/8, x4, x1, x2) + +inst_222: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 444*FLEN/8, x4, x1, x2) + +inst_223: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 446*FLEN/8, x4, x1, x2) + +inst_224: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 448*FLEN/8, x4, x1, x2) + +inst_225: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 450*FLEN/8, x4, x1, x2) + +inst_226: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 452*FLEN/8, x4, x1, x2) + +inst_227: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 454*FLEN/8, x4, x1, x2) + +inst_228: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 456*FLEN/8, x4, x1, x2) + +inst_229: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 458*FLEN/8, x4, x1, x2) + +inst_230: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 460*FLEN/8, x4, x1, x2) + +inst_231: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 462*FLEN/8, x4, x1, x2) + +inst_232: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 464*FLEN/8, x4, x1, x2) + +inst_233: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 466*FLEN/8, x4, x1, x2) + +inst_234: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 468*FLEN/8, x4, x1, x2) + +inst_235: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 470*FLEN/8, x4, x1, x2) + +inst_236: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 472*FLEN/8, x4, x1, x2) + +inst_237: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 474*FLEN/8, x4, x1, x2) + +inst_238: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 476*FLEN/8, x4, x1, x2) + +inst_239: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 478*FLEN/8, x4, x1, x2) + +inst_240: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x0; + valaddr_reg:x3; val_offset:480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 480*FLEN/8, x4, x1, x2) + +inst_241: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 482*FLEN/8, x4, x1, x2) + +inst_242: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x1; + valaddr_reg:x3; val_offset:484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 484*FLEN/8, x4, x1, x2) + +inst_243: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 486*FLEN/8, x4, x1, x2) + +inst_244: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x2; + valaddr_reg:x3; val_offset:488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 488*FLEN/8, x4, x1, x2) + +inst_245: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 490*FLEN/8, x4, x1, x2) + +inst_246: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 492*FLEN/8, x4, x1, x2) + +inst_247: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 494*FLEN/8, x4, x1, x2) + +inst_248: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 496*FLEN/8, x4, x1, x2) + +inst_249: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 498*FLEN/8, x4, x1, x2) + +inst_250: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 500*FLEN/8, x4, x1, x2) + +inst_251: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 502*FLEN/8, x4, x1, x2) + +inst_252: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 504*FLEN/8, x4, x1, x2) + +inst_253: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 506*FLEN/8, x4, x1, x2) + +inst_254: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 508*FLEN/8, x4, x1, x2) + +inst_255: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 510*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_3) + +inst_256: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 512*FLEN/8, x4, x1, x2) + +inst_257: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 514*FLEN/8, x4, x1, x2) + +inst_258: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 516*FLEN/8, x4, x1, x2) + +inst_259: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 518*FLEN/8, x4, x1, x2) + +inst_260: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 520*FLEN/8, x4, x1, x2) + +inst_261: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 522*FLEN/8, x4, x1, x2) + +inst_262: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 524*FLEN/8, x4, x1, x2) + +inst_263: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 526*FLEN/8, x4, x1, x2) + +inst_264: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x0; + valaddr_reg:x3; val_offset:528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 528*FLEN/8, x4, x1, x2) + +inst_265: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 530*FLEN/8, x4, x1, x2) + +inst_266: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x1; + valaddr_reg:x3; val_offset:532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 532*FLEN/8, x4, x1, x2) + +inst_267: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 534*FLEN/8, x4, x1, x2) + +inst_268: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x2; + valaddr_reg:x3; val_offset:536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 536*FLEN/8, x4, x1, x2) + +inst_269: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 538*FLEN/8, x4, x1, x2) + +inst_270: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 540*FLEN/8, x4, x1, x2) + +inst_271: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 542*FLEN/8, x4, x1, x2) + +inst_272: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 544*FLEN/8, x4, x1, x2) + +inst_273: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 546*FLEN/8, x4, x1, x2) + +inst_274: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 548*FLEN/8, x4, x1, x2) + +inst_275: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 550*FLEN/8, x4, x1, x2) + +inst_276: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 552*FLEN/8, x4, x1, x2) + +inst_277: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 554*FLEN/8, x4, x1, x2) + +inst_278: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 556*FLEN/8, x4, x1, x2) + +inst_279: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 558*FLEN/8, x4, x1, x2) + +inst_280: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 560*FLEN/8, x4, x1, x2) + +inst_281: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 562*FLEN/8, x4, x1, x2) + +inst_282: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 564*FLEN/8, x4, x1, x2) + +inst_283: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 566*FLEN/8, x4, x1, x2) + +inst_284: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 568*FLEN/8, x4, x1, x2) + +inst_285: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 570*FLEN/8, x4, x1, x2) + +inst_286: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 572*FLEN/8, x4, x1, x2) + +inst_287: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 574*FLEN/8, x4, x1, x2) + +inst_288: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x0; + valaddr_reg:x3; val_offset:576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 576*FLEN/8, x4, x1, x2) + +inst_289: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 578*FLEN/8, x4, x1, x2) + +inst_290: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x1; + valaddr_reg:x3; val_offset:580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 580*FLEN/8, x4, x1, x2) + +inst_291: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 582*FLEN/8, x4, x1, x2) + +inst_292: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x2; + valaddr_reg:x3; val_offset:584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 584*FLEN/8, x4, x1, x2) + +inst_293: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 586*FLEN/8, x4, x1, x2) + +inst_294: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 588*FLEN/8, x4, x1, x2) + +inst_295: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 590*FLEN/8, x4, x1, x2) + +inst_296: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 592*FLEN/8, x4, x1, x2) + +inst_297: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 594*FLEN/8, x4, x1, x2) + +inst_298: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 596*FLEN/8, x4, x1, x2) + +inst_299: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 598*FLEN/8, x4, x1, x2) + +inst_300: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 600*FLEN/8, x4, x1, x2) + +inst_301: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 602*FLEN/8, x4, x1, x2) + +inst_302: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 604*FLEN/8, x4, x1, x2) + +inst_303: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 606*FLEN/8, x4, x1, x2) + +inst_304: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 608*FLEN/8, x4, x1, x2) + +inst_305: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 610*FLEN/8, x4, x1, x2) + +inst_306: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 612*FLEN/8, x4, x1, x2) + +inst_307: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 614*FLEN/8, x4, x1, x2) + +inst_308: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 616*FLEN/8, x4, x1, x2) + +inst_309: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 618*FLEN/8, x4, x1, x2) + +inst_310: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 620*FLEN/8, x4, x1, x2) + +inst_311: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 622*FLEN/8, x4, x1, x2) + +inst_312: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x0; + valaddr_reg:x3; val_offset:624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 624*FLEN/8, x4, x1, x2) + +inst_313: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 626*FLEN/8, x4, x1, x2) + +inst_314: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x1; + valaddr_reg:x3; val_offset:628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 628*FLEN/8, x4, x1, x2) + +inst_315: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 630*FLEN/8, x4, x1, x2) + +inst_316: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x2; + valaddr_reg:x3; val_offset:632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 632*FLEN/8, x4, x1, x2) + +inst_317: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 634*FLEN/8, x4, x1, x2) + +inst_318: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 636*FLEN/8, x4, x1, x2) + +inst_319: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 638*FLEN/8, x4, x1, x2) + +inst_320: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 640*FLEN/8, x4, x1, x2) + +inst_321: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 642*FLEN/8, x4, x1, x2) + +inst_322: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 644*FLEN/8, x4, x1, x2) + +inst_323: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 646*FLEN/8, x4, x1, x2) + +inst_324: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 648*FLEN/8, x4, x1, x2) + +inst_325: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 650*FLEN/8, x4, x1, x2) + +inst_326: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 652*FLEN/8, x4, x1, x2) + +inst_327: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 654*FLEN/8, x4, x1, x2) + +inst_328: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 656*FLEN/8, x4, x1, x2) + +inst_329: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 658*FLEN/8, x4, x1, x2) + +inst_330: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 660*FLEN/8, x4, x1, x2) + +inst_331: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 662*FLEN/8, x4, x1, x2) + +inst_332: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 664*FLEN/8, x4, x1, x2) + +inst_333: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 666*FLEN/8, x4, x1, x2) + +inst_334: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 668*FLEN/8, x4, x1, x2) + +inst_335: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 670*FLEN/8, x4, x1, x2) + +inst_336: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 672*FLEN/8, x4, x1, x2) + +inst_337: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 674*FLEN/8, x4, x1, x2) + +inst_338: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 676*FLEN/8, x4, x1, x2) + +inst_339: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 678*FLEN/8, x4, x1, x2) + +inst_340: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 680*FLEN/8, x4, x1, x2) + +inst_341: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 682*FLEN/8, x4, x1, x2) + +inst_342: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 684*FLEN/8, x4, x1, x2) + +inst_343: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 686*FLEN/8, x4, x1, x2) + +inst_344: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 688*FLEN/8, x4, x1, x2) + +inst_345: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 690*FLEN/8, x4, x1, x2) + +inst_346: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 692*FLEN/8, x4, x1, x2) + +inst_347: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 694*FLEN/8, x4, x1, x2) + +inst_348: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 696*FLEN/8, x4, x1, x2) + +inst_349: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 698*FLEN/8, x4, x1, x2) + +inst_350: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 700*FLEN/8, x4, x1, x2) + +inst_351: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 702*FLEN/8, x4, x1, x2) + +inst_352: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 704*FLEN/8, x4, x1, x2) + +inst_353: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 706*FLEN/8, x4, x1, x2) + +inst_354: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 708*FLEN/8, x4, x1, x2) + +inst_355: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 710*FLEN/8, x4, x1, x2) + +inst_356: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 712*FLEN/8, x4, x1, x2) + +inst_357: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 714*FLEN/8, x4, x1, x2) + +inst_358: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 716*FLEN/8, x4, x1, x2) + +inst_359: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 718*FLEN/8, x4, x1, x2) + +inst_360: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 720*FLEN/8, x4, x1, x2) + +inst_361: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 722*FLEN/8, x4, x1, x2) + +inst_362: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 724*FLEN/8, x4, x1, x2) + +inst_363: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 726*FLEN/8, x4, x1, x2) + +inst_364: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 728*FLEN/8, x4, x1, x2) + +inst_365: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 730*FLEN/8, x4, x1, x2) + +inst_366: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 732*FLEN/8, x4, x1, x2) + +inst_367: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 734*FLEN/8, x4, x1, x2) + +inst_368: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 736*FLEN/8, x4, x1, x2) + +inst_369: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 738*FLEN/8, x4, x1, x2) + +inst_370: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 740*FLEN/8, x4, x1, x2) + +inst_371: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 742*FLEN/8, x4, x1, x2) + +inst_372: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 744*FLEN/8, x4, x1, x2) + +inst_373: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 746*FLEN/8, x4, x1, x2) + +inst_374: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 748*FLEN/8, x4, x1, x2) + +inst_375: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 750*FLEN/8, x4, x1, x2) + +inst_376: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 752*FLEN/8, x4, x1, x2) + +inst_377: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 754*FLEN/8, x4, x1, x2) + +inst_378: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 756*FLEN/8, x4, x1, x2) + +inst_379: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 758*FLEN/8, x4, x1, x2) + +inst_380: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 760*FLEN/8, x4, x1, x2) + +inst_381: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 762*FLEN/8, x4, x1, x2) + +inst_382: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 764*FLEN/8, x4, x1, x2) + +inst_383: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 766*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_4) + +inst_384: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 768*FLEN/8, x4, x1, x2) + +inst_385: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 770*FLEN/8, x4, x1, x2) + +inst_386: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 772*FLEN/8, x4, x1, x2) + +inst_387: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 774*FLEN/8, x4, x1, x2) + +inst_388: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 776*FLEN/8, x4, x1, x2) + +inst_389: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 778*FLEN/8, x4, x1, x2) + +inst_390: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 780*FLEN/8, x4, x1, x2) + +inst_391: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 782*FLEN/8, x4, x1, x2) + +inst_392: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 784*FLEN/8, x4, x1, x2) + +inst_393: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 786*FLEN/8, x4, x1, x2) + +inst_394: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 788*FLEN/8, x4, x1, x2) + +inst_395: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 790*FLEN/8, x4, x1, x2) + +inst_396: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 792*FLEN/8, x4, x1, x2) + +inst_397: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 794*FLEN/8, x4, x1, x2) + +inst_398: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 796*FLEN/8, x4, x1, x2) + +inst_399: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 798*FLEN/8, x4, x1, x2) + +inst_400: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 800*FLEN/8, x4, x1, x2) + +inst_401: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 802*FLEN/8, x4, x1, x2) + +inst_402: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 804*FLEN/8, x4, x1, x2) + +inst_403: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 806*FLEN/8, x4, x1, x2) + +inst_404: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 808*FLEN/8, x4, x1, x2) + +inst_405: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 810*FLEN/8, x4, x1, x2) + +inst_406: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 812*FLEN/8, x4, x1, x2) + +inst_407: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 814*FLEN/8, x4, x1, x2) + +inst_408: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 816*FLEN/8, x4, x1, x2) + +inst_409: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 818*FLEN/8, x4, x1, x2) + +inst_410: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 820*FLEN/8, x4, x1, x2) + +inst_411: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 822*FLEN/8, x4, x1, x2) + +inst_412: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 824*FLEN/8, x4, x1, x2) + +inst_413: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 826*FLEN/8, x4, x1, x2) + +inst_414: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 828*FLEN/8, x4, x1, x2) + +inst_415: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 830*FLEN/8, x4, x1, x2) + +inst_416: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 832*FLEN/8, x4, x1, x2) + +inst_417: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 834*FLEN/8, x4, x1, x2) + +inst_418: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 836*FLEN/8, x4, x1, x2) + +inst_419: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 838*FLEN/8, x4, x1, x2) + +inst_420: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 840*FLEN/8, x4, x1, x2) + +inst_421: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 842*FLEN/8, x4, x1, x2) + +inst_422: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 844*FLEN/8, x4, x1, x2) + +inst_423: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 846*FLEN/8, x4, x1, x2) + +inst_424: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 848*FLEN/8, x4, x1, x2) + +inst_425: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 850*FLEN/8, x4, x1, x2) + +inst_426: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 852*FLEN/8, x4, x1, x2) + +inst_427: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 854*FLEN/8, x4, x1, x2) + +inst_428: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 856*FLEN/8, x4, x1, x2) + +inst_429: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 858*FLEN/8, x4, x1, x2) + +inst_430: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 860*FLEN/8, x4, x1, x2) + +inst_431: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 862*FLEN/8, x4, x1, x2) + +inst_432: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x0; + valaddr_reg:x3; val_offset:864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 864*FLEN/8, x4, x1, x2) + +inst_433: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 866*FLEN/8, x4, x1, x2) + +inst_434: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x1; + valaddr_reg:x3; val_offset:868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 868*FLEN/8, x4, x1, x2) + +inst_435: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 870*FLEN/8, x4, x1, x2) + +inst_436: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x2; + valaddr_reg:x3; val_offset:872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 872*FLEN/8, x4, x1, x2) + +inst_437: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 874*FLEN/8, x4, x1, x2) + +inst_438: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 876*FLEN/8, x4, x1, x2) + +inst_439: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 878*FLEN/8, x4, x1, x2) + +inst_440: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 880*FLEN/8, x4, x1, x2) + +inst_441: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 882*FLEN/8, x4, x1, x2) + +inst_442: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 884*FLEN/8, x4, x1, x2) + +inst_443: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 886*FLEN/8, x4, x1, x2) + +inst_444: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 888*FLEN/8, x4, x1, x2) + +inst_445: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 890*FLEN/8, x4, x1, x2) + +inst_446: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 892*FLEN/8, x4, x1, x2) + +inst_447: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 894*FLEN/8, x4, x1, x2) + +inst_448: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 896*FLEN/8, x4, x1, x2) + +inst_449: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 898*FLEN/8, x4, x1, x2) + +inst_450: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 900*FLEN/8, x4, x1, x2) + +inst_451: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 902*FLEN/8, x4, x1, x2) + +inst_452: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 904*FLEN/8, x4, x1, x2) + +inst_453: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 906*FLEN/8, x4, x1, x2) + +inst_454: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 908*FLEN/8, x4, x1, x2) + +inst_455: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 910*FLEN/8, x4, x1, x2) + +inst_456: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x0; + valaddr_reg:x3; val_offset:912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 912*FLEN/8, x4, x1, x2) + +inst_457: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 914*FLEN/8, x4, x1, x2) + +inst_458: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x1; + valaddr_reg:x3; val_offset:916*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 916*FLEN/8, x4, x1, x2) + +inst_459: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:918*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 918*FLEN/8, x4, x1, x2) + +inst_460: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x2; + valaddr_reg:x3; val_offset:920*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 920*FLEN/8, x4, x1, x2) + +inst_461: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:922*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 922*FLEN/8, x4, x1, x2) + +inst_462: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:924*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 924*FLEN/8, x4, x1, x2) + +inst_463: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:926*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 926*FLEN/8, x4, x1, x2) + +inst_464: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:928*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 928*FLEN/8, x4, x1, x2) + +inst_465: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:930*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 930*FLEN/8, x4, x1, x2) + +inst_466: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:932*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 932*FLEN/8, x4, x1, x2) + +inst_467: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:934*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 934*FLEN/8, x4, x1, x2) + +inst_468: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:936*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 936*FLEN/8, x4, x1, x2) + +inst_469: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:938*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 938*FLEN/8, x4, x1, x2) + +inst_470: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:940*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 940*FLEN/8, x4, x1, x2) + +inst_471: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:942*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 942*FLEN/8, x4, x1, x2) + +inst_472: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:944*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 944*FLEN/8, x4, x1, x2) + +inst_473: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:946*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 946*FLEN/8, x4, x1, x2) + +inst_474: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:948*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 948*FLEN/8, x4, x1, x2) + +inst_475: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:950*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 950*FLEN/8, x4, x1, x2) + +inst_476: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:952*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 952*FLEN/8, x4, x1, x2) + +inst_477: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:954*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 954*FLEN/8, x4, x1, x2) + +inst_478: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:956*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 956*FLEN/8, x4, x1, x2) + +inst_479: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:958*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 958*FLEN/8, x4, x1, x2) + +inst_480: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x0; + valaddr_reg:x3; val_offset:960*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 960*FLEN/8, x4, x1, x2) + +inst_481: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:962*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 962*FLEN/8, x4, x1, x2) + +inst_482: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x1; + valaddr_reg:x3; val_offset:964*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 964*FLEN/8, x4, x1, x2) + +inst_483: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:966*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 966*FLEN/8, x4, x1, x2) + +inst_484: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x2; + valaddr_reg:x3; val_offset:968*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 968*FLEN/8, x4, x1, x2) + +inst_485: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:970*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 970*FLEN/8, x4, x1, x2) + +inst_486: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:972*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 972*FLEN/8, x4, x1, x2) + +inst_487: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:974*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 974*FLEN/8, x4, x1, x2) + +inst_488: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:976*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 976*FLEN/8, x4, x1, x2) + +inst_489: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:978*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 978*FLEN/8, x4, x1, x2) + +inst_490: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:980*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 980*FLEN/8, x4, x1, x2) + +inst_491: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:982*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 982*FLEN/8, x4, x1, x2) + +inst_492: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:984*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 984*FLEN/8, x4, x1, x2) + +inst_493: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:986*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 986*FLEN/8, x4, x1, x2) + +inst_494: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:988*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 988*FLEN/8, x4, x1, x2) + +inst_495: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:990*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 990*FLEN/8, x4, x1, x2) + +inst_496: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:992*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 992*FLEN/8, x4, x1, x2) + +inst_497: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:994*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 994*FLEN/8, x4, x1, x2) + +inst_498: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:996*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 996*FLEN/8, x4, x1, x2) + +inst_499: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:998*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 998*FLEN/8, x4, x1, x2) + +inst_500: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:1000*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1000*FLEN/8, x4, x1, x2) + +inst_501: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:1002*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1002*FLEN/8, x4, x1, x2) + +inst_502: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:1004*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1004*FLEN/8, x4, x1, x2) + +inst_503: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:1006*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1006*FLEN/8, x4, x1, x2) + +inst_504: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x0; + valaddr_reg:x3; val_offset:1008*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1008*FLEN/8, x4, x1, x2) + +inst_505: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:1010*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1010*FLEN/8, x4, x1, x2) + +inst_506: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x1; + valaddr_reg:x3; val_offset:1012*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1012*FLEN/8, x4, x1, x2) + +inst_507: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:1014*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1014*FLEN/8, x4, x1, x2) + +inst_508: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x2; + valaddr_reg:x3; val_offset:1016*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1016*FLEN/8, x4, x1, x2) + +inst_509: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:1018*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1018*FLEN/8, x4, x1, x2) + +inst_510: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:1020*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1020*FLEN/8, x4, x1, x2) + +inst_511: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:1022*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1022*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_5) + +inst_512: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:1024*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1024*FLEN/8, x4, x1, x2) + +inst_513: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:1026*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1026*FLEN/8, x4, x1, x2) + +inst_514: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:1028*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1028*FLEN/8, x4, x1, x2) + +inst_515: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:1030*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1030*FLEN/8, x4, x1, x2) + +inst_516: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:1032*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1032*FLEN/8, x4, x1, x2) + +inst_517: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:1034*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1034*FLEN/8, x4, x1, x2) + +inst_518: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1036*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1036*FLEN/8, x4, x1, x2) + +inst_519: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1038*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1038*FLEN/8, x4, x1, x2) + +inst_520: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:1040*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1040*FLEN/8, x4, x1, x2) + +inst_521: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:1042*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1042*FLEN/8, x4, x1, x2) + +inst_522: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:1044*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1044*FLEN/8, x4, x1, x2) + +inst_523: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:1046*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1046*FLEN/8, x4, x1, x2) + +inst_524: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:1048*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1048*FLEN/8, x4, x1, x2) + +inst_525: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:1050*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1050*FLEN/8, x4, x1, x2) + +inst_526: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:1052*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1052*FLEN/8, x4, x1, x2) + +inst_527: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:1054*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1054*FLEN/8, x4, x1, x2) + +inst_528: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:1056*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1056*FLEN/8, x4, x1, x2) + +inst_529: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:1058*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1058*FLEN/8, x4, x1, x2) + +inst_530: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:1060*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1060*FLEN/8, x4, x1, x2) + +inst_531: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:1062*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1062*FLEN/8, x4, x1, x2) + +inst_532: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:1064*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1064*FLEN/8, x4, x1, x2) + +inst_533: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:1066*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1066*FLEN/8, x4, x1, x2) + +inst_534: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:1068*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1068*FLEN/8, x4, x1, x2) + +inst_535: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:1070*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1070*FLEN/8, x4, x1, x2) + +inst_536: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:1072*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1072*FLEN/8, x4, x1, x2) + +inst_537: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:1074*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1074*FLEN/8, x4, x1, x2) + +inst_538: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:1076*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1076*FLEN/8, x4, x1, x2) + +inst_539: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:1078*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1078*FLEN/8, x4, x1, x2) + +inst_540: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:1080*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1080*FLEN/8, x4, x1, x2) + +inst_541: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:1082*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1082*FLEN/8, x4, x1, x2) + +inst_542: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1084*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1084*FLEN/8, x4, x1, x2) + +inst_543: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1086*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1086*FLEN/8, x4, x1, x2) + +inst_544: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:1088*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1088*FLEN/8, x4, x1, x2) + +inst_545: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:1090*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1090*FLEN/8, x4, x1, x2) + +inst_546: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:1092*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1092*FLEN/8, x4, x1, x2) + +inst_547: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:1094*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1094*FLEN/8, x4, x1, x2) + +inst_548: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:1096*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1096*FLEN/8, x4, x1, x2) + +inst_549: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:1098*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1098*FLEN/8, x4, x1, x2) + +inst_550: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:1100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1100*FLEN/8, x4, x1, x2) + +inst_551: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:1102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1102*FLEN/8, x4, x1, x2) + +inst_552: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:1104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1104*FLEN/8, x4, x1, x2) + +inst_553: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:1106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1106*FLEN/8, x4, x1, x2) + +inst_554: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:1108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1108*FLEN/8, x4, x1, x2) + +inst_555: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:1110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1110*FLEN/8, x4, x1, x2) + +inst_556: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:1112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1112*FLEN/8, x4, x1, x2) + +inst_557: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:1114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1114*FLEN/8, x4, x1, x2) + +inst_558: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:1116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1116*FLEN/8, x4, x1, x2) + +inst_559: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:1118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1118*FLEN/8, x4, x1, x2) + +inst_560: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:1120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1120*FLEN/8, x4, x1, x2) + +inst_561: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:1122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1122*FLEN/8, x4, x1, x2) + +inst_562: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:1124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1124*FLEN/8, x4, x1, x2) + +inst_563: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:1126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1126*FLEN/8, x4, x1, x2) + +inst_564: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:1128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1128*FLEN/8, x4, x1, x2) + +inst_565: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:1130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1130*FLEN/8, x4, x1, x2) + +inst_566: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1132*FLEN/8, x4, x1, x2) + +inst_567: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1134*FLEN/8, x4, x1, x2) + +inst_568: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:1136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1136*FLEN/8, x4, x1, x2) + +inst_569: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:1138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1138*FLEN/8, x4, x1, x2) + +inst_570: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:1140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1140*FLEN/8, x4, x1, x2) + +inst_571: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:1142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1142*FLEN/8, x4, x1, x2) + +inst_572: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:1144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1144*FLEN/8, x4, x1, x2) + +inst_573: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:1146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1146*FLEN/8, x4, x1, x2) + +inst_574: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:1148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1148*FLEN/8, x4, x1, x2) + +inst_575: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:1150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1150*FLEN/8, x4, x1, x2) + +inst_576: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:1152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1152*FLEN/8, x4, x1, x2) + +inst_577: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x2; + valaddr_reg:x3; val_offset:1154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1154*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_5: + .fill 132*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fmaxm.d_b19-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fmaxm.d_b19-01.S new file mode 100644 index 000000000..4676c94d5 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fmaxm.d_b19-01.S @@ -0,0 +1,11279 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:34:37 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fmaxm.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fmaxm.d instruction of the RISC-V RV32FD_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fmaxm.d_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fmaxm.d_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 == rd != rs2, rs1==f31, rs2==f30, rd==f31,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f31; op2:f30; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f31, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rs2 == rd, rs1==f29, rs2==f29, rd==f29,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f29; op2:f29; dest:f29; op1val:0x7fc132d8f91b7583; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f29, f29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f28, rs2==f31, rd==f30,fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f28; op2:f31; dest:f30; op1val:0x7fdfb5355e167379; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f30, f28, f31, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs2 == rd != rs1, rs1==f30, rs2==f28, rd==f28,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f28; dest:f28; op1val:0x7fc132d8f91b7583; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f28, f30, f28, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs1 == rs2 != rd, rs1==f26, rs2==f26, rd==f27,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f26; op2:f26; dest:f27; op1val:0x7fb8072e8f9c858f; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f27, f26, f26, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f27, rs2==f25, rd==f26,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f27; op2:f25; dest:f26; op1val:0x7fc132d8f91b7583; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f26, f27, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f24, rs2==f27, rd==f25,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x383adc274749d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f24; op2:f27; dest:f25; op1val:0x7ff0000000000000; op2val:0x7fb383adc274749d; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f25, f24, f27, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f25, rs2==f23, rd==f24,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x383adc274749d and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f25; op2:f23; dest:f24; op1val:0x7fb383adc274749d; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f24, f25, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f22, rs2==f24, rd==f23,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f22; op2:f24; dest:f23; op1val:0x7ff0000000000000; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f23, f22, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f23, rs2==f21, rd==f22,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f23; op2:f21; dest:f22; op1val:0x7fc132d8f91b7583; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f22, f23, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f20, rs2==f22, rd==f21,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f20; op2:f22; dest:f21; op1val:0x7fc132d8f91b7583; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f21, f20, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f21, rs2==f19, rd==f20,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f21; op2:f19; dest:f20; op1val:0x7fcd481499755d4b; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f20, f21, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f18, rs2==f20, rd==f19,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f18; op2:f20; dest:f19; op1val:0x7fc132d8f91b7583; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f19, f18, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f19, rs2==f17, rd==f18,fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f19; op2:f17; dest:f18; op1val:0xffc3874a9329ec20; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f18, f19, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f16, rs2==f18, rd==f17,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f16; op2:f18; dest:f17; op1val:0x7fc132d8f91b7583; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f17, f16, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f17, rs2==f15, rd==f16,fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f17; op2:f15; dest:f16; op1val:0xffe19dc4ea1c6bbe; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f16, f17, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f14, rs2==f16, rd==f15,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f14; op2:f16; dest:f15; op1val:0x7fc132d8f91b7583; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f15, f14, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f15, rs2==f13, rd==f14,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x8dfd26d2431d6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f15; op2:f13; dest:f14; op1val:0x7ff0000000000000; op2val:0xffb8dfd26d2431d6; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f14, f15, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f12, rs2==f14, rd==f13,fs1 == 1 and fe1 == 0x7fb and fm1 == 0x8dfd26d2431d6 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f12; op2:f14; dest:f13; op1val:0xffb8dfd26d2431d6; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f13, f12, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f13, rs2==f11, rd==f12,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f13; op2:f11; dest:f12; op1val:0x7ff0000000000000; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f12, f13, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f10, rs2==f12, rd==f11,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f10; op2:f12; dest:f11; op1val:0x7fc132d8f91b7583; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f11, f10, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f11, rs2==f9, rd==f10,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x98bcc3a92c611 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f11; op2:f9; dest:f10; op1val:0x7ff0000000000000; op2val:0xffb98bcc3a92c611; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f10, f11, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f8, rs2==f10, rd==f9,fs1 == 1 and fe1 == 0x7fb and fm1 == 0x98bcc3a92c611 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f8; op2:f10; dest:f9; op1val:0xffb98bcc3a92c611; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f9, f8, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f9, rs2==f7, rd==f8,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f9; op2:f7; dest:f8; op1val:0x7ff0000000000000; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f8, f9, f7, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f6, rs2==f8, rd==f7,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f6; op2:f8; dest:f7; op1val:0x7fc132d8f91b7583; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f7, f6, f8, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f7, rs2==f5, rd==f6,fs1 == 0 and fe1 == 0x7f8 and fm1 == 0xb848e5b5f226b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x1836cb3e931a8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f7; op2:f5; dest:f6; op1val:0x7f8b848e5b5f226b; op2val:0xffe1836cb3e931a8; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f6, f7, f5, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f4, rs2==f6, rd==f5,fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0xb848e5b5f226b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f4; op2:f6; dest:f5; op1val:0xffe1836cb3e931a8; op2val:0x7f8b848e5b5f226b; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f5, f4, f6, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f5, rs2==f3, rd==f4,fs1 == 0 and fe1 == 0x7f8 and fm1 == 0xb848e5b5f226b and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f5; op2:f3; dest:f4; op1val:0x7f8b848e5b5f226b; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f4, f5, f3, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f2, rs2==f4, rd==f3,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0xb848e5b5f226b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f2; op2:f4; dest:f3; op1val:0x7fc132d8f91b7583; op2val:0x7f8b848e5b5f226b; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f3, f2, f4, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f3, rs2==f1, rd==f2,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f3; op2:f1; dest:f2; op1val:0x7fc132d8f91b7583; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f2, f3, f1, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f0, rs2==f2, rd==f1,fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x833777722304f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f0; op2:f2; dest:f1; op1val:0x115e76ceed9d88; op2val:0x7fb833777722304f; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f1, f0, f2, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f1,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 0 and fe2 == 0x001 and fm2 == 0x15e76ceed9d88 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f1; op2:f30; dest:f31; op1val:0x7fb833777722304f; op2val:0x115e76ceed9d88; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f1, f30, 0, 0, x3, 62*FLEN/8, x4, x1, x2) + +inst_32: +// rs2==f0,fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f0; dest:f31; op1val:0x115e76ceed9d88; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:64*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f0, 0, 0, x3, 64*FLEN/8, x4, x1, x2) + +inst_33: +// rd==f0,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x15e76ceed9d88 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f31; op2:f30; dest:f0; op1val:0x7fc132d8f91b7583; op2val:0x115e76ceed9d88; + valaddr_reg:x3; val_offset:66*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f0, f31, f30, 0, 0, x3, 66*FLEN/8, x4, x1, x2) + +inst_34: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:68*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 68*FLEN/8, x4, x1, x2) + +inst_35: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x4a57d3f9bbb84 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0x7fb4a57d3f9bbb84; + valaddr_reg:x3; val_offset:70*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 70*FLEN/8, x4, x1, x2) + +inst_36: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x15e76ceed9d88 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0x115e76ceed9d88; + valaddr_reg:x3; val_offset:72*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 72*FLEN/8, x4, x1, x2) + +inst_37: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:74*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 74*FLEN/8, x4, x1, x2) + +inst_38: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:76*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 76*FLEN/8, x4, x1, x2) + +inst_39: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xa7b6d804df453 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0x7fba7b6d804df453; + valaddr_reg:x3; val_offset:78*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 78*FLEN/8, x4, x1, x2) + +inst_40: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x15e76ceed9d88 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0x115e76ceed9d88; + valaddr_reg:x3; val_offset:80*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 80*FLEN/8, x4, x1, x2) + +inst_41: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:82*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 82*FLEN/8, x4, x1, x2) + +inst_42: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:84*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 84*FLEN/8, x4, x1, x2) + +inst_43: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1bca57b17c2f4 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x1a406f11e5bc4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1bca57b17c2f4; op2val:0x7fe1a406f11e5bc4; + valaddr_reg:x3; val_offset:86*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 86*FLEN/8, x4, x1, x2) + +inst_44: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1bca57b17c2f4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0x1bca57b17c2f4; + valaddr_reg:x3; val_offset:88*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 88*FLEN/8, x4, x1, x2) + +inst_45: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1bca57b17c2f4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1bca57b17c2f4; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:90*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 90*FLEN/8, x4, x1, x2) + +inst_46: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1bca57b17c2f4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0x1bca57b17c2f4; + valaddr_reg:x3; val_offset:92*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 92*FLEN/8, x4, x1, x2) + +inst_47: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:94*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 94*FLEN/8, x4, x1, x2) + +inst_48: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xc900ea9c600e8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0x7fbc900ea9c600e8; + valaddr_reg:x3; val_offset:96*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 96*FLEN/8, x4, x1, x2) + +inst_49: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x15e76ceed9d88 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0x115e76ceed9d88; + valaddr_reg:x3; val_offset:98*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 98*FLEN/8, x4, x1, x2) + +inst_50: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 100*FLEN/8, x4, x1, x2) + +inst_51: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 102*FLEN/8, x4, x1, x2) + +inst_52: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x79a9d1edd4c29 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0xffb79a9d1edd4c29; + valaddr_reg:x3; val_offset:104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 104*FLEN/8, x4, x1, x2) + +inst_53: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x15e76ceed9d88 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0x115e76ceed9d88; + valaddr_reg:x3; val_offset:106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 106*FLEN/8, x4, x1, x2) + +inst_54: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 108*FLEN/8, x4, x1, x2) + +inst_55: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 110*FLEN/8, x4, x1, x2) + +inst_56: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1bca57b17c2f4 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0bc8069a0dddf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1bca57b17c2f4; op2val:0xffd0bc8069a0dddf; + valaddr_reg:x3; val_offset:112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 112*FLEN/8, x4, x1, x2) + +inst_57: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1bca57b17c2f4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0x1bca57b17c2f4; + valaddr_reg:x3; val_offset:114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 114*FLEN/8, x4, x1, x2) + +inst_58: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1bca57b17c2f4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1bca57b17c2f4; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 116*FLEN/8, x4, x1, x2) + +inst_59: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 118*FLEN/8, x4, x1, x2) + +inst_60: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x1f930d5b2a8f5 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0xffb1f930d5b2a8f5; + valaddr_reg:x3; val_offset:120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 120*FLEN/8, x4, x1, x2) + +inst_61: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x15e76ceed9d88 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0x115e76ceed9d88; + valaddr_reg:x3; val_offset:122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 122*FLEN/8, x4, x1, x2) + +inst_62: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 124*FLEN/8, x4, x1, x2) + +inst_63: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 126*FLEN/8, x4, x1, x2) + +inst_64: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1bca57b17c2f4 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x60eeb556ce9ce and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1bca57b17c2f4; op2val:0xffd60eeb556ce9ce; + valaddr_reg:x3; val_offset:128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 128*FLEN/8, x4, x1, x2) + +inst_65: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1bca57b17c2f4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0x1bca57b17c2f4; + valaddr_reg:x3; val_offset:130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 130*FLEN/8, x4, x1, x2) + +inst_66: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1bca57b17c2f4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1bca57b17c2f4; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 132*FLEN/8, x4, x1, x2) + +inst_67: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 134*FLEN/8, x4, x1, x2) + +inst_68: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xeb781eb40c69d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0xffbeb781eb40c69d; + valaddr_reg:x3; val_offset:136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 136*FLEN/8, x4, x1, x2) + +inst_69: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 0 and fe2 == 0x001 and fm2 == 0x15e76ceed9d88 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0x115e76ceed9d88; + valaddr_reg:x3; val_offset:138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 138*FLEN/8, x4, x1, x2) + +inst_70: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 140*FLEN/8, x4, x1, x2) + +inst_71: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0x0; + valaddr_reg:x3; val_offset:142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 142*FLEN/8, x4, x1, x2) + +inst_72: +// fs1 == 0 and fe1 == 0x400 and fm1 == 0x352db02b86485 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x400352db02b86485; op2val:0x0; + valaddr_reg:x3; val_offset:144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 144*FLEN/8, x4, x1, x2) + +inst_73: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x400 and fm2 == 0x352db02b86485 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x400352db02b86485; + valaddr_reg:x3; val_offset:146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 146*FLEN/8, x4, x1, x2) + +inst_74: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x400 and fm2 == 0x352db02b86485 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0x400352db02b86485; + valaddr_reg:x3; val_offset:148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 148*FLEN/8, x4, x1, x2) + +inst_75: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 150*FLEN/8, x4, x1, x2) + +inst_76: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 152*FLEN/8, x4, x1, x2) + +inst_77: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 154*FLEN/8, x4, x1, x2) + +inst_78: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 156*FLEN/8, x4, x1, x2) + +inst_79: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 158*FLEN/8, x4, x1, x2) + +inst_80: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 160*FLEN/8, x4, x1, x2) + +inst_81: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 162*FLEN/8, x4, x1, x2) + +inst_82: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 164*FLEN/8, x4, x1, x2) + +inst_83: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 166*FLEN/8, x4, x1, x2) + +inst_84: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 168*FLEN/8, x4, x1, x2) + +inst_85: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 170*FLEN/8, x4, x1, x2) + +inst_86: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 172*FLEN/8, x4, x1, x2) + +inst_87: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 174*FLEN/8, x4, x1, x2) + +inst_88: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 176*FLEN/8, x4, x1, x2) + +inst_89: +// fs1 == 0 and fe1 == 0x7fa and fm1 == 0x95dc44b45292d and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x1836cb3e931a8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fa95dc44b45292d; op2val:0xffe1836cb3e931a8; + valaddr_reg:x3; val_offset:178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 178*FLEN/8, x4, x1, x2) + +inst_90: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 0 and fe2 == 0x7fa and fm2 == 0x95dc44b45292d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe1836cb3e931a8; op2val:0x7fa95dc44b45292d; + valaddr_reg:x3; val_offset:180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 180*FLEN/8, x4, x1, x2) + +inst_91: +// fs1 == 0 and fe1 == 0x7fa and fm1 == 0x95dc44b45292d and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fa95dc44b45292d; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 182*FLEN/8, x4, x1, x2) + +inst_92: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x7fa and fm2 == 0x95dc44b45292d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x7fa95dc44b45292d; + valaddr_reg:x3; val_offset:184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 184*FLEN/8, x4, x1, x2) + +inst_93: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 186*FLEN/8, x4, x1, x2) + +inst_94: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x833777722304f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0x7fb833777722304f; + valaddr_reg:x3; val_offset:188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 188*FLEN/8, x4, x1, x2) + +inst_95: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 0 and fe2 == 0x003 and fm2 == 0x002cf80509326 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb833777722304f; op2val:0x3002cf80509326; + valaddr_reg:x3; val_offset:190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 190*FLEN/8, x4, x1, x2) + +inst_96: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 192*FLEN/8, x4, x1, x2) + +inst_97: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x002cf80509326 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x3002cf80509326; + valaddr_reg:x3; val_offset:194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 194*FLEN/8, x4, x1, x2) + +inst_98: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 196*FLEN/8, x4, x1, x2) + +inst_99: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x4a57d3f9bbb84 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0x7fb4a57d3f9bbb84; + valaddr_reg:x3; val_offset:198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 198*FLEN/8, x4, x1, x2) + +inst_100: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x002cf80509326 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0x3002cf80509326; + valaddr_reg:x3; val_offset:200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 200*FLEN/8, x4, x1, x2) + +inst_101: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 202*FLEN/8, x4, x1, x2) + +inst_102: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 204*FLEN/8, x4, x1, x2) + +inst_103: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xa7b6d804df453 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0x7fba7b6d804df453; + valaddr_reg:x3; val_offset:206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 206*FLEN/8, x4, x1, x2) + +inst_104: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x002cf80509326 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0x3002cf80509326; + valaddr_reg:x3; val_offset:208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 208*FLEN/8, x4, x1, x2) + +inst_105: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 210*FLEN/8, x4, x1, x2) + +inst_106: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 212*FLEN/8, x4, x1, x2) + +inst_107: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6678633536e0f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x1a406f11e5bc4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6678633536e0f; op2val:0x7fe1a406f11e5bc4; + valaddr_reg:x3; val_offset:214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 214*FLEN/8, x4, x1, x2) + +inst_108: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6678633536e0f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0x6678633536e0f; + valaddr_reg:x3; val_offset:216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 216*FLEN/8, x4, x1, x2) + +inst_109: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6678633536e0f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6678633536e0f; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 218*FLEN/8, x4, x1, x2) + +inst_110: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6678633536e0f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x6678633536e0f; + valaddr_reg:x3; val_offset:220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 220*FLEN/8, x4, x1, x2) + +inst_111: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 222*FLEN/8, x4, x1, x2) + +inst_112: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xc900ea9c600e8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0x7fbc900ea9c600e8; + valaddr_reg:x3; val_offset:224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 224*FLEN/8, x4, x1, x2) + +inst_113: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x002cf80509326 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0x3002cf80509326; + valaddr_reg:x3; val_offset:226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 226*FLEN/8, x4, x1, x2) + +inst_114: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 228*FLEN/8, x4, x1, x2) + +inst_115: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 230*FLEN/8, x4, x1, x2) + +inst_116: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x79a9d1edd4c29 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0xffb79a9d1edd4c29; + valaddr_reg:x3; val_offset:232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 232*FLEN/8, x4, x1, x2) + +inst_117: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x002cf80509326 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0x3002cf80509326; + valaddr_reg:x3; val_offset:234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 234*FLEN/8, x4, x1, x2) + +inst_118: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 236*FLEN/8, x4, x1, x2) + +inst_119: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 238*FLEN/8, x4, x1, x2) + +inst_120: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6678633536e0f and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0bc8069a0dddf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6678633536e0f; op2val:0xffd0bc8069a0dddf; + valaddr_reg:x3; val_offset:240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 240*FLEN/8, x4, x1, x2) + +inst_121: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6678633536e0f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0x6678633536e0f; + valaddr_reg:x3; val_offset:242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 242*FLEN/8, x4, x1, x2) + +inst_122: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6678633536e0f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6678633536e0f; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 244*FLEN/8, x4, x1, x2) + +inst_123: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 246*FLEN/8, x4, x1, x2) + +inst_124: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x1f930d5b2a8f5 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0xffb1f930d5b2a8f5; + valaddr_reg:x3; val_offset:248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 248*FLEN/8, x4, x1, x2) + +inst_125: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x002cf80509326 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0x3002cf80509326; + valaddr_reg:x3; val_offset:250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 250*FLEN/8, x4, x1, x2) + +inst_126: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 252*FLEN/8, x4, x1, x2) + +inst_127: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 254*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_2) + +inst_128: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6678633536e0f and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x60eeb556ce9ce and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6678633536e0f; op2val:0xffd60eeb556ce9ce; + valaddr_reg:x3; val_offset:256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 256*FLEN/8, x4, x1, x2) + +inst_129: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6678633536e0f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0x6678633536e0f; + valaddr_reg:x3; val_offset:258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 258*FLEN/8, x4, x1, x2) + +inst_130: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6678633536e0f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6678633536e0f; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 260*FLEN/8, x4, x1, x2) + +inst_131: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 262*FLEN/8, x4, x1, x2) + +inst_132: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xeb781eb40c69d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0xffbeb781eb40c69d; + valaddr_reg:x3; val_offset:264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 264*FLEN/8, x4, x1, x2) + +inst_133: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 0 and fe2 == 0x003 and fm2 == 0x002cf80509326 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0x3002cf80509326; + valaddr_reg:x3; val_offset:266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 266*FLEN/8, x4, x1, x2) + +inst_134: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 268*FLEN/8, x4, x1, x2) + +inst_135: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x0; + valaddr_reg:x3; val_offset:270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 270*FLEN/8, x4, x1, x2) + +inst_136: +// fs1 == 0 and fe1 == 0x402 and fm1 == 0x1d013feac5b5a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x4021d013feac5b5a; op2val:0x0; + valaddr_reg:x3; val_offset:272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 272*FLEN/8, x4, x1, x2) + +inst_137: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x402 and fm2 == 0x1d013feac5b5a and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x4021d013feac5b5a; + valaddr_reg:x3; val_offset:274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 274*FLEN/8, x4, x1, x2) + +inst_138: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x402 and fm2 == 0x1d013feac5b5a and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x4021d013feac5b5a; + valaddr_reg:x3; val_offset:276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 276*FLEN/8, x4, x1, x2) + +inst_139: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 278*FLEN/8, x4, x1, x2) + +inst_140: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 280*FLEN/8, x4, x1, x2) + +inst_141: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe08fa3383a6f3 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x383adc274749d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee08fa3383a6f3; op2val:0x7fb383adc274749d; + valaddr_reg:x3; val_offset:282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 282*FLEN/8, x4, x1, x2) + +inst_142: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x383adc274749d and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe08fa3383a6f3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb383adc274749d; op2val:0x7fee08fa3383a6f3; + valaddr_reg:x3; val_offset:284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 284*FLEN/8, x4, x1, x2) + +inst_143: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe08fa3383a6f3 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee08fa3383a6f3; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 286*FLEN/8, x4, x1, x2) + +inst_144: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe08fa3383a6f3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x7fee08fa3383a6f3; + valaddr_reg:x3; val_offset:288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 288*FLEN/8, x4, x1, x2) + +inst_145: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 290*FLEN/8, x4, x1, x2) + +inst_146: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 292*FLEN/8, x4, x1, x2) + +inst_147: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 294*FLEN/8, x4, x1, x2) + +inst_148: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 296*FLEN/8, x4, x1, x2) + +inst_149: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 298*FLEN/8, x4, x1, x2) + +inst_150: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 300*FLEN/8, x4, x1, x2) + +inst_151: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 302*FLEN/8, x4, x1, x2) + +inst_152: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe08fa3383a6f3 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x8dfd26d2431d6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee08fa3383a6f3; op2val:0xffb8dfd26d2431d6; + valaddr_reg:x3; val_offset:304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 304*FLEN/8, x4, x1, x2) + +inst_153: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x8dfd26d2431d6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe08fa3383a6f3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb8dfd26d2431d6; op2val:0x7fee08fa3383a6f3; + valaddr_reg:x3; val_offset:306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 306*FLEN/8, x4, x1, x2) + +inst_154: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe08fa3383a6f3 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee08fa3383a6f3; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 308*FLEN/8, x4, x1, x2) + +inst_155: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 310*FLEN/8, x4, x1, x2) + +inst_156: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe08fa3383a6f3 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x98bcc3a92c611 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee08fa3383a6f3; op2val:0xffb98bcc3a92c611; + valaddr_reg:x3; val_offset:312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 312*FLEN/8, x4, x1, x2) + +inst_157: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x98bcc3a92c611 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe08fa3383a6f3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb98bcc3a92c611; op2val:0x7fee08fa3383a6f3; + valaddr_reg:x3; val_offset:314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 314*FLEN/8, x4, x1, x2) + +inst_158: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe08fa3383a6f3 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee08fa3383a6f3; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 316*FLEN/8, x4, x1, x2) + +inst_159: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 318*FLEN/8, x4, x1, x2) + +inst_160: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x338f20c7d37a6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x1836cb3e931a8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f8338f20c7d37a6; op2val:0xffe1836cb3e931a8; + valaddr_reg:x3; val_offset:320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 320*FLEN/8, x4, x1, x2) + +inst_161: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x338f20c7d37a6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe1836cb3e931a8; op2val:0x7f8338f20c7d37a6; + valaddr_reg:x3; val_offset:322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 322*FLEN/8, x4, x1, x2) + +inst_162: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x338f20c7d37a6 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f8338f20c7d37a6; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 324*FLEN/8, x4, x1, x2) + +inst_163: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x338f20c7d37a6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x7f8338f20c7d37a6; + valaddr_reg:x3; val_offset:326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 326*FLEN/8, x4, x1, x2) + +inst_164: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 328*FLEN/8, x4, x1, x2) + +inst_165: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x833777722304f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0x7fb833777722304f; + valaddr_reg:x3; val_offset:330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 330*FLEN/8, x4, x1, x2) + +inst_166: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc220f20e52329 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb833777722304f; op2val:0xc220f20e52329; + valaddr_reg:x3; val_offset:332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 332*FLEN/8, x4, x1, x2) + +inst_167: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 334*FLEN/8, x4, x1, x2) + +inst_168: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc220f20e52329 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0xc220f20e52329; + valaddr_reg:x3; val_offset:336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 336*FLEN/8, x4, x1, x2) + +inst_169: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 338*FLEN/8, x4, x1, x2) + +inst_170: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x4a57d3f9bbb84 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0x7fb4a57d3f9bbb84; + valaddr_reg:x3; val_offset:340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 340*FLEN/8, x4, x1, x2) + +inst_171: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc220f20e52329 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0xc220f20e52329; + valaddr_reg:x3; val_offset:342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 342*FLEN/8, x4, x1, x2) + +inst_172: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 344*FLEN/8, x4, x1, x2) + +inst_173: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 346*FLEN/8, x4, x1, x2) + +inst_174: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xa7b6d804df453 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0x7fba7b6d804df453; + valaddr_reg:x3; val_offset:348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 348*FLEN/8, x4, x1, x2) + +inst_175: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc220f20e52329 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0xc220f20e52329; + valaddr_reg:x3; val_offset:350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 350*FLEN/8, x4, x1, x2) + +inst_176: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 352*FLEN/8, x4, x1, x2) + +inst_177: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 354*FLEN/8, x4, x1, x2) + +inst_178: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1369b1ce3b6b7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x1a406f11e5bc4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1369b1ce3b6b7; op2val:0x7fe1a406f11e5bc4; + valaddr_reg:x3; val_offset:356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 356*FLEN/8, x4, x1, x2) + +inst_179: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1369b1ce3b6b7 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0x1369b1ce3b6b7; + valaddr_reg:x3; val_offset:358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 358*FLEN/8, x4, x1, x2) + +inst_180: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1369b1ce3b6b7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1369b1ce3b6b7; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 360*FLEN/8, x4, x1, x2) + +inst_181: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1369b1ce3b6b7 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x1369b1ce3b6b7; + valaddr_reg:x3; val_offset:362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 362*FLEN/8, x4, x1, x2) + +inst_182: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 364*FLEN/8, x4, x1, x2) + +inst_183: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xc900ea9c600e8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0x7fbc900ea9c600e8; + valaddr_reg:x3; val_offset:366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 366*FLEN/8, x4, x1, x2) + +inst_184: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc220f20e52329 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0xc220f20e52329; + valaddr_reg:x3; val_offset:368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 368*FLEN/8, x4, x1, x2) + +inst_185: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 370*FLEN/8, x4, x1, x2) + +inst_186: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 372*FLEN/8, x4, x1, x2) + +inst_187: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x79a9d1edd4c29 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0xffb79a9d1edd4c29; + valaddr_reg:x3; val_offset:374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 374*FLEN/8, x4, x1, x2) + +inst_188: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc220f20e52329 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0xc220f20e52329; + valaddr_reg:x3; val_offset:376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 376*FLEN/8, x4, x1, x2) + +inst_189: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 378*FLEN/8, x4, x1, x2) + +inst_190: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 380*FLEN/8, x4, x1, x2) + +inst_191: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1369b1ce3b6b7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0bc8069a0dddf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1369b1ce3b6b7; op2val:0xffd0bc8069a0dddf; + valaddr_reg:x3; val_offset:382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 382*FLEN/8, x4, x1, x2) + +inst_192: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1369b1ce3b6b7 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0x1369b1ce3b6b7; + valaddr_reg:x3; val_offset:384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 384*FLEN/8, x4, x1, x2) + +inst_193: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1369b1ce3b6b7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1369b1ce3b6b7; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 386*FLEN/8, x4, x1, x2) + +inst_194: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 388*FLEN/8, x4, x1, x2) + +inst_195: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x1f930d5b2a8f5 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0xffb1f930d5b2a8f5; + valaddr_reg:x3; val_offset:390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 390*FLEN/8, x4, x1, x2) + +inst_196: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc220f20e52329 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0xc220f20e52329; + valaddr_reg:x3; val_offset:392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 392*FLEN/8, x4, x1, x2) + +inst_197: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 394*FLEN/8, x4, x1, x2) + +inst_198: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 396*FLEN/8, x4, x1, x2) + +inst_199: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1369b1ce3b6b7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x60eeb556ce9ce and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1369b1ce3b6b7; op2val:0xffd60eeb556ce9ce; + valaddr_reg:x3; val_offset:398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 398*FLEN/8, x4, x1, x2) + +inst_200: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1369b1ce3b6b7 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0x1369b1ce3b6b7; + valaddr_reg:x3; val_offset:400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 400*FLEN/8, x4, x1, x2) + +inst_201: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1369b1ce3b6b7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1369b1ce3b6b7; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 402*FLEN/8, x4, x1, x2) + +inst_202: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 404*FLEN/8, x4, x1, x2) + +inst_203: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xeb781eb40c69d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0xffbeb781eb40c69d; + valaddr_reg:x3; val_offset:406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 406*FLEN/8, x4, x1, x2) + +inst_204: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc220f20e52329 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0xc220f20e52329; + valaddr_reg:x3; val_offset:408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 408*FLEN/8, x4, x1, x2) + +inst_205: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 410*FLEN/8, x4, x1, x2) + +inst_206: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x0; + valaddr_reg:x3; val_offset:412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 412*FLEN/8, x4, x1, x2) + +inst_207: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0xaff35fd55192c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ffaff35fd55192c; op2val:0x0; + valaddr_reg:x3; val_offset:414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 414*FLEN/8, x4, x1, x2) + +inst_208: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xaff35fd55192c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x3ffaff35fd55192c; + valaddr_reg:x3; val_offset:416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 416*FLEN/8, x4, x1, x2) + +inst_209: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xaff35fd55192c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x3ffaff35fd55192c; + valaddr_reg:x3; val_offset:418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 418*FLEN/8, x4, x1, x2) + +inst_210: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 420*FLEN/8, x4, x1, x2) + +inst_211: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x383adc274749d and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb383adc274749d; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 422*FLEN/8, x4, x1, x2) + +inst_212: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x383adc274749d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x7fb383adc274749d; + valaddr_reg:x3; val_offset:424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 424*FLEN/8, x4, x1, x2) + +inst_213: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 426*FLEN/8, x4, x1, x2) + +inst_214: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 428*FLEN/8, x4, x1, x2) + +inst_215: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x383adc274749d and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb383adc274749d; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 430*FLEN/8, x4, x1, x2) + +inst_216: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 432*FLEN/8, x4, x1, x2) + +inst_217: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x383adc274749d and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb383adc274749d; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 434*FLEN/8, x4, x1, x2) + +inst_218: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 436*FLEN/8, x4, x1, x2) + +inst_219: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x383adc274749d and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb383adc274749d; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 438*FLEN/8, x4, x1, x2) + +inst_220: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 440*FLEN/8, x4, x1, x2) + +inst_221: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x383adc274749d and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb383adc274749d; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 442*FLEN/8, x4, x1, x2) + +inst_222: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x383adc274749d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7fb383adc274749d; + valaddr_reg:x3; val_offset:444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 444*FLEN/8, x4, x1, x2) + +inst_223: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x383adc274749d and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb383adc274749d; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 446*FLEN/8, x4, x1, x2) + +inst_224: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 448*FLEN/8, x4, x1, x2) + +inst_225: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x383adc274749d and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb383adc274749d; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 450*FLEN/8, x4, x1, x2) + +inst_226: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 452*FLEN/8, x4, x1, x2) + +inst_227: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 454*FLEN/8, x4, x1, x2) + +inst_228: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 456*FLEN/8, x4, x1, x2) + +inst_229: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 458*FLEN/8, x4, x1, x2) + +inst_230: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 460*FLEN/8, x4, x1, x2) + +inst_231: +// fs1 == 0 and fe1 == 0x7f7 and fm1 == 0xf391603ed8761 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f7f391603ed8761; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 462*FLEN/8, x4, x1, x2) + +inst_232: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7f7 and fm2 == 0xf391603ed8761 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7f7f391603ed8761; + valaddr_reg:x3; val_offset:464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 464*FLEN/8, x4, x1, x2) + +inst_233: +// fs1 == 0 and fe1 == 0x7f7 and fm1 == 0xf391603ed8761 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f7f391603ed8761; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 466*FLEN/8, x4, x1, x2) + +inst_234: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x7f7 and fm2 == 0xf391603ed8761 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x7f7f391603ed8761; + valaddr_reg:x3; val_offset:468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 468*FLEN/8, x4, x1, x2) + +inst_235: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 470*FLEN/8, x4, x1, x2) + +inst_236: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe405554eabc62 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0x7fee405554eabc62; + valaddr_reg:x3; val_offset:472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 472*FLEN/8, x4, x1, x2) + +inst_237: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe405554eabc62 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9da958592a6de and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee405554eabc62; op2val:0x9da958592a6de; + valaddr_reg:x3; val_offset:474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 474*FLEN/8, x4, x1, x2) + +inst_238: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 476*FLEN/8, x4, x1, x2) + +inst_239: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9da958592a6de and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x9da958592a6de; + valaddr_reg:x3; val_offset:478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 478*FLEN/8, x4, x1, x2) + +inst_240: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 480*FLEN/8, x4, x1, x2) + +inst_241: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x9cedc8f82aa65 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0x7fe9cedc8f82aa65; + valaddr_reg:x3; val_offset:482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 482*FLEN/8, x4, x1, x2) + +inst_242: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x9cedc8f82aa65 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9da958592a6de and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe9cedc8f82aa65; op2val:0x9da958592a6de; + valaddr_reg:x3; val_offset:484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 484*FLEN/8, x4, x1, x2) + +inst_243: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 486*FLEN/8, x4, x1, x2) + +inst_244: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 488*FLEN/8, x4, x1, x2) + +inst_245: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 490*FLEN/8, x4, x1, x2) + +inst_246: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9da958592a6de and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x9da958592a6de; + valaddr_reg:x3; val_offset:492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 492*FLEN/8, x4, x1, x2) + +inst_247: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 494*FLEN/8, x4, x1, x2) + +inst_248: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 496*FLEN/8, x4, x1, x2) + +inst_249: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0fc4226f510b0 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfc4226f510b0; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 498*FLEN/8, x4, x1, x2) + +inst_250: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0fc4226f510b0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xfc4226f510b0; + valaddr_reg:x3; val_offset:500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 500*FLEN/8, x4, x1, x2) + +inst_251: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0fc4226f510b0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfc4226f510b0; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 502*FLEN/8, x4, x1, x2) + +inst_252: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0fc4226f510b0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0xfc4226f510b0; + valaddr_reg:x3; val_offset:504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 504*FLEN/8, x4, x1, x2) + +inst_253: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 506*FLEN/8, x4, x1, x2) + +inst_254: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 508*FLEN/8, x4, x1, x2) + +inst_255: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 510*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_3) + +inst_256: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xd814466949f33 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0xffed814466949f33; + valaddr_reg:x3; val_offset:512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 512*FLEN/8, x4, x1, x2) + +inst_257: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd814466949f33 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9da958592a6de and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed814466949f33; op2val:0x9da958592a6de; + valaddr_reg:x3; val_offset:514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 514*FLEN/8, x4, x1, x2) + +inst_258: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 516*FLEN/8, x4, x1, x2) + +inst_259: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 518*FLEN/8, x4, x1, x2) + +inst_260: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0fc4226f510b0 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfc4226f510b0; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 520*FLEN/8, x4, x1, x2) + +inst_261: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0fc4226f510b0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xfc4226f510b0; + valaddr_reg:x3; val_offset:522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 522*FLEN/8, x4, x1, x2) + +inst_262: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0fc4226f510b0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfc4226f510b0; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 524*FLEN/8, x4, x1, x2) + +inst_263: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 526*FLEN/8, x4, x1, x2) + +inst_264: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x6777d0b1f5332 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0xffe6777d0b1f5332; + valaddr_reg:x3; val_offset:528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 528*FLEN/8, x4, x1, x2) + +inst_265: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x6777d0b1f5332 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9da958592a6de and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe6777d0b1f5332; op2val:0x9da958592a6de; + valaddr_reg:x3; val_offset:530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 530*FLEN/8, x4, x1, x2) + +inst_266: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 532*FLEN/8, x4, x1, x2) + +inst_267: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 534*FLEN/8, x4, x1, x2) + +inst_268: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0fc4226f510b0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfc4226f510b0; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 536*FLEN/8, x4, x1, x2) + +inst_269: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 538*FLEN/8, x4, x1, x2) + +inst_270: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 540*FLEN/8, x4, x1, x2) + +inst_271: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9da958592a6de and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x9da958592a6de; + valaddr_reg:x3; val_offset:542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 542*FLEN/8, x4, x1, x2) + +inst_272: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 544*FLEN/8, x4, x1, x2) + +inst_273: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x0; + valaddr_reg:x3; val_offset:546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 546*FLEN/8, x4, x1, x2) + +inst_274: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x5ecef9517d94f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff5ecef9517d94f; op2val:0x0; + valaddr_reg:x3; val_offset:548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 548*FLEN/8, x4, x1, x2) + +inst_275: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x5ecef9517d94f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x3ff5ecef9517d94f; + valaddr_reg:x3; val_offset:550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 550*FLEN/8, x4, x1, x2) + +inst_276: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x5ecef9517d94f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x3ff5ecef9517d94f; + valaddr_reg:x3; val_offset:552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 552*FLEN/8, x4, x1, x2) + +inst_277: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 554*FLEN/8, x4, x1, x2) + +inst_278: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 556*FLEN/8, x4, x1, x2) + +inst_279: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 558*FLEN/8, x4, x1, x2) + +inst_280: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 560*FLEN/8, x4, x1, x2) + +inst_281: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 562*FLEN/8, x4, x1, x2) + +inst_282: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 564*FLEN/8, x4, x1, x2) + +inst_283: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 566*FLEN/8, x4, x1, x2) + +inst_284: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 568*FLEN/8, x4, x1, x2) + +inst_285: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 570*FLEN/8, x4, x1, x2) + +inst_286: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 572*FLEN/8, x4, x1, x2) + +inst_287: +// fs1 == 0 and fe1 == 0x7f9 and fm1 == 0x76cdd4791176f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x1836cb3e931a8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f976cdd4791176f; op2val:0xffe1836cb3e931a8; + valaddr_reg:x3; val_offset:574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 574*FLEN/8, x4, x1, x2) + +inst_288: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 0 and fe2 == 0x7f9 and fm2 == 0x76cdd4791176f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe1836cb3e931a8; op2val:0x7f976cdd4791176f; + valaddr_reg:x3; val_offset:576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 576*FLEN/8, x4, x1, x2) + +inst_289: +// fs1 == 0 and fe1 == 0x7f9 and fm1 == 0x76cdd4791176f and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f976cdd4791176f; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 578*FLEN/8, x4, x1, x2) + +inst_290: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x7f9 and fm2 == 0x76cdd4791176f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x7f976cdd4791176f; + valaddr_reg:x3; val_offset:580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 580*FLEN/8, x4, x1, x2) + +inst_291: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 582*FLEN/8, x4, x1, x2) + +inst_292: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x833777722304f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0x7fb833777722304f; + valaddr_reg:x3; val_offset:584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 584*FLEN/8, x4, x1, x2) + +inst_293: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 0 and fe2 == 0x001 and fm2 == 0xd9257060a8fa0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb833777722304f; op2val:0x1d9257060a8fa0; + valaddr_reg:x3; val_offset:586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 586*FLEN/8, x4, x1, x2) + +inst_294: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 588*FLEN/8, x4, x1, x2) + +inst_295: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x001 and fm2 == 0xd9257060a8fa0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x1d9257060a8fa0; + valaddr_reg:x3; val_offset:590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 590*FLEN/8, x4, x1, x2) + +inst_296: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 592*FLEN/8, x4, x1, x2) + +inst_297: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x4a57d3f9bbb84 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0x7fb4a57d3f9bbb84; + valaddr_reg:x3; val_offset:594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 594*FLEN/8, x4, x1, x2) + +inst_298: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 0 and fe2 == 0x001 and fm2 == 0xd9257060a8fa0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0x1d9257060a8fa0; + valaddr_reg:x3; val_offset:596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 596*FLEN/8, x4, x1, x2) + +inst_299: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 598*FLEN/8, x4, x1, x2) + +inst_300: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 600*FLEN/8, x4, x1, x2) + +inst_301: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xa7b6d804df453 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0x7fba7b6d804df453; + valaddr_reg:x3; val_offset:602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 602*FLEN/8, x4, x1, x2) + +inst_302: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 0 and fe2 == 0x001 and fm2 == 0xd9257060a8fa0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0x1d9257060a8fa0; + valaddr_reg:x3; val_offset:604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 604*FLEN/8, x4, x1, x2) + +inst_303: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 606*FLEN/8, x4, x1, x2) + +inst_304: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 608*FLEN/8, x4, x1, x2) + +inst_305: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x2f508b3cddb2a and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x1a406f11e5bc4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2f508b3cddb2a; op2val:0x7fe1a406f11e5bc4; + valaddr_reg:x3; val_offset:610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 610*FLEN/8, x4, x1, x2) + +inst_306: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x2f508b3cddb2a and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0x2f508b3cddb2a; + valaddr_reg:x3; val_offset:612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 612*FLEN/8, x4, x1, x2) + +inst_307: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x2f508b3cddb2a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2f508b3cddb2a; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 614*FLEN/8, x4, x1, x2) + +inst_308: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x2f508b3cddb2a and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x2f508b3cddb2a; + valaddr_reg:x3; val_offset:616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 616*FLEN/8, x4, x1, x2) + +inst_309: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 618*FLEN/8, x4, x1, x2) + +inst_310: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xc900ea9c600e8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0x7fbc900ea9c600e8; + valaddr_reg:x3; val_offset:620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 620*FLEN/8, x4, x1, x2) + +inst_311: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 0 and fe2 == 0x001 and fm2 == 0xd9257060a8fa0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0x1d9257060a8fa0; + valaddr_reg:x3; val_offset:622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 622*FLEN/8, x4, x1, x2) + +inst_312: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 624*FLEN/8, x4, x1, x2) + +inst_313: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 626*FLEN/8, x4, x1, x2) + +inst_314: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x79a9d1edd4c29 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0xffb79a9d1edd4c29; + valaddr_reg:x3; val_offset:628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 628*FLEN/8, x4, x1, x2) + +inst_315: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 0 and fe2 == 0x001 and fm2 == 0xd9257060a8fa0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0x1d9257060a8fa0; + valaddr_reg:x3; val_offset:630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 630*FLEN/8, x4, x1, x2) + +inst_316: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 632*FLEN/8, x4, x1, x2) + +inst_317: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 634*FLEN/8, x4, x1, x2) + +inst_318: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x2f508b3cddb2a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0bc8069a0dddf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2f508b3cddb2a; op2val:0xffd0bc8069a0dddf; + valaddr_reg:x3; val_offset:636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 636*FLEN/8, x4, x1, x2) + +inst_319: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 0 and fe2 == 0x000 and fm2 == 0x2f508b3cddb2a and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0x2f508b3cddb2a; + valaddr_reg:x3; val_offset:638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 638*FLEN/8, x4, x1, x2) + +inst_320: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x2f508b3cddb2a and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2f508b3cddb2a; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 640*FLEN/8, x4, x1, x2) + +inst_321: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 642*FLEN/8, x4, x1, x2) + +inst_322: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x1f930d5b2a8f5 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0xffb1f930d5b2a8f5; + valaddr_reg:x3; val_offset:644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 644*FLEN/8, x4, x1, x2) + +inst_323: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 0 and fe2 == 0x001 and fm2 == 0xd9257060a8fa0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0x1d9257060a8fa0; + valaddr_reg:x3; val_offset:646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 646*FLEN/8, x4, x1, x2) + +inst_324: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 648*FLEN/8, x4, x1, x2) + +inst_325: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 650*FLEN/8, x4, x1, x2) + +inst_326: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x2f508b3cddb2a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x60eeb556ce9ce and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2f508b3cddb2a; op2val:0xffd60eeb556ce9ce; + valaddr_reg:x3; val_offset:652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 652*FLEN/8, x4, x1, x2) + +inst_327: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 0 and fe2 == 0x000 and fm2 == 0x2f508b3cddb2a and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0x2f508b3cddb2a; + valaddr_reg:x3; val_offset:654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 654*FLEN/8, x4, x1, x2) + +inst_328: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x2f508b3cddb2a and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2f508b3cddb2a; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 656*FLEN/8, x4, x1, x2) + +inst_329: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 658*FLEN/8, x4, x1, x2) + +inst_330: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xeb781eb40c69d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0xffbeb781eb40c69d; + valaddr_reg:x3; val_offset:660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 660*FLEN/8, x4, x1, x2) + +inst_331: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 0 and fe2 == 0x001 and fm2 == 0xd9257060a8fa0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0x1d9257060a8fa0; + valaddr_reg:x3; val_offset:662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 662*FLEN/8, x4, x1, x2) + +inst_332: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 664*FLEN/8, x4, x1, x2) + +inst_333: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x0; + valaddr_reg:x3; val_offset:666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 666*FLEN/8, x4, x1, x2) + +inst_334: +// fs1 == 0 and fe1 == 0x401 and fm1 == 0x0732431031347 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x4010732431031347; op2val:0x0; + valaddr_reg:x3; val_offset:668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 668*FLEN/8, x4, x1, x2) + +inst_335: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x401 and fm2 == 0x0732431031347 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x4010732431031347; + valaddr_reg:x3; val_offset:670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 670*FLEN/8, x4, x1, x2) + +inst_336: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x401 and fm2 == 0x0732431031347 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x4010732431031347; + valaddr_reg:x3; val_offset:672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 672*FLEN/8, x4, x1, x2) + +inst_337: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 674*FLEN/8, x4, x1, x2) + +inst_338: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 676*FLEN/8, x4, x1, x2) + +inst_339: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 678*FLEN/8, x4, x1, x2) + +inst_340: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 680*FLEN/8, x4, x1, x2) + +inst_341: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 682*FLEN/8, x4, x1, x2) + +inst_342: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 684*FLEN/8, x4, x1, x2) + +inst_343: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 686*FLEN/8, x4, x1, x2) + +inst_344: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x8dfd26d2431d6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xffb8dfd26d2431d6; + valaddr_reg:x3; val_offset:688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 688*FLEN/8, x4, x1, x2) + +inst_345: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x8dfd26d2431d6 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb8dfd26d2431d6; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 690*FLEN/8, x4, x1, x2) + +inst_346: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 692*FLEN/8, x4, x1, x2) + +inst_347: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 694*FLEN/8, x4, x1, x2) + +inst_348: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x98bcc3a92c611 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xffb98bcc3a92c611; + valaddr_reg:x3; val_offset:696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 696*FLEN/8, x4, x1, x2) + +inst_349: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x98bcc3a92c611 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb98bcc3a92c611; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 698*FLEN/8, x4, x1, x2) + +inst_350: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 700*FLEN/8, x4, x1, x2) + +inst_351: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 702*FLEN/8, x4, x1, x2) + +inst_352: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0xf3eddb8431366 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x1836cb3e931a8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff8f3eddb8431366; op2val:0xffe1836cb3e931a8; + valaddr_reg:x3; val_offset:704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 704*FLEN/8, x4, x1, x2) + +inst_353: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0xf3eddb8431366 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe1836cb3e931a8; op2val:0xff8f3eddb8431366; + valaddr_reg:x3; val_offset:706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 706*FLEN/8, x4, x1, x2) + +inst_354: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0xf3eddb8431366 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff8f3eddb8431366; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 708*FLEN/8, x4, x1, x2) + +inst_355: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0xf3eddb8431366 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xff8f3eddb8431366; + valaddr_reg:x3; val_offset:710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 710*FLEN/8, x4, x1, x2) + +inst_356: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 712*FLEN/8, x4, x1, x2) + +inst_357: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x833777722304f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0x7fb833777722304f; + valaddr_reg:x3; val_offset:714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 714*FLEN/8, x4, x1, x2) + +inst_358: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 1 and fe2 == 0x001 and fm2 == 0x3b8d1053b23ab and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb833777722304f; op2val:0x8013b8d1053b23ab; + valaddr_reg:x3; val_offset:716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 716*FLEN/8, x4, x1, x2) + +inst_359: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 718*FLEN/8, x4, x1, x2) + +inst_360: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x3b8d1053b23ab and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x8013b8d1053b23ab; + valaddr_reg:x3; val_offset:720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 720*FLEN/8, x4, x1, x2) + +inst_361: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 722*FLEN/8, x4, x1, x2) + +inst_362: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x4a57d3f9bbb84 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0x7fb4a57d3f9bbb84; + valaddr_reg:x3; val_offset:724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 724*FLEN/8, x4, x1, x2) + +inst_363: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x3b8d1053b23ab and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0x8013b8d1053b23ab; + valaddr_reg:x3; val_offset:726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 726*FLEN/8, x4, x1, x2) + +inst_364: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 728*FLEN/8, x4, x1, x2) + +inst_365: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 730*FLEN/8, x4, x1, x2) + +inst_366: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xa7b6d804df453 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0x7fba7b6d804df453; + valaddr_reg:x3; val_offset:732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 732*FLEN/8, x4, x1, x2) + +inst_367: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x3b8d1053b23ab and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0x8013b8d1053b23ab; + valaddr_reg:x3; val_offset:734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 734*FLEN/8, x4, x1, x2) + +inst_368: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 736*FLEN/8, x4, x1, x2) + +inst_369: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 738*FLEN/8, x4, x1, x2) + +inst_370: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1f8e1b3b91d2b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x1a406f11e5bc4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001f8e1b3b91d2b; op2val:0x7fe1a406f11e5bc4; + valaddr_reg:x3; val_offset:740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 740*FLEN/8, x4, x1, x2) + +inst_371: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1f8e1b3b91d2b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0x8001f8e1b3b91d2b; + valaddr_reg:x3; val_offset:742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 742*FLEN/8, x4, x1, x2) + +inst_372: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1f8e1b3b91d2b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001f8e1b3b91d2b; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 744*FLEN/8, x4, x1, x2) + +inst_373: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1f8e1b3b91d2b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x8001f8e1b3b91d2b; + valaddr_reg:x3; val_offset:746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 746*FLEN/8, x4, x1, x2) + +inst_374: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 748*FLEN/8, x4, x1, x2) + +inst_375: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xc900ea9c600e8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0x7fbc900ea9c600e8; + valaddr_reg:x3; val_offset:750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 750*FLEN/8, x4, x1, x2) + +inst_376: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x3b8d1053b23ab and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0x8013b8d1053b23ab; + valaddr_reg:x3; val_offset:752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 752*FLEN/8, x4, x1, x2) + +inst_377: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 754*FLEN/8, x4, x1, x2) + +inst_378: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 756*FLEN/8, x4, x1, x2) + +inst_379: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x79a9d1edd4c29 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0xffb79a9d1edd4c29; + valaddr_reg:x3; val_offset:758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 758*FLEN/8, x4, x1, x2) + +inst_380: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x3b8d1053b23ab and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0x8013b8d1053b23ab; + valaddr_reg:x3; val_offset:760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 760*FLEN/8, x4, x1, x2) + +inst_381: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 762*FLEN/8, x4, x1, x2) + +inst_382: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 764*FLEN/8, x4, x1, x2) + +inst_383: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1f8e1b3b91d2b and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0bc8069a0dddf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001f8e1b3b91d2b; op2val:0xffd0bc8069a0dddf; + valaddr_reg:x3; val_offset:766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 766*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_4) + +inst_384: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1f8e1b3b91d2b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0x8001f8e1b3b91d2b; + valaddr_reg:x3; val_offset:768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 768*FLEN/8, x4, x1, x2) + +inst_385: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1f8e1b3b91d2b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001f8e1b3b91d2b; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 770*FLEN/8, x4, x1, x2) + +inst_386: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 772*FLEN/8, x4, x1, x2) + +inst_387: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x1f930d5b2a8f5 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0xffb1f930d5b2a8f5; + valaddr_reg:x3; val_offset:774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 774*FLEN/8, x4, x1, x2) + +inst_388: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x3b8d1053b23ab and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0x8013b8d1053b23ab; + valaddr_reg:x3; val_offset:776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 776*FLEN/8, x4, x1, x2) + +inst_389: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 778*FLEN/8, x4, x1, x2) + +inst_390: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 780*FLEN/8, x4, x1, x2) + +inst_391: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1f8e1b3b91d2b and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x60eeb556ce9ce and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001f8e1b3b91d2b; op2val:0xffd60eeb556ce9ce; + valaddr_reg:x3; val_offset:782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 782*FLEN/8, x4, x1, x2) + +inst_392: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1f8e1b3b91d2b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0x8001f8e1b3b91d2b; + valaddr_reg:x3; val_offset:784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 784*FLEN/8, x4, x1, x2) + +inst_393: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1f8e1b3b91d2b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001f8e1b3b91d2b; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 786*FLEN/8, x4, x1, x2) + +inst_394: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 788*FLEN/8, x4, x1, x2) + +inst_395: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xeb781eb40c69d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0xffbeb781eb40c69d; + valaddr_reg:x3; val_offset:790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 790*FLEN/8, x4, x1, x2) + +inst_396: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 1 and fe2 == 0x001 and fm2 == 0x3b8d1053b23ab and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0x8013b8d1053b23ab; + valaddr_reg:x3; val_offset:792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 792*FLEN/8, x4, x1, x2) + +inst_397: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 794*FLEN/8, x4, x1, x2) + +inst_398: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x0; + valaddr_reg:x3; val_offset:796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 796*FLEN/8, x4, x1, x2) + +inst_399: +// fs1 == 1 and fe1 == 0x400 and fm1 == 0x5f0feaa8af2a4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc005f0feaa8af2a4; op2val:0x0; + valaddr_reg:x3; val_offset:798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 798*FLEN/8, x4, x1, x2) + +inst_400: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x400 and fm2 == 0x5f0feaa8af2a4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc005f0feaa8af2a4; + valaddr_reg:x3; val_offset:800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 800*FLEN/8, x4, x1, x2) + +inst_401: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x400 and fm2 == 0x5f0feaa8af2a4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xc005f0feaa8af2a4; + valaddr_reg:x3; val_offset:802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 802*FLEN/8, x4, x1, x2) + +inst_402: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 804*FLEN/8, x4, x1, x2) + +inst_403: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 806*FLEN/8, x4, x1, x2) + +inst_404: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 808*FLEN/8, x4, x1, x2) + +inst_405: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 810*FLEN/8, x4, x1, x2) + +inst_406: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 812*FLEN/8, x4, x1, x2) + +inst_407: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 814*FLEN/8, x4, x1, x2) + +inst_408: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc2fa17693df96 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x1836cb3e931a8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac2fa17693df96; op2val:0xffe1836cb3e931a8; + valaddr_reg:x3; val_offset:816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 816*FLEN/8, x4, x1, x2) + +inst_409: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc2fa17693df96 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe1836cb3e931a8; op2val:0xffac2fa17693df96; + valaddr_reg:x3; val_offset:818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 818*FLEN/8, x4, x1, x2) + +inst_410: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc2fa17693df96 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac2fa17693df96; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 820*FLEN/8, x4, x1, x2) + +inst_411: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc2fa17693df96 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xffac2fa17693df96; + valaddr_reg:x3; val_offset:822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 822*FLEN/8, x4, x1, x2) + +inst_412: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 824*FLEN/8, x4, x1, x2) + +inst_413: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x833777722304f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0x7fb833777722304f; + valaddr_reg:x3; val_offset:826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 826*FLEN/8, x4, x1, x2) + +inst_414: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1ca71e8813e1f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb833777722304f; op2val:0x8031ca71e8813e1f; + valaddr_reg:x3; val_offset:828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 828*FLEN/8, x4, x1, x2) + +inst_415: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 830*FLEN/8, x4, x1, x2) + +inst_416: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1ca71e8813e1f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x8031ca71e8813e1f; + valaddr_reg:x3; val_offset:832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 832*FLEN/8, x4, x1, x2) + +inst_417: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 834*FLEN/8, x4, x1, x2) + +inst_418: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x4a57d3f9bbb84 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0x7fb4a57d3f9bbb84; + valaddr_reg:x3; val_offset:836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 836*FLEN/8, x4, x1, x2) + +inst_419: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1ca71e8813e1f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0x8031ca71e8813e1f; + valaddr_reg:x3; val_offset:838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 838*FLEN/8, x4, x1, x2) + +inst_420: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 840*FLEN/8, x4, x1, x2) + +inst_421: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 842*FLEN/8, x4, x1, x2) + +inst_422: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xa7b6d804df453 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0x7fba7b6d804df453; + valaddr_reg:x3; val_offset:844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 844*FLEN/8, x4, x1, x2) + +inst_423: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1ca71e8813e1f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0x8031ca71e8813e1f; + valaddr_reg:x3; val_offset:846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 846*FLEN/8, x4, x1, x2) + +inst_424: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 848*FLEN/8, x4, x1, x2) + +inst_425: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 850*FLEN/8, x4, x1, x2) + +inst_426: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71dc729cd4c0d and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x1a406f11e5bc4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071dc729cd4c0d; op2val:0x7fe1a406f11e5bc4; + valaddr_reg:x3; val_offset:852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 852*FLEN/8, x4, x1, x2) + +inst_427: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x71dc729cd4c0d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0x80071dc729cd4c0d; + valaddr_reg:x3; val_offset:854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 854*FLEN/8, x4, x1, x2) + +inst_428: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71dc729cd4c0d and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071dc729cd4c0d; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 856*FLEN/8, x4, x1, x2) + +inst_429: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x000 and fm2 == 0x71dc729cd4c0d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x80071dc729cd4c0d; + valaddr_reg:x3; val_offset:858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 858*FLEN/8, x4, x1, x2) + +inst_430: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 860*FLEN/8, x4, x1, x2) + +inst_431: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xc900ea9c600e8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0x7fbc900ea9c600e8; + valaddr_reg:x3; val_offset:862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 862*FLEN/8, x4, x1, x2) + +inst_432: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1ca71e8813e1f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0x8031ca71e8813e1f; + valaddr_reg:x3; val_offset:864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 864*FLEN/8, x4, x1, x2) + +inst_433: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 866*FLEN/8, x4, x1, x2) + +inst_434: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 868*FLEN/8, x4, x1, x2) + +inst_435: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x79a9d1edd4c29 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0xffb79a9d1edd4c29; + valaddr_reg:x3; val_offset:870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 870*FLEN/8, x4, x1, x2) + +inst_436: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1ca71e8813e1f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0x8031ca71e8813e1f; + valaddr_reg:x3; val_offset:872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 872*FLEN/8, x4, x1, x2) + +inst_437: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 874*FLEN/8, x4, x1, x2) + +inst_438: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 876*FLEN/8, x4, x1, x2) + +inst_439: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71dc729cd4c0d and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0bc8069a0dddf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071dc729cd4c0d; op2val:0xffd0bc8069a0dddf; + valaddr_reg:x3; val_offset:878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 878*FLEN/8, x4, x1, x2) + +inst_440: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 1 and fe2 == 0x000 and fm2 == 0x71dc729cd4c0d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0x80071dc729cd4c0d; + valaddr_reg:x3; val_offset:880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 880*FLEN/8, x4, x1, x2) + +inst_441: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71dc729cd4c0d and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071dc729cd4c0d; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 882*FLEN/8, x4, x1, x2) + +inst_442: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 884*FLEN/8, x4, x1, x2) + +inst_443: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x1f930d5b2a8f5 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0xffb1f930d5b2a8f5; + valaddr_reg:x3; val_offset:886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 886*FLEN/8, x4, x1, x2) + +inst_444: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1ca71e8813e1f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0x8031ca71e8813e1f; + valaddr_reg:x3; val_offset:888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 888*FLEN/8, x4, x1, x2) + +inst_445: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 890*FLEN/8, x4, x1, x2) + +inst_446: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 892*FLEN/8, x4, x1, x2) + +inst_447: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71dc729cd4c0d and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x60eeb556ce9ce and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071dc729cd4c0d; op2val:0xffd60eeb556ce9ce; + valaddr_reg:x3; val_offset:894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 894*FLEN/8, x4, x1, x2) + +inst_448: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 1 and fe2 == 0x000 and fm2 == 0x71dc729cd4c0d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0x80071dc729cd4c0d; + valaddr_reg:x3; val_offset:896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 896*FLEN/8, x4, x1, x2) + +inst_449: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71dc729cd4c0d and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071dc729cd4c0d; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 898*FLEN/8, x4, x1, x2) + +inst_450: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 900*FLEN/8, x4, x1, x2) + +inst_451: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xeb781eb40c69d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0xffbeb781eb40c69d; + valaddr_reg:x3; val_offset:902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 902*FLEN/8, x4, x1, x2) + +inst_452: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1ca71e8813e1f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0x8031ca71e8813e1f; + valaddr_reg:x3; val_offset:904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 904*FLEN/8, x4, x1, x2) + +inst_453: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 906*FLEN/8, x4, x1, x2) + +inst_454: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x0; + valaddr_reg:x3; val_offset:908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 908*FLEN/8, x4, x1, x2) + +inst_455: +// fs1 == 1 and fe1 == 0x402 and fm1 == 0x3cafcfae8bc5f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc023cafcfae8bc5f; op2val:0x0; + valaddr_reg:x3; val_offset:910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 910*FLEN/8, x4, x1, x2) + +inst_456: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x402 and fm2 == 0x3cafcfae8bc5f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc023cafcfae8bc5f; + valaddr_reg:x3; val_offset:912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 912*FLEN/8, x4, x1, x2) + +inst_457: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x402 and fm2 == 0x3cafcfae8bc5f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xc023cafcfae8bc5f; + valaddr_reg:x3; val_offset:914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 914*FLEN/8, x4, x1, x2) + +inst_458: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:916*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 916*FLEN/8, x4, x1, x2) + +inst_459: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x8dfd26d2431d6 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb8dfd26d2431d6; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:918*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 918*FLEN/8, x4, x1, x2) + +inst_460: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x8dfd26d2431d6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xffb8dfd26d2431d6; + valaddr_reg:x3; val_offset:920*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 920*FLEN/8, x4, x1, x2) + +inst_461: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:922*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 922*FLEN/8, x4, x1, x2) + +inst_462: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:924*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 924*FLEN/8, x4, x1, x2) + +inst_463: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x8dfd26d2431d6 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb8dfd26d2431d6; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:926*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 926*FLEN/8, x4, x1, x2) + +inst_464: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:928*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 928*FLEN/8, x4, x1, x2) + +inst_465: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x8dfd26d2431d6 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb8dfd26d2431d6; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:930*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 930*FLEN/8, x4, x1, x2) + +inst_466: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:932*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 932*FLEN/8, x4, x1, x2) + +inst_467: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x8dfd26d2431d6 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb8dfd26d2431d6; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:934*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 934*FLEN/8, x4, x1, x2) + +inst_468: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:936*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 936*FLEN/8, x4, x1, x2) + +inst_469: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x8dfd26d2431d6 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb8dfd26d2431d6; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:938*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 938*FLEN/8, x4, x1, x2) + +inst_470: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:940*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 940*FLEN/8, x4, x1, x2) + +inst_471: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x8dfd26d2431d6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb8dfd26d2431d6; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:942*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 942*FLEN/8, x4, x1, x2) + +inst_472: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:944*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 944*FLEN/8, x4, x1, x2) + +inst_473: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:946*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 946*FLEN/8, x4, x1, x2) + +inst_474: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:948*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 948*FLEN/8, x4, x1, x2) + +inst_475: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x3e641f0e9c178 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff83e641f0e9c178; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:950*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 950*FLEN/8, x4, x1, x2) + +inst_476: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x3e641f0e9c178 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xff83e641f0e9c178; + valaddr_reg:x3; val_offset:952*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 952*FLEN/8, x4, x1, x2) + +inst_477: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x3e641f0e9c178 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff83e641f0e9c178; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:954*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 954*FLEN/8, x4, x1, x2) + +inst_478: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x3e641f0e9c178 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xff83e641f0e9c178; + valaddr_reg:x3; val_offset:956*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 956*FLEN/8, x4, x1, x2) + +inst_479: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:958*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 958*FLEN/8, x4, x1, x2) + +inst_480: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe405554eabc62 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0x7fee405554eabc62; + valaddr_reg:x3; val_offset:960*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 960*FLEN/8, x4, x1, x2) + +inst_481: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe405554eabc62 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc8f73c41dbdb6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee405554eabc62; op2val:0x800c8f73c41dbdb6; + valaddr_reg:x3; val_offset:962*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 962*FLEN/8, x4, x1, x2) + +inst_482: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:964*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 964*FLEN/8, x4, x1, x2) + +inst_483: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc8f73c41dbdb6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x800c8f73c41dbdb6; + valaddr_reg:x3; val_offset:966*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 966*FLEN/8, x4, x1, x2) + +inst_484: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:968*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 968*FLEN/8, x4, x1, x2) + +inst_485: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x9cedc8f82aa65 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0x7fe9cedc8f82aa65; + valaddr_reg:x3; val_offset:970*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 970*FLEN/8, x4, x1, x2) + +inst_486: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x9cedc8f82aa65 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc8f73c41dbdb6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe9cedc8f82aa65; op2val:0x800c8f73c41dbdb6; + valaddr_reg:x3; val_offset:972*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 972*FLEN/8, x4, x1, x2) + +inst_487: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:974*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 974*FLEN/8, x4, x1, x2) + +inst_488: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:976*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 976*FLEN/8, x4, x1, x2) + +inst_489: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:978*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 978*FLEN/8, x4, x1, x2) + +inst_490: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc8f73c41dbdb6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x800c8f73c41dbdb6; + valaddr_reg:x3; val_offset:980*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 980*FLEN/8, x4, x1, x2) + +inst_491: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:982*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 982*FLEN/8, x4, x1, x2) + +inst_492: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:984*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 984*FLEN/8, x4, x1, x2) + +inst_493: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1418b939c92f9 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001418b939c92f9; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:986*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 986*FLEN/8, x4, x1, x2) + +inst_494: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1418b939c92f9 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8001418b939c92f9; + valaddr_reg:x3; val_offset:988*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 988*FLEN/8, x4, x1, x2) + +inst_495: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1418b939c92f9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001418b939c92f9; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:990*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 990*FLEN/8, x4, x1, x2) + +inst_496: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1418b939c92f9 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x8001418b939c92f9; + valaddr_reg:x3; val_offset:992*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 992*FLEN/8, x4, x1, x2) + +inst_497: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:994*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 994*FLEN/8, x4, x1, x2) + +inst_498: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:996*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 996*FLEN/8, x4, x1, x2) + +inst_499: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:998*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 998*FLEN/8, x4, x1, x2) + +inst_500: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xd814466949f33 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0xffed814466949f33; + valaddr_reg:x3; val_offset:1000*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1000*FLEN/8, x4, x1, x2) + +inst_501: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd814466949f33 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc8f73c41dbdb6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed814466949f33; op2val:0x800c8f73c41dbdb6; + valaddr_reg:x3; val_offset:1002*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1002*FLEN/8, x4, x1, x2) + +inst_502: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1004*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1004*FLEN/8, x4, x1, x2) + +inst_503: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1006*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1006*FLEN/8, x4, x1, x2) + +inst_504: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1418b939c92f9 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001418b939c92f9; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1008*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1008*FLEN/8, x4, x1, x2) + +inst_505: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1418b939c92f9 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8001418b939c92f9; + valaddr_reg:x3; val_offset:1010*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1010*FLEN/8, x4, x1, x2) + +inst_506: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1418b939c92f9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001418b939c92f9; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1012*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1012*FLEN/8, x4, x1, x2) + +inst_507: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1014*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1014*FLEN/8, x4, x1, x2) + +inst_508: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x6777d0b1f5332 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0xffe6777d0b1f5332; + valaddr_reg:x3; val_offset:1016*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1016*FLEN/8, x4, x1, x2) + +inst_509: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x6777d0b1f5332 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc8f73c41dbdb6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe6777d0b1f5332; op2val:0x800c8f73c41dbdb6; + valaddr_reg:x3; val_offset:1018*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1018*FLEN/8, x4, x1, x2) + +inst_510: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1020*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1020*FLEN/8, x4, x1, x2) + +inst_511: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1022*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1022*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_5) + +inst_512: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1418b939c92f9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001418b939c92f9; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1024*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1024*FLEN/8, x4, x1, x2) + +inst_513: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1026*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1026*FLEN/8, x4, x1, x2) + +inst_514: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1028*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1028*FLEN/8, x4, x1, x2) + +inst_515: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc8f73c41dbdb6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x800c8f73c41dbdb6; + valaddr_reg:x3; val_offset:1030*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1030*FLEN/8, x4, x1, x2) + +inst_516: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1032*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1032*FLEN/8, x4, x1, x2) + +inst_517: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x0; + valaddr_reg:x3; val_offset:1034*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1034*FLEN/8, x4, x1, x2) + +inst_518: +// fs1 == 1 and fe1 == 0x3ff and fm1 == 0xbf29e6067a411 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbffbf29e6067a411; op2val:0x0; + valaddr_reg:x3; val_offset:1036*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1036*FLEN/8, x4, x1, x2) + +inst_519: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xbf29e6067a411 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xbffbf29e6067a411; + valaddr_reg:x3; val_offset:1038*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1038*FLEN/8, x4, x1, x2) + +inst_520: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xbf29e6067a411 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xbffbf29e6067a411; + valaddr_reg:x3; val_offset:1040*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1040*FLEN/8, x4, x1, x2) + +inst_521: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1042*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1042*FLEN/8, x4, x1, x2) + +inst_522: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x98bcc3a92c611 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb98bcc3a92c611; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1044*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1044*FLEN/8, x4, x1, x2) + +inst_523: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x98bcc3a92c611 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xffb98bcc3a92c611; + valaddr_reg:x3; val_offset:1046*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1046*FLEN/8, x4, x1, x2) + +inst_524: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1048*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1048*FLEN/8, x4, x1, x2) + +inst_525: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1050*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1050*FLEN/8, x4, x1, x2) + +inst_526: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x98bcc3a92c611 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb98bcc3a92c611; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1052*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1052*FLEN/8, x4, x1, x2) + +inst_527: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1054*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1054*FLEN/8, x4, x1, x2) + +inst_528: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x98bcc3a92c611 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb98bcc3a92c611; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1056*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1056*FLEN/8, x4, x1, x2) + +inst_529: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1058*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1058*FLEN/8, x4, x1, x2) + +inst_530: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x98bcc3a92c611 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb98bcc3a92c611; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1060*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1060*FLEN/8, x4, x1, x2) + +inst_531: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1062*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1062*FLEN/8, x4, x1, x2) + +inst_532: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x98bcc3a92c611 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb98bcc3a92c611; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1064*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1064*FLEN/8, x4, x1, x2) + +inst_533: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1066*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1066*FLEN/8, x4, x1, x2) + +inst_534: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x98bcc3a92c611 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb98bcc3a92c611; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1068*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1068*FLEN/8, x4, x1, x2) + +inst_535: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1070*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1070*FLEN/8, x4, x1, x2) + +inst_536: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x46fd69542380e and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff846fd69542380e; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1072*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1072*FLEN/8, x4, x1, x2) + +inst_537: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x46fd69542380e and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xff846fd69542380e; + valaddr_reg:x3; val_offset:1074*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1074*FLEN/8, x4, x1, x2) + +inst_538: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x46fd69542380e and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff846fd69542380e; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1076*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1076*FLEN/8, x4, x1, x2) + +inst_539: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x46fd69542380e and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xff846fd69542380e; + valaddr_reg:x3; val_offset:1078*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1078*FLEN/8, x4, x1, x2) + +inst_540: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1080*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1080*FLEN/8, x4, x1, x2) + +inst_541: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe405554eabc62 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0x7fee405554eabc62; + valaddr_reg:x3; val_offset:1082*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1082*FLEN/8, x4, x1, x2) + +inst_542: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe405554eabc62 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xce64abc9e6d7c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee405554eabc62; op2val:0x800ce64abc9e6d7c; + valaddr_reg:x3; val_offset:1084*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1084*FLEN/8, x4, x1, x2) + +inst_543: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1086*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1086*FLEN/8, x4, x1, x2) + +inst_544: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xce64abc9e6d7c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x800ce64abc9e6d7c; + valaddr_reg:x3; val_offset:1088*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1088*FLEN/8, x4, x1, x2) + +inst_545: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1090*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1090*FLEN/8, x4, x1, x2) + +inst_546: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x9cedc8f82aa65 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0x7fe9cedc8f82aa65; + valaddr_reg:x3; val_offset:1092*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1092*FLEN/8, x4, x1, x2) + +inst_547: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x9cedc8f82aa65 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xce64abc9e6d7c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe9cedc8f82aa65; op2val:0x800ce64abc9e6d7c; + valaddr_reg:x3; val_offset:1094*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1094*FLEN/8, x4, x1, x2) + +inst_548: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1096*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1096*FLEN/8, x4, x1, x2) + +inst_549: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1098*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1098*FLEN/8, x4, x1, x2) + +inst_550: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1100*FLEN/8, x4, x1, x2) + +inst_551: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xce64abc9e6d7c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x800ce64abc9e6d7c; + valaddr_reg:x3; val_offset:1102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1102*FLEN/8, x4, x1, x2) + +inst_552: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1104*FLEN/8, x4, x1, x2) + +inst_553: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1106*FLEN/8, x4, x1, x2) + +inst_554: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x14a3aac763e26 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80014a3aac763e26; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1108*FLEN/8, x4, x1, x2) + +inst_555: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x14a3aac763e26 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x80014a3aac763e26; + valaddr_reg:x3; val_offset:1110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1110*FLEN/8, x4, x1, x2) + +inst_556: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x14a3aac763e26 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80014a3aac763e26; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1112*FLEN/8, x4, x1, x2) + +inst_557: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x14a3aac763e26 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x80014a3aac763e26; + valaddr_reg:x3; val_offset:1114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1114*FLEN/8, x4, x1, x2) + +inst_558: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1116*FLEN/8, x4, x1, x2) + +inst_559: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1118*FLEN/8, x4, x1, x2) + +inst_560: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1120*FLEN/8, x4, x1, x2) + +inst_561: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xd814466949f33 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0xffed814466949f33; + valaddr_reg:x3; val_offset:1122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1122*FLEN/8, x4, x1, x2) + +inst_562: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd814466949f33 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xce64abc9e6d7c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed814466949f33; op2val:0x800ce64abc9e6d7c; + valaddr_reg:x3; val_offset:1124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1124*FLEN/8, x4, x1, x2) + +inst_563: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1126*FLEN/8, x4, x1, x2) + +inst_564: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1128*FLEN/8, x4, x1, x2) + +inst_565: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x14a3aac763e26 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80014a3aac763e26; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1130*FLEN/8, x4, x1, x2) + +inst_566: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x14a3aac763e26 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x80014a3aac763e26; + valaddr_reg:x3; val_offset:1132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1132*FLEN/8, x4, x1, x2) + +inst_567: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x14a3aac763e26 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80014a3aac763e26; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1134*FLEN/8, x4, x1, x2) + +inst_568: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1136*FLEN/8, x4, x1, x2) + +inst_569: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x6777d0b1f5332 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0xffe6777d0b1f5332; + valaddr_reg:x3; val_offset:1138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1138*FLEN/8, x4, x1, x2) + +inst_570: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x6777d0b1f5332 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xce64abc9e6d7c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe6777d0b1f5332; op2val:0x800ce64abc9e6d7c; + valaddr_reg:x3; val_offset:1140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1140*FLEN/8, x4, x1, x2) + +inst_571: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1142*FLEN/8, x4, x1, x2) + +inst_572: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1144*FLEN/8, x4, x1, x2) + +inst_573: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x14a3aac763e26 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80014a3aac763e26; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1146*FLEN/8, x4, x1, x2) + +inst_574: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1148*FLEN/8, x4, x1, x2) + +inst_575: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1150*FLEN/8, x4, x1, x2) + +inst_576: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xce64abc9e6d7c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x800ce64abc9e6d7c; + valaddr_reg:x3; val_offset:1152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1152*FLEN/8, x4, x1, x2) + +inst_577: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1154*FLEN/8, x4, x1, x2) + +inst_578: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x0; + valaddr_reg:x3; val_offset:1156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1156*FLEN/8, x4, x1, x2) + +inst_579: +// fs1 == 1 and fe1 == 0x3ff and fm1 == 0xcb3d7eda95caf and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbffcb3d7eda95caf; op2val:0x0; + valaddr_reg:x3; val_offset:1158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1158*FLEN/8, x4, x1, x2) + +inst_580: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xcb3d7eda95caf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xbffcb3d7eda95caf; + valaddr_reg:x3; val_offset:1160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1160*FLEN/8, x4, x1, x2) + +inst_581: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xcb3d7eda95caf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xbffcb3d7eda95caf; + valaddr_reg:x3; val_offset:1162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1162*FLEN/8, x4, x1, x2) + +inst_582: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1164*FLEN/8, x4, x1, x2) + +inst_583: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe1836cb3e931a8; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1166*FLEN/8, x4, x1, x2) + +inst_584: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x1836cb3e931a8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xffe1836cb3e931a8; + valaddr_reg:x3; val_offset:1168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1168*FLEN/8, x4, x1, x2) + +inst_585: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1170*FLEN/8, x4, x1, x2) + +inst_586: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1172*FLEN/8, x4, x1, x2) + +inst_587: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe1836cb3e931a8; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1174*FLEN/8, x4, x1, x2) + +inst_588: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1176*FLEN/8, x4, x1, x2) + +inst_589: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe1836cb3e931a8; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1178*FLEN/8, x4, x1, x2) + +inst_590: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1180*FLEN/8, x4, x1, x2) + +inst_591: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1182*FLEN/8, x4, x1, x2) + +inst_592: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1184*FLEN/8, x4, x1, x2) + +inst_593: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe1836cb3e931a8; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1186*FLEN/8, x4, x1, x2) + +inst_594: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1188*FLEN/8, x4, x1, x2) + +inst_595: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe1836cb3e931a8; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1190*FLEN/8, x4, x1, x2) + +inst_596: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1192*FLEN/8, x4, x1, x2) + +inst_597: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe1836cb3e931a8; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1194*FLEN/8, x4, x1, x2) + +inst_598: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1196*FLEN/8, x4, x1, x2) + +inst_599: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1198*FLEN/8, x4, x1, x2) + +inst_600: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1200*FLEN/8, x4, x1, x2) + +inst_601: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x35c5f9281c03f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0x7f835c5f9281c03f; + valaddr_reg:x3; val_offset:1202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1202*FLEN/8, x4, x1, x2) + +inst_602: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x35c5f9281c03f and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1afd6e2a800a2 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f835c5f9281c03f; op2val:0x8031afd6e2a800a2; + valaddr_reg:x3; val_offset:1204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1204*FLEN/8, x4, x1, x2) + +inst_603: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1206*FLEN/8, x4, x1, x2) + +inst_604: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1afd6e2a800a2 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x8031afd6e2a800a2; + valaddr_reg:x3; val_offset:1208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1208*FLEN/8, x4, x1, x2) + +inst_605: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1210*FLEN/8, x4, x1, x2) + +inst_606: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x0846432e2fc69 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0x7f80846432e2fc69; + valaddr_reg:x3; val_offset:1212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1212*FLEN/8, x4, x1, x2) + +inst_607: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x0846432e2fc69 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1afd6e2a800a2 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f80846432e2fc69; op2val:0x8031afd6e2a800a2; + valaddr_reg:x3; val_offset:1214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1214*FLEN/8, x4, x1, x2) + +inst_608: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1216*FLEN/8, x4, x1, x2) + +inst_609: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1218*FLEN/8, x4, x1, x2) + +inst_610: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x52f8acd0b29dc and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0x7f852f8acd0b29dc; + valaddr_reg:x3; val_offset:1220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1220*FLEN/8, x4, x1, x2) + +inst_611: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x52f8acd0b29dc and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1afd6e2a800a2 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f852f8acd0b29dc; op2val:0x8031afd6e2a800a2; + valaddr_reg:x3; val_offset:1222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1222*FLEN/8, x4, x1, x2) + +inst_612: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1224*FLEN/8, x4, x1, x2) + +inst_613: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1226*FLEN/8, x4, x1, x2) + +inst_614: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71322c1100041 and fs2 == 0 and fe2 == 0x7fa and fm2 == 0xc39a4b4fd5fa0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071322c1100041; op2val:0x7fac39a4b4fd5fa0; + valaddr_reg:x3; val_offset:1228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1228*FLEN/8, x4, x1, x2) + +inst_615: +// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xc39a4b4fd5fa0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x71322c1100041 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fac39a4b4fd5fa0; op2val:0x80071322c1100041; + valaddr_reg:x3; val_offset:1230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1230*FLEN/8, x4, x1, x2) + +inst_616: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71322c1100041 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071322c1100041; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1232*FLEN/8, x4, x1, x2) + +inst_617: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x71322c1100041 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x80071322c1100041; + valaddr_reg:x3; val_offset:1234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1234*FLEN/8, x4, x1, x2) + +inst_618: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1236*FLEN/8, x4, x1, x2) + +inst_619: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x6d9a5549e6720 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0x7f86d9a5549e6720; + valaddr_reg:x3; val_offset:1238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1238*FLEN/8, x4, x1, x2) + +inst_620: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x6d9a5549e6720 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1afd6e2a800a2 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f86d9a5549e6720; op2val:0x8031afd6e2a800a2; + valaddr_reg:x3; val_offset:1240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1240*FLEN/8, x4, x1, x2) + +inst_621: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1242*FLEN/8, x4, x1, x2) + +inst_622: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1244*FLEN/8, x4, x1, x2) + +inst_623: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x2e2174be43ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0xff82e2174be43ced; + valaddr_reg:x3; val_offset:1246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1246*FLEN/8, x4, x1, x2) + +inst_624: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x2e2174be43ced and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1afd6e2a800a2 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff82e2174be43ced; op2val:0x8031afd6e2a800a2; + valaddr_reg:x3; val_offset:1248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1248*FLEN/8, x4, x1, x2) + +inst_625: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1250*FLEN/8, x4, x1, x2) + +inst_626: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1252*FLEN/8, x4, x1, x2) + +inst_627: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71322c1100041 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0xac733dc349632 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071322c1100041; op2val:0xff9ac733dc349632; + valaddr_reg:x3; val_offset:1254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1254*FLEN/8, x4, x1, x2) + +inst_628: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0xac733dc349632 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x71322c1100041 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff9ac733dc349632; op2val:0x80071322c1100041; + valaddr_reg:x3; val_offset:1256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1256*FLEN/8, x4, x1, x2) + +inst_629: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71322c1100041 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071322c1100041; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1258*FLEN/8, x4, x1, x2) + +inst_630: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1260*FLEN/8, x4, x1, x2) + +inst_631: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 1 and fe2 == 0x7f7 and fm2 == 0xcc1e7bc510e55 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0xff7cc1e7bc510e55; + valaddr_reg:x3; val_offset:1262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1262*FLEN/8, x4, x1, x2) + +inst_632: +// fs1 == 1 and fe1 == 0x7f7 and fm1 == 0xcc1e7bc510e55 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1afd6e2a800a2 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff7cc1e7bc510e55; op2val:0x8031afd6e2a800a2; + valaddr_reg:x3; val_offset:1264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1264*FLEN/8, x4, x1, x2) + +inst_633: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1266*FLEN/8, x4, x1, x2) + +inst_634: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1268*FLEN/8, x4, x1, x2) + +inst_635: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71322c1100041 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0x1a5891123ee3f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071322c1100041; op2val:0xffa1a5891123ee3f; + valaddr_reg:x3; val_offset:1270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1270*FLEN/8, x4, x1, x2) + +inst_636: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0x1a5891123ee3f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x71322c1100041 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffa1a5891123ee3f; op2val:0x80071322c1100041; + valaddr_reg:x3; val_offset:1272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1272*FLEN/8, x4, x1, x2) + +inst_637: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71322c1100041 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071322c1100041; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1274*FLEN/8, x4, x1, x2) + +inst_638: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1276*FLEN/8, x4, x1, x2) + +inst_639: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x892ce55cd6bb0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0xff8892ce55cd6bb0; + valaddr_reg:x3; val_offset:1278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1278*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_6) + +inst_640: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x892ce55cd6bb0 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1afd6e2a800a2 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff8892ce55cd6bb0; op2val:0x8031afd6e2a800a2; + valaddr_reg:x3; val_offset:1280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1280*FLEN/8, x4, x1, x2) + +inst_641: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1282*FLEN/8, x4, x1, x2) + +inst_642: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x0; + valaddr_reg:x3; val_offset:1284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1284*FLEN/8, x4, x1, x2) + +inst_643: +// fs1 == 1 and fe1 == 0x402 and fm1 == 0x3ad6377363fb3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc023ad6377363fb3; op2val:0x0; + valaddr_reg:x3; val_offset:1286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1286*FLEN/8, x4, x1, x2) + +inst_644: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x402 and fm2 == 0x3ad6377363fb3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc023ad6377363fb3; + valaddr_reg:x3; val_offset:1288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1288*FLEN/8, x4, x1, x2) + +inst_645: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x402 and fm2 == 0x3ad6377363fb3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xc023ad6377363fb3; + valaddr_reg:x3; val_offset:1290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1290*FLEN/8, x4, x1, x2) + +inst_646: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1292*FLEN/8, x4, x1, x2) + +inst_647: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb833777722304f; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1294*FLEN/8, x4, x1, x2) + +inst_648: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x833777722304f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x7fb833777722304f; + valaddr_reg:x3; val_offset:1296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1296*FLEN/8, x4, x1, x2) + +inst_649: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1298*FLEN/8, x4, x1, x2) + +inst_650: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1300*FLEN/8, x4, x1, x2) + +inst_651: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb833777722304f; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1302*FLEN/8, x4, x1, x2) + +inst_652: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1304*FLEN/8, x4, x1, x2) + +inst_653: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb833777722304f; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1306*FLEN/8, x4, x1, x2) + +inst_654: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1308*FLEN/8, x4, x1, x2) + +inst_655: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe405554eabc62 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee405554eabc62; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1310*FLEN/8, x4, x1, x2) + +inst_656: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe405554eabc62 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x7fee405554eabc62; + valaddr_reg:x3; val_offset:1312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1312*FLEN/8, x4, x1, x2) + +inst_657: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1314*FLEN/8, x4, x1, x2) + +inst_658: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb833777722304f; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1316*FLEN/8, x4, x1, x2) + +inst_659: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1318*FLEN/8, x4, x1, x2) + +inst_660: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb833777722304f; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1320*FLEN/8, x4, x1, x2) + +inst_661: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1322*FLEN/8, x4, x1, x2) + +inst_662: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb833777722304f; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1324*FLEN/8, x4, x1, x2) + +inst_663: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1326*FLEN/8, x4, x1, x2) + +inst_664: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe405554eabc62 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee405554eabc62; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1328*FLEN/8, x4, x1, x2) + +inst_665: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1330*FLEN/8, x4, x1, x2) + +inst_666: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe405554eabc62 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee405554eabc62; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1332*FLEN/8, x4, x1, x2) + +inst_667: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1334*FLEN/8, x4, x1, x2) + +inst_668: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x35c5f9281c03f and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f835c5f9281c03f; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1336*FLEN/8, x4, x1, x2) + +inst_669: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x35c5f9281c03f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x7f835c5f9281c03f; + valaddr_reg:x3; val_offset:1338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1338*FLEN/8, x4, x1, x2) + +inst_670: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1340*FLEN/8, x4, x1, x2) + +inst_671: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1342*FLEN/8, x4, x1, x2) + +inst_672: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1344*FLEN/8, x4, x1, x2) + +inst_673: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1346*FLEN/8, x4, x1, x2) + +inst_674: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1348*FLEN/8, x4, x1, x2) + +inst_675: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x138d792d007f4 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1d0c3ce54e734 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x138d792d007f4; op2val:0x31d0c3ce54e734; + valaddr_reg:x3; val_offset:1350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1350*FLEN/8, x4, x1, x2) + +inst_676: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x138d792d007f4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0x138d792d007f4; + valaddr_reg:x3; val_offset:1352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1352*FLEN/8, x4, x1, x2) + +inst_677: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x138d792d007f4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x138d792d007f4; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1354*FLEN/8, x4, x1, x2) + +inst_678: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x138d792d007f4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x138d792d007f4; + valaddr_reg:x3; val_offset:1356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1356*FLEN/8, x4, x1, x2) + +inst_679: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1358*FLEN/8, x4, x1, x2) + +inst_680: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1360*FLEN/8, x4, x1, x2) + +inst_681: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1362*FLEN/8, x4, x1, x2) + +inst_682: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1364*FLEN/8, x4, x1, x2) + +inst_683: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1366*FLEN/8, x4, x1, x2) + +inst_684: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x138d792d007f4 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x0e6f21de6840b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x138d792d007f4; op2val:0x8020e6f21de6840b; + valaddr_reg:x3; val_offset:1368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1368*FLEN/8, x4, x1, x2) + +inst_685: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x138d792d007f4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0x138d792d007f4; + valaddr_reg:x3; val_offset:1370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1370*FLEN/8, x4, x1, x2) + +inst_686: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x138d792d007f4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x138d792d007f4; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1372*FLEN/8, x4, x1, x2) + +inst_687: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1374*FLEN/8, x4, x1, x2) + +inst_688: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1376*FLEN/8, x4, x1, x2) + +inst_689: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1378*FLEN/8, x4, x1, x2) + +inst_690: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x138d792d007f4 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x646dc31fb5314 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x138d792d007f4; op2val:0x802646dc31fb5314; + valaddr_reg:x3; val_offset:1380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1380*FLEN/8, x4, x1, x2) + +inst_691: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x138d792d007f4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0x138d792d007f4; + valaddr_reg:x3; val_offset:1382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1382*FLEN/8, x4, x1, x2) + +inst_692: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x138d792d007f4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x138d792d007f4; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1384*FLEN/8, x4, x1, x2) + +inst_693: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1386*FLEN/8, x4, x1, x2) + +inst_694: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1388*FLEN/8, x4, x1, x2) + +inst_695: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x0; + valaddr_reg:x3; val_offset:1390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1390*FLEN/8, x4, x1, x2) + +inst_696: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0xb30f7a95c7e30 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ffb30f7a95c7e30; op2val:0x0; + valaddr_reg:x3; val_offset:1392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1392*FLEN/8, x4, x1, x2) + +inst_697: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xb30f7a95c7e30 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x3ffb30f7a95c7e30; + valaddr_reg:x3; val_offset:1394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1394*FLEN/8, x4, x1, x2) + +inst_698: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xb30f7a95c7e30 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x3ffb30f7a95c7e30; + valaddr_reg:x3; val_offset:1396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1396*FLEN/8, x4, x1, x2) + +inst_699: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1398*FLEN/8, x4, x1, x2) + +inst_700: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1400*FLEN/8, x4, x1, x2) + +inst_701: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x4a57d3f9bbb84 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x7fb4a57d3f9bbb84; + valaddr_reg:x3; val_offset:1402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1402*FLEN/8, x4, x1, x2) + +inst_702: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1404*FLEN/8, x4, x1, x2) + +inst_703: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1406*FLEN/8, x4, x1, x2) + +inst_704: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1408*FLEN/8, x4, x1, x2) + +inst_705: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1410*FLEN/8, x4, x1, x2) + +inst_706: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1412*FLEN/8, x4, x1, x2) + +inst_707: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1414*FLEN/8, x4, x1, x2) + +inst_708: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x9cedc8f82aa65 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe9cedc8f82aa65; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1416*FLEN/8, x4, x1, x2) + +inst_709: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x9cedc8f82aa65 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x7fe9cedc8f82aa65; + valaddr_reg:x3; val_offset:1418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1418*FLEN/8, x4, x1, x2) + +inst_710: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1420*FLEN/8, x4, x1, x2) + +inst_711: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1422*FLEN/8, x4, x1, x2) + +inst_712: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1424*FLEN/8, x4, x1, x2) + +inst_713: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1426*FLEN/8, x4, x1, x2) + +inst_714: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1428*FLEN/8, x4, x1, x2) + +inst_715: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1430*FLEN/8, x4, x1, x2) + +inst_716: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1432*FLEN/8, x4, x1, x2) + +inst_717: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x9cedc8f82aa65 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe9cedc8f82aa65; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1434*FLEN/8, x4, x1, x2) + +inst_718: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1436*FLEN/8, x4, x1, x2) + +inst_719: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x9cedc8f82aa65 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe9cedc8f82aa65; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1438*FLEN/8, x4, x1, x2) + +inst_720: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1440*FLEN/8, x4, x1, x2) + +inst_721: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x0846432e2fc69 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f80846432e2fc69; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1442*FLEN/8, x4, x1, x2) + +inst_722: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x0846432e2fc69 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x7f80846432e2fc69; + valaddr_reg:x3; val_offset:1444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1444*FLEN/8, x4, x1, x2) + +inst_723: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1446*FLEN/8, x4, x1, x2) + +inst_724: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1448*FLEN/8, x4, x1, x2) + +inst_725: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1450*FLEN/8, x4, x1, x2) + +inst_726: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x10ae479ad094b and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1d0c3ce54e734 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10ae479ad094b; op2val:0x31d0c3ce54e734; + valaddr_reg:x3; val_offset:1452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1452*FLEN/8, x4, x1, x2) + +inst_727: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x10ae479ad094b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0x10ae479ad094b; + valaddr_reg:x3; val_offset:1454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1454*FLEN/8, x4, x1, x2) + +inst_728: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x10ae479ad094b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10ae479ad094b; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1456*FLEN/8, x4, x1, x2) + +inst_729: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x000 and fm2 == 0x10ae479ad094b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x10ae479ad094b; + valaddr_reg:x3; val_offset:1458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1458*FLEN/8, x4, x1, x2) + +inst_730: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1460*FLEN/8, x4, x1, x2) + +inst_731: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1462*FLEN/8, x4, x1, x2) + +inst_732: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1464*FLEN/8, x4, x1, x2) + +inst_733: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1466*FLEN/8, x4, x1, x2) + +inst_734: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1468*FLEN/8, x4, x1, x2) + +inst_735: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x10ae479ad094b and fs2 == 1 and fe2 == 0x002 and fm2 == 0x0e6f21de6840b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10ae479ad094b; op2val:0x8020e6f21de6840b; + valaddr_reg:x3; val_offset:1470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1470*FLEN/8, x4, x1, x2) + +inst_736: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x10ae479ad094b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0x10ae479ad094b; + valaddr_reg:x3; val_offset:1472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1472*FLEN/8, x4, x1, x2) + +inst_737: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x10ae479ad094b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10ae479ad094b; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1474*FLEN/8, x4, x1, x2) + +inst_738: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1476*FLEN/8, x4, x1, x2) + +inst_739: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1478*FLEN/8, x4, x1, x2) + +inst_740: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1480*FLEN/8, x4, x1, x2) + +inst_741: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x10ae479ad094b and fs2 == 1 and fe2 == 0x002 and fm2 == 0x646dc31fb5314 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10ae479ad094b; op2val:0x802646dc31fb5314; + valaddr_reg:x3; val_offset:1482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1482*FLEN/8, x4, x1, x2) + +inst_742: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x10ae479ad094b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0x10ae479ad094b; + valaddr_reg:x3; val_offset:1484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1484*FLEN/8, x4, x1, x2) + +inst_743: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x10ae479ad094b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10ae479ad094b; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1486*FLEN/8, x4, x1, x2) + +inst_744: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1488*FLEN/8, x4, x1, x2) + +inst_745: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1490*FLEN/8, x4, x1, x2) + +inst_746: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x0; + valaddr_reg:x3; val_offset:1492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1492*FLEN/8, x4, x1, x2) + +inst_747: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x7328e09ede5ed and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff7328e09ede5ed; op2val:0x0; + valaddr_reg:x3; val_offset:1494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1494*FLEN/8, x4, x1, x2) + +inst_748: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x7328e09ede5ed and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x3ff7328e09ede5ed; + valaddr_reg:x3; val_offset:1496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1496*FLEN/8, x4, x1, x2) + +inst_749: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x7328e09ede5ed and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x3ff7328e09ede5ed; + valaddr_reg:x3; val_offset:1498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1498*FLEN/8, x4, x1, x2) + +inst_750: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1500*FLEN/8, x4, x1, x2) + +inst_751: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1502*FLEN/8, x4, x1, x2) + +inst_752: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xa7b6d804df453 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x7fba7b6d804df453; + valaddr_reg:x3; val_offset:1504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1504*FLEN/8, x4, x1, x2) + +inst_753: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1506*FLEN/8, x4, x1, x2) + +inst_754: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1508*FLEN/8, x4, x1, x2) + +inst_755: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1510*FLEN/8, x4, x1, x2) + +inst_756: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1512*FLEN/8, x4, x1, x2) + +inst_757: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1514*FLEN/8, x4, x1, x2) + +inst_758: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1516*FLEN/8, x4, x1, x2) + +inst_759: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1518*FLEN/8, x4, x1, x2) + +inst_760: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1520*FLEN/8, x4, x1, x2) + +inst_761: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1522*FLEN/8, x4, x1, x2) + +inst_762: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1524*FLEN/8, x4, x1, x2) + +inst_763: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1526*FLEN/8, x4, x1, x2) + +inst_764: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1528*FLEN/8, x4, x1, x2) + +inst_765: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1530*FLEN/8, x4, x1, x2) + +inst_766: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1532*FLEN/8, x4, x1, x2) + +inst_767: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1534*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_7) + +inst_768: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1536*FLEN/8, x4, x1, x2) + +inst_769: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x52f8acd0b29dc and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f852f8acd0b29dc; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1538*FLEN/8, x4, x1, x2) + +inst_770: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x52f8acd0b29dc and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x7f852f8acd0b29dc; + valaddr_reg:x3; val_offset:1540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1540*FLEN/8, x4, x1, x2) + +inst_771: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1542*FLEN/8, x4, x1, x2) + +inst_772: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1565452ad8ee7 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1d0c3ce54e734 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1565452ad8ee7; op2val:0x31d0c3ce54e734; + valaddr_reg:x3; val_offset:1544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1544*FLEN/8, x4, x1, x2) + +inst_773: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1565452ad8ee7 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0x1565452ad8ee7; + valaddr_reg:x3; val_offset:1546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1546*FLEN/8, x4, x1, x2) + +inst_774: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1565452ad8ee7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1565452ad8ee7; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1548*FLEN/8, x4, x1, x2) + +inst_775: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1565452ad8ee7 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x1565452ad8ee7; + valaddr_reg:x3; val_offset:1550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1550*FLEN/8, x4, x1, x2) + +inst_776: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1552*FLEN/8, x4, x1, x2) + +inst_777: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1554*FLEN/8, x4, x1, x2) + +inst_778: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1556*FLEN/8, x4, x1, x2) + +inst_779: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1558*FLEN/8, x4, x1, x2) + +inst_780: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1560*FLEN/8, x4, x1, x2) + +inst_781: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1565452ad8ee7 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x0e6f21de6840b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1565452ad8ee7; op2val:0x8020e6f21de6840b; + valaddr_reg:x3; val_offset:1562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1562*FLEN/8, x4, x1, x2) + +inst_782: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1565452ad8ee7 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0x1565452ad8ee7; + valaddr_reg:x3; val_offset:1564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1564*FLEN/8, x4, x1, x2) + +inst_783: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1565452ad8ee7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1565452ad8ee7; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1566*FLEN/8, x4, x1, x2) + +inst_784: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1568*FLEN/8, x4, x1, x2) + +inst_785: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1570*FLEN/8, x4, x1, x2) + +inst_786: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1572*FLEN/8, x4, x1, x2) + +inst_787: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1565452ad8ee7 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x646dc31fb5314 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1565452ad8ee7; op2val:0x802646dc31fb5314; + valaddr_reg:x3; val_offset:1574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1574*FLEN/8, x4, x1, x2) + +inst_788: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1565452ad8ee7 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0x1565452ad8ee7; + valaddr_reg:x3; val_offset:1576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1576*FLEN/8, x4, x1, x2) + +inst_789: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1565452ad8ee7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1565452ad8ee7; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1578*FLEN/8, x4, x1, x2) + +inst_790: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1580*FLEN/8, x4, x1, x2) + +inst_791: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1582*FLEN/8, x4, x1, x2) + +inst_792: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x0; + valaddr_reg:x3; val_offset:1584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1584*FLEN/8, x4, x1, x2) + +inst_793: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0xdc114e9aa78bb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ffdc114e9aa78bb; op2val:0x0; + valaddr_reg:x3; val_offset:1586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1586*FLEN/8, x4, x1, x2) + +inst_794: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xdc114e9aa78bb and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x3ffdc114e9aa78bb; + valaddr_reg:x3; val_offset:1588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1588*FLEN/8, x4, x1, x2) + +inst_795: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xdc114e9aa78bb and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x3ffdc114e9aa78bb; + valaddr_reg:x3; val_offset:1590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1590*FLEN/8, x4, x1, x2) + +inst_796: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1592*FLEN/8, x4, x1, x2) + +inst_797: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1594*FLEN/8, x4, x1, x2) + +inst_798: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x1a406f11e5bc4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x7fe1a406f11e5bc4; + valaddr_reg:x3; val_offset:1596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1596*FLEN/8, x4, x1, x2) + +inst_799: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1598*FLEN/8, x4, x1, x2) + +inst_800: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1600*FLEN/8, x4, x1, x2) + +inst_801: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1602*FLEN/8, x4, x1, x2) + +inst_802: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1604*FLEN/8, x4, x1, x2) + +inst_803: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1606*FLEN/8, x4, x1, x2) + +inst_804: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1608*FLEN/8, x4, x1, x2) + +inst_805: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1610*FLEN/8, x4, x1, x2) + +inst_806: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1612*FLEN/8, x4, x1, x2) + +inst_807: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1614*FLEN/8, x4, x1, x2) + +inst_808: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1616*FLEN/8, x4, x1, x2) + +inst_809: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1618*FLEN/8, x4, x1, x2) + +inst_810: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1620*FLEN/8, x4, x1, x2) + +inst_811: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1622*FLEN/8, x4, x1, x2) + +inst_812: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1624*FLEN/8, x4, x1, x2) + +inst_813: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1626*FLEN/8, x4, x1, x2) + +inst_814: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1628*FLEN/8, x4, x1, x2) + +inst_815: +// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xc39a4b4fd5fa0 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fac39a4b4fd5fa0; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1630*FLEN/8, x4, x1, x2) + +inst_816: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x7fa and fm2 == 0xc39a4b4fd5fa0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x7fac39a4b4fd5fa0; + valaddr_reg:x3; val_offset:1632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1632*FLEN/8, x4, x1, x2) + +inst_817: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1634*FLEN/8, x4, x1, x2) + +inst_818: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1636*FLEN/8, x4, x1, x2) + +inst_819: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1d0c3ce54e734 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x31d0c3ce54e734; + valaddr_reg:x3; val_offset:1638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1638*FLEN/8, x4, x1, x2) + +inst_820: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1640*FLEN/8, x4, x1, x2) + +inst_821: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1642*FLEN/8, x4, x1, x2) + +inst_822: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1644*FLEN/8, x4, x1, x2) + +inst_823: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1646*FLEN/8, x4, x1, x2) + +inst_824: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1648*FLEN/8, x4, x1, x2) + +inst_825: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x171398510409d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0x171398510409d; + valaddr_reg:x3; val_offset:1650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1650*FLEN/8, x4, x1, x2) + +inst_826: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x171398510409d and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1d0c3ce54e734 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x171398510409d; op2val:0x31d0c3ce54e734; + valaddr_reg:x3; val_offset:1652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1652*FLEN/8, x4, x1, x2) + +inst_827: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1654*FLEN/8, x4, x1, x2) + +inst_828: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1656*FLEN/8, x4, x1, x2) + +inst_829: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1311fac939004 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0x8001311fac939004; + valaddr_reg:x3; val_offset:1658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1658*FLEN/8, x4, x1, x2) + +inst_830: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1311fac939004 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1d0c3ce54e734 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001311fac939004; op2val:0x31d0c3ce54e734; + valaddr_reg:x3; val_offset:1660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1660*FLEN/8, x4, x1, x2) + +inst_831: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1662*FLEN/8, x4, x1, x2) + +inst_832: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1664*FLEN/8, x4, x1, x2) + +inst_833: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1666*FLEN/8, x4, x1, x2) + +inst_834: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1668*FLEN/8, x4, x1, x2) + +inst_835: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0e856af141964 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0x8000e856af141964; + valaddr_reg:x3; val_offset:1670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1670*FLEN/8, x4, x1, x2) + +inst_836: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0e856af141964 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1d0c3ce54e734 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000e856af141964; op2val:0x31d0c3ce54e734; + valaddr_reg:x3; val_offset:1672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1672*FLEN/8, x4, x1, x2) + +inst_837: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1674*FLEN/8, x4, x1, x2) + +inst_838: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1676*FLEN/8, x4, x1, x2) + +inst_839: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1678*FLEN/8, x4, x1, x2) + +inst_840: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1680*FLEN/8, x4, x1, x2) + +inst_841: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x18d1201fedb6b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0x80018d1201fedb6b; + valaddr_reg:x3; val_offset:1682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1682*FLEN/8, x4, x1, x2) + +inst_842: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x18d1201fedb6b and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1d0c3ce54e734 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80018d1201fedb6b; op2val:0x31d0c3ce54e734; + valaddr_reg:x3; val_offset:1684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1684*FLEN/8, x4, x1, x2) + +inst_843: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1686*FLEN/8, x4, x1, x2) + +inst_844: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x0; + valaddr_reg:x3; val_offset:1688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1688*FLEN/8, x4, x1, x2) + +inst_845: +// fs1 == 0 and fe1 == 0x402 and fm1 == 0x3d204f37ca317 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x4023d204f37ca317; op2val:0x0; + valaddr_reg:x3; val_offset:1690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1690*FLEN/8, x4, x1, x2) + +inst_846: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x402 and fm2 == 0x3d204f37ca317 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x4023d204f37ca317; + valaddr_reg:x3; val_offset:1692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1692*FLEN/8, x4, x1, x2) + +inst_847: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x402 and fm2 == 0x3d204f37ca317 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x4023d204f37ca317; + valaddr_reg:x3; val_offset:1694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1694*FLEN/8, x4, x1, x2) + +inst_848: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1696*FLEN/8, x4, x1, x2) + +inst_849: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1698*FLEN/8, x4, x1, x2) + +inst_850: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xc900ea9c600e8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x7fbc900ea9c600e8; + valaddr_reg:x3; val_offset:1700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1700*FLEN/8, x4, x1, x2) + +inst_851: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1702*FLEN/8, x4, x1, x2) + +inst_852: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1704*FLEN/8, x4, x1, x2) + +inst_853: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1706*FLEN/8, x4, x1, x2) + +inst_854: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1708*FLEN/8, x4, x1, x2) + +inst_855: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1710*FLEN/8, x4, x1, x2) + +inst_856: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1712*FLEN/8, x4, x1, x2) + +inst_857: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1714*FLEN/8, x4, x1, x2) + +inst_858: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1716*FLEN/8, x4, x1, x2) + +inst_859: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1718*FLEN/8, x4, x1, x2) + +inst_860: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1720*FLEN/8, x4, x1, x2) + +inst_861: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1722*FLEN/8, x4, x1, x2) + +inst_862: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1724*FLEN/8, x4, x1, x2) + +inst_863: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1726*FLEN/8, x4, x1, x2) + +inst_864: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1728*FLEN/8, x4, x1, x2) + +inst_865: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1730*FLEN/8, x4, x1, x2) + +inst_866: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1732*FLEN/8, x4, x1, x2) + +inst_867: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x6d9a5549e6720 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f86d9a5549e6720; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1734*FLEN/8, x4, x1, x2) + +inst_868: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x6d9a5549e6720 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x7f86d9a5549e6720; + valaddr_reg:x3; val_offset:1736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1736*FLEN/8, x4, x1, x2) + +inst_869: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1738*FLEN/8, x4, x1, x2) + +inst_870: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x171398510409d and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x171398510409d; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1740*FLEN/8, x4, x1, x2) + +inst_871: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x171398510409d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x171398510409d; + valaddr_reg:x3; val_offset:1742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1742*FLEN/8, x4, x1, x2) + +inst_872: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1744*FLEN/8, x4, x1, x2) + +inst_873: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1746*FLEN/8, x4, x1, x2) + +inst_874: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1748*FLEN/8, x4, x1, x2) + +inst_875: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x171398510409d and fs2 == 1 and fe2 == 0x002 and fm2 == 0x0e6f21de6840b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x171398510409d; op2val:0x8020e6f21de6840b; + valaddr_reg:x3; val_offset:1750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1750*FLEN/8, x4, x1, x2) + +inst_876: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x171398510409d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0x171398510409d; + valaddr_reg:x3; val_offset:1752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1752*FLEN/8, x4, x1, x2) + +inst_877: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x171398510409d and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x171398510409d; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1754*FLEN/8, x4, x1, x2) + +inst_878: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1756*FLEN/8, x4, x1, x2) + +inst_879: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1758*FLEN/8, x4, x1, x2) + +inst_880: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1760*FLEN/8, x4, x1, x2) + +inst_881: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x171398510409d and fs2 == 1 and fe2 == 0x002 and fm2 == 0x646dc31fb5314 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x171398510409d; op2val:0x802646dc31fb5314; + valaddr_reg:x3; val_offset:1762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1762*FLEN/8, x4, x1, x2) + +inst_882: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x171398510409d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0x171398510409d; + valaddr_reg:x3; val_offset:1764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1764*FLEN/8, x4, x1, x2) + +inst_883: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x171398510409d and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x171398510409d; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1766*FLEN/8, x4, x1, x2) + +inst_884: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1768*FLEN/8, x4, x1, x2) + +inst_885: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1770*FLEN/8, x4, x1, x2) + +inst_886: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x0; + valaddr_reg:x3; val_offset:1772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1772*FLEN/8, x4, x1, x2) + +inst_887: +// fs1 == 0 and fe1 == 0x400 and fm1 == 0x00bc2d04a6fc5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x40000bc2d04a6fc5; op2val:0x0; + valaddr_reg:x3; val_offset:1774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1774*FLEN/8, x4, x1, x2) + +inst_888: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x400 and fm2 == 0x00bc2d04a6fc5 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x40000bc2d04a6fc5; + valaddr_reg:x3; val_offset:1776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1776*FLEN/8, x4, x1, x2) + +inst_889: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x400 and fm2 == 0x00bc2d04a6fc5 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x40000bc2d04a6fc5; + valaddr_reg:x3; val_offset:1778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1778*FLEN/8, x4, x1, x2) + +inst_890: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1780*FLEN/8, x4, x1, x2) + +inst_891: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1782*FLEN/8, x4, x1, x2) + +inst_892: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x79a9d1edd4c29 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xffb79a9d1edd4c29; + valaddr_reg:x3; val_offset:1784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1784*FLEN/8, x4, x1, x2) + +inst_893: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1786*FLEN/8, x4, x1, x2) + +inst_894: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1788*FLEN/8, x4, x1, x2) + +inst_895: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1790*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_8) + +inst_896: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1792*FLEN/8, x4, x1, x2) + +inst_897: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1794*FLEN/8, x4, x1, x2) + +inst_898: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1796*FLEN/8, x4, x1, x2) + +inst_899: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd814466949f33 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed814466949f33; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1798*FLEN/8, x4, x1, x2) + +inst_900: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xd814466949f33 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xffed814466949f33; + valaddr_reg:x3; val_offset:1800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1800*FLEN/8, x4, x1, x2) + +inst_901: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1802*FLEN/8, x4, x1, x2) + +inst_902: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1804*FLEN/8, x4, x1, x2) + +inst_903: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1806*FLEN/8, x4, x1, x2) + +inst_904: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1808*FLEN/8, x4, x1, x2) + +inst_905: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1810*FLEN/8, x4, x1, x2) + +inst_906: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1812*FLEN/8, x4, x1, x2) + +inst_907: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1814*FLEN/8, x4, x1, x2) + +inst_908: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd814466949f33 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed814466949f33; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1816*FLEN/8, x4, x1, x2) + +inst_909: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1818*FLEN/8, x4, x1, x2) + +inst_910: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd814466949f33 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed814466949f33; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1820*FLEN/8, x4, x1, x2) + +inst_911: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1822*FLEN/8, x4, x1, x2) + +inst_912: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x2e2174be43ced and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff82e2174be43ced; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1824*FLEN/8, x4, x1, x2) + +inst_913: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x2e2174be43ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xff82e2174be43ced; + valaddr_reg:x3; val_offset:1826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1826*FLEN/8, x4, x1, x2) + +inst_914: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1828*FLEN/8, x4, x1, x2) + +inst_915: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1311fac939004 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001311fac939004; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1830*FLEN/8, x4, x1, x2) + +inst_916: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1311fac939004 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x8001311fac939004; + valaddr_reg:x3; val_offset:1832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1832*FLEN/8, x4, x1, x2) + +inst_917: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1834*FLEN/8, x4, x1, x2) + +inst_918: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1311fac939004 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x0e6f21de6840b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001311fac939004; op2val:0x8020e6f21de6840b; + valaddr_reg:x3; val_offset:1836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1836*FLEN/8, x4, x1, x2) + +inst_919: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1311fac939004 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0x8001311fac939004; + valaddr_reg:x3; val_offset:1838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1838*FLEN/8, x4, x1, x2) + +inst_920: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1311fac939004 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001311fac939004; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1840*FLEN/8, x4, x1, x2) + +inst_921: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1842*FLEN/8, x4, x1, x2) + +inst_922: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1844*FLEN/8, x4, x1, x2) + +inst_923: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1846*FLEN/8, x4, x1, x2) + +inst_924: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1311fac939004 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x646dc31fb5314 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001311fac939004; op2val:0x802646dc31fb5314; + valaddr_reg:x3; val_offset:1848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1848*FLEN/8, x4, x1, x2) + +inst_925: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1311fac939004 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0x8001311fac939004; + valaddr_reg:x3; val_offset:1850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1850*FLEN/8, x4, x1, x2) + +inst_926: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1311fac939004 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001311fac939004; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1852*FLEN/8, x4, x1, x2) + +inst_927: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1854*FLEN/8, x4, x1, x2) + +inst_928: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1856*FLEN/8, x4, x1, x2) + +inst_929: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x0; + valaddr_reg:x3; val_offset:1858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1858*FLEN/8, x4, x1, x2) + +inst_930: +// fs1 == 1 and fe1 == 0x3ff and fm1 == 0xa853a7101cfb4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbffa853a7101cfb4; op2val:0x0; + valaddr_reg:x3; val_offset:1860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1860*FLEN/8, x4, x1, x2) + +inst_931: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xa853a7101cfb4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xbffa853a7101cfb4; + valaddr_reg:x3; val_offset:1862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1862*FLEN/8, x4, x1, x2) + +inst_932: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xa853a7101cfb4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xbffa853a7101cfb4; + valaddr_reg:x3; val_offset:1864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1864*FLEN/8, x4, x1, x2) + +inst_933: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1866*FLEN/8, x4, x1, x2) + +inst_934: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1868*FLEN/8, x4, x1, x2) + +inst_935: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0bc8069a0dddf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xffd0bc8069a0dddf; + valaddr_reg:x3; val_offset:1870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1870*FLEN/8, x4, x1, x2) + +inst_936: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1872*FLEN/8, x4, x1, x2) + +inst_937: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1874*FLEN/8, x4, x1, x2) + +inst_938: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1876*FLEN/8, x4, x1, x2) + +inst_939: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1878*FLEN/8, x4, x1, x2) + +inst_940: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1880*FLEN/8, x4, x1, x2) + +inst_941: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1882*FLEN/8, x4, x1, x2) + +inst_942: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1884*FLEN/8, x4, x1, x2) + +inst_943: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1886*FLEN/8, x4, x1, x2) + +inst_944: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1888*FLEN/8, x4, x1, x2) + +inst_945: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1890*FLEN/8, x4, x1, x2) + +inst_946: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1892*FLEN/8, x4, x1, x2) + +inst_947: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1894*FLEN/8, x4, x1, x2) + +inst_948: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1896*FLEN/8, x4, x1, x2) + +inst_949: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1898*FLEN/8, x4, x1, x2) + +inst_950: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1900*FLEN/8, x4, x1, x2) + +inst_951: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1902*FLEN/8, x4, x1, x2) + +inst_952: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0xac733dc349632 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff9ac733dc349632; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1904*FLEN/8, x4, x1, x2) + +inst_953: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0xac733dc349632 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xff9ac733dc349632; + valaddr_reg:x3; val_offset:1906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1906*FLEN/8, x4, x1, x2) + +inst_954: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1908*FLEN/8, x4, x1, x2) + +inst_955: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1910*FLEN/8, x4, x1, x2) + +inst_956: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x002 and fm2 == 0x0e6f21de6840b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x8020e6f21de6840b; + valaddr_reg:x3; val_offset:1912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1912*FLEN/8, x4, x1, x2) + +inst_957: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1914*FLEN/8, x4, x1, x2) + +inst_958: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1916*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1916*FLEN/8, x4, x1, x2) + +inst_959: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1918*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1918*FLEN/8, x4, x1, x2) + +inst_960: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1920*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1920*FLEN/8, x4, x1, x2) + +inst_961: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1922*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1922*FLEN/8, x4, x1, x2) + +inst_962: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1924*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1924*FLEN/8, x4, x1, x2) + +inst_963: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1926*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1926*FLEN/8, x4, x1, x2) + +inst_964: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1928*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1928*FLEN/8, x4, x1, x2) + +inst_965: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1930*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1930*FLEN/8, x4, x1, x2) + +inst_966: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0e856af141964 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0x8000e856af141964; + valaddr_reg:x3; val_offset:1932*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1932*FLEN/8, x4, x1, x2) + +inst_967: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0e856af141964 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x0e6f21de6840b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000e856af141964; op2val:0x8020e6f21de6840b; + valaddr_reg:x3; val_offset:1934*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1934*FLEN/8, x4, x1, x2) + +inst_968: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1936*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1936*FLEN/8, x4, x1, x2) + +inst_969: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1938*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1938*FLEN/8, x4, x1, x2) + +inst_970: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1940*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1940*FLEN/8, x4, x1, x2) + +inst_971: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1942*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1942*FLEN/8, x4, x1, x2) + +inst_972: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x18d1201fedb6b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0x80018d1201fedb6b; + valaddr_reg:x3; val_offset:1944*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1944*FLEN/8, x4, x1, x2) + +inst_973: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x18d1201fedb6b and fs2 == 1 and fe2 == 0x002 and fm2 == 0x0e6f21de6840b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80018d1201fedb6b; op2val:0x8020e6f21de6840b; + valaddr_reg:x3; val_offset:1946*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1946*FLEN/8, x4, x1, x2) + +inst_974: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1948*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1948*FLEN/8, x4, x1, x2) + +inst_975: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x0; + valaddr_reg:x3; val_offset:1950*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1950*FLEN/8, x4, x1, x2) + +inst_976: +// fs1 == 1 and fe1 == 0x401 and fm1 == 0x2cde30fb81e08 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc012cde30fb81e08; op2val:0x0; + valaddr_reg:x3; val_offset:1952*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1952*FLEN/8, x4, x1, x2) + +inst_977: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x401 and fm2 == 0x2cde30fb81e08 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc012cde30fb81e08; + valaddr_reg:x3; val_offset:1954*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1954*FLEN/8, x4, x1, x2) + +inst_978: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x401 and fm2 == 0x2cde30fb81e08 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xc012cde30fb81e08; + valaddr_reg:x3; val_offset:1956*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1956*FLEN/8, x4, x1, x2) + +inst_979: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1958*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1958*FLEN/8, x4, x1, x2) + +inst_980: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1960*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1960*FLEN/8, x4, x1, x2) + +inst_981: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x1f930d5b2a8f5 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xffb1f930d5b2a8f5; + valaddr_reg:x3; val_offset:1962*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1962*FLEN/8, x4, x1, x2) + +inst_982: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1964*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1964*FLEN/8, x4, x1, x2) + +inst_983: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1966*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1966*FLEN/8, x4, x1, x2) + +inst_984: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1968*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1968*FLEN/8, x4, x1, x2) + +inst_985: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1970*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1970*FLEN/8, x4, x1, x2) + +inst_986: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1972*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1972*FLEN/8, x4, x1, x2) + +inst_987: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1974*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1974*FLEN/8, x4, x1, x2) + +inst_988: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x6777d0b1f5332 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe6777d0b1f5332; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1976*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1976*FLEN/8, x4, x1, x2) + +inst_989: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x6777d0b1f5332 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xffe6777d0b1f5332; + valaddr_reg:x3; val_offset:1978*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1978*FLEN/8, x4, x1, x2) + +inst_990: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1980*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1980*FLEN/8, x4, x1, x2) + +inst_991: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1982*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1982*FLEN/8, x4, x1, x2) + +inst_992: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1984*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1984*FLEN/8, x4, x1, x2) + +inst_993: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1986*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1986*FLEN/8, x4, x1, x2) + +inst_994: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1988*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1988*FLEN/8, x4, x1, x2) + +inst_995: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1990*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1990*FLEN/8, x4, x1, x2) + +inst_996: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1992*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1992*FLEN/8, x4, x1, x2) + +inst_997: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x6777d0b1f5332 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe6777d0b1f5332; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1994*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1994*FLEN/8, x4, x1, x2) + +inst_998: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1996*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1996*FLEN/8, x4, x1, x2) + +inst_999: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x6777d0b1f5332 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe6777d0b1f5332; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1998*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1998*FLEN/8, x4, x1, x2) + +inst_1000: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:2000*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2000*FLEN/8, x4, x1, x2) + +inst_1001: +// fs1 == 1 and fe1 == 0x7f7 and fm1 == 0xcc1e7bc510e55 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff7cc1e7bc510e55; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:2002*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2002*FLEN/8, x4, x1, x2) + +inst_1002: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x7f7 and fm2 == 0xcc1e7bc510e55 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xff7cc1e7bc510e55; + valaddr_reg:x3; val_offset:2004*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2004*FLEN/8, x4, x1, x2) + +inst_1003: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:2006*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2006*FLEN/8, x4, x1, x2) + +inst_1004: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0e856af141964 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000e856af141964; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:2008*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2008*FLEN/8, x4, x1, x2) + +inst_1005: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0e856af141964 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x8000e856af141964; + valaddr_reg:x3; val_offset:2010*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2010*FLEN/8, x4, x1, x2) + +inst_1006: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:2012*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2012*FLEN/8, x4, x1, x2) + +inst_1007: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0e856af141964 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000e856af141964; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:2014*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2014*FLEN/8, x4, x1, x2) + +inst_1008: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:2016*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2016*FLEN/8, x4, x1, x2) + +inst_1009: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0e856af141964 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x646dc31fb5314 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000e856af141964; op2val:0x802646dc31fb5314; + valaddr_reg:x3; val_offset:2018*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2018*FLEN/8, x4, x1, x2) + +inst_1010: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0e856af141964 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0x8000e856af141964; + valaddr_reg:x3; val_offset:2020*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2020*FLEN/8, x4, x1, x2) + +inst_1011: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0e856af141964 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000e856af141964; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:2022*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2022*FLEN/8, x4, x1, x2) + +inst_1012: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:2024*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2024*FLEN/8, x4, x1, x2) + +inst_1013: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:2026*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2026*FLEN/8, x4, x1, x2) + +inst_1014: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x0; + valaddr_reg:x3; val_offset:2028*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2028*FLEN/8, x4, x1, x2) + +inst_1015: +// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x431b4a598252a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbff431b4a598252a; op2val:0x0; + valaddr_reg:x3; val_offset:2030*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2030*FLEN/8, x4, x1, x2) + +inst_1016: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x431b4a598252a and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xbff431b4a598252a; + valaddr_reg:x3; val_offset:2032*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2032*FLEN/8, x4, x1, x2) + +inst_1017: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x431b4a598252a and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xbff431b4a598252a; + valaddr_reg:x3; val_offset:2034*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2034*FLEN/8, x4, x1, x2) + +inst_1018: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:2036*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2036*FLEN/8, x4, x1, x2) + +inst_1019: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:2038*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2038*FLEN/8, x4, x1, x2) + +inst_1020: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x60eeb556ce9ce and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xffd60eeb556ce9ce; + valaddr_reg:x3; val_offset:2040*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2040*FLEN/8, x4, x1, x2) + +inst_1021: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:2042*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2042*FLEN/8, x4, x1, x2) + +inst_1022: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:2044*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2044*FLEN/8, x4, x1, x2) + +inst_1023: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:2046*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2046*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_9) + +inst_1024: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:2048*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2048*FLEN/8, x4, x1, x2) + +inst_1025: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:2050*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2050*FLEN/8, x4, x1, x2) + +inst_1026: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:2052*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2052*FLEN/8, x4, x1, x2) + +inst_1027: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:2054*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2054*FLEN/8, x4, x1, x2) + +inst_1028: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:2056*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2056*FLEN/8, x4, x1, x2) + +inst_1029: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:2058*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2058*FLEN/8, x4, x1, x2) + +inst_1030: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:2060*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2060*FLEN/8, x4, x1, x2) + +inst_1031: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:2062*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2062*FLEN/8, x4, x1, x2) + +inst_1032: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:2064*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2064*FLEN/8, x4, x1, x2) + +inst_1033: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:2066*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2066*FLEN/8, x4, x1, x2) + +inst_1034: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:2068*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2068*FLEN/8, x4, x1, x2) + +inst_1035: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:2070*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2070*FLEN/8, x4, x1, x2) + +inst_1036: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:2072*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2072*FLEN/8, x4, x1, x2) + +inst_1037: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0x1a5891123ee3f and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffa1a5891123ee3f; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:2074*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2074*FLEN/8, x4, x1, x2) + +inst_1038: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0x1a5891123ee3f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xffa1a5891123ee3f; + valaddr_reg:x3; val_offset:2076*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2076*FLEN/8, x4, x1, x2) + +inst_1039: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:2078*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2078*FLEN/8, x4, x1, x2) + +inst_1040: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:2080*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2080*FLEN/8, x4, x1, x2) + +inst_1041: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x646dc31fb5314 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x802646dc31fb5314; + valaddr_reg:x3; val_offset:2082*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2082*FLEN/8, x4, x1, x2) + +inst_1042: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:2084*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2084*FLEN/8, x4, x1, x2) + +inst_1043: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:2086*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2086*FLEN/8, x4, x1, x2) + +inst_1044: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:2088*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2088*FLEN/8, x4, x1, x2) + +inst_1045: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:2090*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2090*FLEN/8, x4, x1, x2) + +inst_1046: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:2092*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2092*FLEN/8, x4, x1, x2) + +inst_1047: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:2094*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2094*FLEN/8, x4, x1, x2) + +inst_1048: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:2096*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2096*FLEN/8, x4, x1, x2) + +inst_1049: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:2098*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2098*FLEN/8, x4, x1, x2) + +inst_1050: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:2100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2100*FLEN/8, x4, x1, x2) + +inst_1051: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:2102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2102*FLEN/8, x4, x1, x2) + +inst_1052: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:2104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2104*FLEN/8, x4, x1, x2) + +inst_1053: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x18d1201fedb6b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0x80018d1201fedb6b; + valaddr_reg:x3; val_offset:2106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2106*FLEN/8, x4, x1, x2) + +inst_1054: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x18d1201fedb6b and fs2 == 1 and fe2 == 0x002 and fm2 == 0x646dc31fb5314 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80018d1201fedb6b; op2val:0x802646dc31fb5314; + valaddr_reg:x3; val_offset:2108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2108*FLEN/8, x4, x1, x2) + +inst_1055: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:2110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2110*FLEN/8, x4, x1, x2) + +inst_1056: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x0; + valaddr_reg:x3; val_offset:2112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2112*FLEN/8, x4, x1, x2) + +inst_1057: +// fs1 == 1 and fe1 == 0x401 and fm1 == 0x8c8a47b3dd237 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc018c8a47b3dd237; op2val:0x0; + valaddr_reg:x3; val_offset:2114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2114*FLEN/8, x4, x1, x2) + +inst_1058: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x401 and fm2 == 0x8c8a47b3dd237 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc018c8a47b3dd237; + valaddr_reg:x3; val_offset:2116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2116*FLEN/8, x4, x1, x2) + +inst_1059: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x401 and fm2 == 0x8c8a47b3dd237 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xc018c8a47b3dd237; + valaddr_reg:x3; val_offset:2118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2118*FLEN/8, x4, x1, x2) + +inst_1060: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:2120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2120*FLEN/8, x4, x1, x2) + +inst_1061: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:2122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2122*FLEN/8, x4, x1, x2) + +inst_1062: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xeb781eb40c69d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xffbeb781eb40c69d; + valaddr_reg:x3; val_offset:2124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2124*FLEN/8, x4, x1, x2) + +inst_1063: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:2126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2126*FLEN/8, x4, x1, x2) + +inst_1064: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:2128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2128*FLEN/8, x4, x1, x2) + +inst_1065: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:2130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2130*FLEN/8, x4, x1, x2) + +inst_1066: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:2132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2132*FLEN/8, x4, x1, x2) + +inst_1067: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:2134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2134*FLEN/8, x4, x1, x2) + +inst_1068: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:2136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2136*FLEN/8, x4, x1, x2) + +inst_1069: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:2138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2138*FLEN/8, x4, x1, x2) + +inst_1070: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:2140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2140*FLEN/8, x4, x1, x2) + +inst_1071: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:2142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2142*FLEN/8, x4, x1, x2) + +inst_1072: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:2144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2144*FLEN/8, x4, x1, x2) + +inst_1073: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:2146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2146*FLEN/8, x4, x1, x2) + +inst_1074: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:2148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2148*FLEN/8, x4, x1, x2) + +inst_1075: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:2150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2150*FLEN/8, x4, x1, x2) + +inst_1076: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:2152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2152*FLEN/8, x4, x1, x2) + +inst_1077: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:2154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2154*FLEN/8, x4, x1, x2) + +inst_1078: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:2156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2156*FLEN/8, x4, x1, x2) + +inst_1079: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x892ce55cd6bb0 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff8892ce55cd6bb0; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:2158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2158*FLEN/8, x4, x1, x2) + +inst_1080: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x892ce55cd6bb0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xff8892ce55cd6bb0; + valaddr_reg:x3; val_offset:2160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2160*FLEN/8, x4, x1, x2) + +inst_1081: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:2162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2162*FLEN/8, x4, x1, x2) + +inst_1082: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x18d1201fedb6b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80018d1201fedb6b; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:2164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2164*FLEN/8, x4, x1, x2) + +inst_1083: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x18d1201fedb6b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x80018d1201fedb6b; + valaddr_reg:x3; val_offset:2166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2166*FLEN/8, x4, x1, x2) + +inst_1084: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:2168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2168*FLEN/8, x4, x1, x2) + +inst_1085: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x18d1201fedb6b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80018d1201fedb6b; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:2170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2170*FLEN/8, x4, x1, x2) + +inst_1086: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:2172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2172*FLEN/8, x4, x1, x2) + +inst_1087: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x18d1201fedb6b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80018d1201fedb6b; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:2174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2174*FLEN/8, x4, x1, x2) + +inst_1088: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x0; + valaddr_reg:x3; val_offset:2176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2176*FLEN/8, x4, x1, x2) + +inst_1089: +// fs1 == 1 and fe1 == 0x400 and fm1 == 0x1418de01443c7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc001418de01443c7; op2val:0x0; + valaddr_reg:x3; val_offset:2178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2178*FLEN/8, x4, x1, x2) + +inst_1090: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x400 and fm2 == 0x1418de01443c7 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc001418de01443c7; + valaddr_reg:x3; val_offset:2180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2180*FLEN/8, x4, x1, x2) + +inst_1091: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x400 and fm2 == 0x1418de01443c7 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xc001418de01443c7; + valaddr_reg:x3; val_offset:2182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2182*FLEN/8, x4, x1, x2) + +inst_1092: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:2184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2184*FLEN/8, x4, x1, x2) + +inst_1093: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:2186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2186*FLEN/8, x4, x1, x2) + +inst_1094: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:2188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2188*FLEN/8, x4, x1, x2) + +inst_1095: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:2190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2190*FLEN/8, x4, x1, x2) + +inst_1096: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:2192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2192*FLEN/8, x4, x1, x2) + +inst_1097: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:2194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2194*FLEN/8, x4, x1, x2) + +inst_1098: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:2196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2196*FLEN/8, x4, x1, x2) + +inst_1099: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:2198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2198*FLEN/8, x4, x1, x2) + +inst_1100: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:2200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2200*FLEN/8, x4, x1, x2) + +inst_1101: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:2202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2202*FLEN/8, x4, x1, x2) + +inst_1102: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:2204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2204*FLEN/8, x4, x1, x2) + +inst_1103: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:2206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2206*FLEN/8, x4, x1, x2) + +inst_1104: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:2208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2208*FLEN/8, x4, x1, x2) + +inst_1105: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:2210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2210*FLEN/8, x4, x1, x2) + +inst_1106: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:2212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2212*FLEN/8, x4, x1, x2) + +inst_1107: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:2214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2214*FLEN/8, x4, x1, x2) + +inst_1108: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:2216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2216*FLEN/8, x4, x1, x2) + +inst_1109: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:2218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2218*FLEN/8, x4, x1, x2) + +inst_1110: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:2220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2220*FLEN/8, x4, x1, x2) + +inst_1111: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:2222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2222*FLEN/8, x4, x1, x2) + +inst_1112: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:2224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2224*FLEN/8, x4, x1, x2) + +inst_1113: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:2226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2226*FLEN/8, x4, x1, x2) + +inst_1114: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:2228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2228*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9190585211532812907,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(9190585211532812907,64,FLEN) +NAN_BOXED(9190585211532812907,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9190585211532812907,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(488893897491188,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(488893897491188,64,FLEN) +NAN_BOXED(488893897491188,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(488893897491188,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(488893897491188,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(488893897491188,64,FLEN) +NAN_BOXED(488893897491188,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(488893897491188,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(488893897491188,64,FLEN) +NAN_BOXED(488893897491188,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4612621543954474117,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4612621543954474117,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(4612621543954474117,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9198986811538745645,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(9198986811538745645,64,FLEN) +NAN_BOXED(9198986811538745645,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9198986811538745645,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(1802675944648207,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(1802675944648207,64,FLEN) +NAN_BOXED(1802675944648207,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(1802675944648207,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(1802675944648207,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(1802675944648207,64,FLEN) +NAN_BOXED(1802675944648207,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(1802675944648207,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(1802675944648207,64,FLEN) +NAN_BOXED(1802675944648207,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621203476954504026,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621203476954504026,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(4621203476954504026,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9218315357973096179,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(9218315357973096179,64,FLEN) +NAN_BOXED(9218315357973096179,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9218315357973096179,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9218315357973096179,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(9218315357973096179,64,FLEN) +NAN_BOXED(9218315357973096179,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9218315357973096179,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(9218315357973096179,64,FLEN) +NAN_BOXED(9218315357973096179,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9188250277008717734,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(9188250277008717734,64,FLEN) +NAN_BOXED(9188250277008717734,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9188250277008717734,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(341514809226935,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(341514809226935,64,FLEN) +NAN_BOXED(341514809226935,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(341514809226935,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(341514809226935,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(341514809226935,64,FLEN) +NAN_BOXED(341514809226935,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(341514809226935,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(341514809226935,64,FLEN) +NAN_BOXED(341514809226935,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610277775915686188,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610277775915686188,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(4610277775915686188,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9187124531577063265,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9187124531577063265,64,FLEN) +NAN_BOXED(9187124531577063265,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9187124531577063265,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(9218376222515051618,64,FLEN) +NAN_BOXED(9218376222515051618,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(9217125559086262885,64,FLEN) +NAN_BOXED(9217125559086262885,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(277361051635888,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(277361051635888,64,FLEN) +NAN_BOXED(277361051635888,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(277361051635888,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(18441538179930824499,64,FLEN) +NAN_BOXED(18441538179930824499,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(277361051635888,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(277361051635888,64,FLEN) +NAN_BOXED(277361051635888,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(18439557103256294194,64,FLEN) +NAN_BOXED(18439557103256294194,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(277361051635888,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4608850307426277711,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4608850307426277711,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(4608850307426277711,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9193936861944420207,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(9193936861944420207,64,FLEN) +NAN_BOXED(9193936861944420207,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9193936861944420207,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(832367678577450,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(832367678577450,64,FLEN) +NAN_BOXED(832367678577450,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(832367678577450,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(832367678577450,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(832367678577450,64,FLEN) +NAN_BOXED(832367678577450,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(832367678577450,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(832367678577450,64,FLEN) +NAN_BOXED(832367678577450,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4616316217333060423,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4616316217333060423,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(4616316217333060423,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18415006523341345638,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(18415006523341345638,64,FLEN) +NAN_BOXED(18415006523341345638,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18415006523341345638,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9223927160098069803,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9223927160098069803,64,FLEN) +NAN_BOXED(9223927160098069803,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9223927160098069803,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9223927160098069803,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(9223927160098069803,64,FLEN) +NAN_BOXED(9223927160098069803,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9223927160098069803,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(9223927160098069803,64,FLEN) +NAN_BOXED(9223927160098069803,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13836730406739309220,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13836730406739309220,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(13836730406739309220,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18423152546191499158,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(18423152546191499158,64,FLEN) +NAN_BOXED(18423152546191499158,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18423152546191499158,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9225375102928768013,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9225375102928768013,64,FLEN) +NAN_BOXED(9225375102928768013,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9225375102928768013,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9225375102928768013,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(9225375102928768013,64,FLEN) +NAN_BOXED(9225375102928768013,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9225375102928768013,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(9225375102928768013,64,FLEN) +NAN_BOXED(9225375102928768013,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13845132867357162591,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13845132867357162591,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(13845132867357162591,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18411812872509833592,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18411812872509833592,64,FLEN) +NAN_BOXED(18411812872509833592,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18411812872509833592,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9218376222515051618,64,FLEN) +NAN_BOXED(9218376222515051618,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9217125559086262885,64,FLEN) +NAN_BOXED(9217125559086262885,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9223725579564258041,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223725579564258041,64,FLEN) +NAN_BOXED(9223725579564258041,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9223725579564258041,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(18441538179930824499,64,FLEN) +NAN_BOXED(18441538179930824499,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9223725579564258041,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223725579564258041,64,FLEN) +NAN_BOXED(9223725579564258041,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(18439557103256294194,64,FLEN) +NAN_BOXED(18439557103256294194,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9223725579564258041,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833917442434769937,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833917442434769937,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(13833917442434769937,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18411964144015259662,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18411964144015259662,64,FLEN) +NAN_BOXED(18411964144015259662,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18411964144015259662,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9218376222515051618,64,FLEN) +NAN_BOXED(9218376222515051618,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9217125559086262885,64,FLEN) +NAN_BOXED(9217125559086262885,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9223735127693475366,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223735127693475366,64,FLEN) +NAN_BOXED(9223735127693475366,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9223735127693475366,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(18441538179930824499,64,FLEN) +NAN_BOXED(18441538179930824499,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9223735127693475366,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223735127693475366,64,FLEN) +NAN_BOXED(9223735127693475366,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(18439557103256294194,64,FLEN) +NAN_BOXED(18439557103256294194,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9223735127693475366,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13834129895361961135,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13834129895361961135,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(13834129895361961135,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9188289230315569215,64,FLEN) +NAN_BOXED(9188289230315569215,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9187488805721144425,64,FLEN) +NAN_BOXED(9187488805721144425,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9188802887911418332,64,FLEN) +NAN_BOXED(9188802887911418332,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9225363401680617537,64,FLEN) +NAN_BOXED(9199791518385004448,64,FLEN) +NAN_BOXED(9199791518385004448,64,FLEN) +NAN_BOXED(9225363401680617537,64,FLEN) +NAN_BOXED(9225363401680617537,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9225363401680617537,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9189271393808574240,64,FLEN) +NAN_BOXED(9189271393808574240,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(18411526816329383149,64,FLEN) +NAN_BOXED(18411526816329383149,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9225363401680617537,64,FLEN) +NAN_BOXED(18418252651636758066,64,FLEN) +NAN_BOXED(18418252651636758066,64,FLEN) +NAN_BOXED(9225363401680617537,64,FLEN) +NAN_BOXED(9225363401680617537,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(18409802577824779861,64,FLEN) +NAN_BOXED(18409802577824779861,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9225363401680617537,64,FLEN) +NAN_BOXED(18420185959038709311,64,FLEN) +NAN_BOXED(18420185959038709311,64,FLEN) +NAN_BOXED(9225363401680617537,64,FLEN) +NAN_BOXED(9225363401680617537,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(18413128491404716976,64,FLEN) +NAN_BOXED(18413128491404716976,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13845100322180448179,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13845100322180448179,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(13845100322180448179,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9218376222515051618,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9218376222515051618,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9218376222515051618,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9218376222515051618,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9188289230315569215,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9188289230315569215,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(343973508941812,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(343973508941812,64,FLEN) +NAN_BOXED(343973508941812,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(343973508941812,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(343973508941812,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(343973508941812,64,FLEN) +NAN_BOXED(343973508941812,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(343973508941812,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(343973508941812,64,FLEN) +NAN_BOXED(343973508941812,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610332483800301104,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610332483800301104,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(4610332483800301104,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9217125559086262885,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9217125559086262885,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9217125559086262885,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9217125559086262885,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9187488805721144425,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9187488805721144425,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(293451386915147,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(293451386915147,64,FLEN) +NAN_BOXED(293451386915147,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(293451386915147,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(293451386915147,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(293451386915147,64,FLEN) +NAN_BOXED(293451386915147,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(293451386915147,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(293451386915147,64,FLEN) +NAN_BOXED(293451386915147,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609208329270322669,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609208329270322669,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(4609208329270322669,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9188802887911418332,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9188802887911418332,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(376395141058279,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(376395141058279,64,FLEN) +NAN_BOXED(376395141058279,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(376395141058279,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(376395141058279,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(376395141058279,64,FLEN) +NAN_BOXED(376395141058279,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(376395141058279,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(376395141058279,64,FLEN) +NAN_BOXED(376395141058279,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4611053889061025979,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4611053889061025979,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(4611053889061025979,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9199791518385004448,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9199791518385004448,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(405966836220061,64,FLEN) +NAN_BOXED(405966836220061,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(9223707523940585476,64,FLEN) +NAN_BOXED(9223707523940585476,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(9223627495856937316,64,FLEN) +NAN_BOXED(9223627495856937316,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(9223808620313893739,64,FLEN) +NAN_BOXED(9223808620313893739,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621768561318994711,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621768561318994711,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(4621768561318994711,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9189271393808574240,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9189271393808574240,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(405966836220061,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(405966836220061,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(405966836220061,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(405966836220061,64,FLEN) +NAN_BOXED(405966836220061,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(405966836220061,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(405966836220061,64,FLEN) +NAN_BOXED(405966836220061,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4611698949773488069,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4611698949773488069,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(4611698949773488069,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(18441538179930824499,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18441538179930824499,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18441538179930824499,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18441538179930824499,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18411526816329383149,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18411526816329383149,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9223707523940585476,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9223707523940585476,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9223707523940585476,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9223707523940585476,64,FLEN) +NAN_BOXED(9223707523940585476,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9223707523940585476,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9223707523940585476,64,FLEN) +NAN_BOXED(9223707523940585476,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833515691472441268,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833515691472441268,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(13833515691472441268,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18418252651636758066,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18418252651636758066,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9223627495856937316,64,FLEN) +NAN_BOXED(9223627495856937316,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9223808620313893739,64,FLEN) +NAN_BOXED(9223808620313893739,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13840350979967950344,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13840350979967950344,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(13840350979967950344,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(18439557103256294194,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18439557103256294194,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18439557103256294194,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18439557103256294194,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18409802577824779861,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18409802577824779861,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9223627495856937316,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9223627495856937316,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9223627495856937316,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9223627495856937316,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9223627495856937316,64,FLEN) +NAN_BOXED(9223627495856937316,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13831735007503721770,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13831735007503721770,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(13831735007503721770,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18420185959038709311,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18420185959038709311,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9223808620313893739,64,FLEN) +NAN_BOXED(9223808620313893739,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13842034063491060279,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13842034063491060279,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(13842034063491060279,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18413128491404716976,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18413128491404716976,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9223808620313893739,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9223808620313893739,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9223808620313893739,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9223808620313893739,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13835411607864492999,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13835411607864492999,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(13835411607864492999,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_5: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_6: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_7: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_8: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_9: + .fill 182*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fmaxm_b1-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fmaxm_b1-01.S new file mode 100644 index 000000000..51c6b1233 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fmaxm_b1-01.S @@ -0,0 +1,409 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:33:06 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fmaxm.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fmaxm.s instruction of the RISC-V RV32F_Zicsr_Zfa,RV32FD_Zicsr_Zfa,RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fmaxm_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr_Zfa,RV32IFD_Zicsr_Zfa,RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fmaxm_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 == rs2 != rd, rs1==f30, rs2==f30, rd==f31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f30; op2:f30; dest:f31; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs2 == rd != rs1, rs1==f31, rs2==f29, rd==f29,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f31; op2:f29; dest:f29; op1val:0x0; op2val:0x80000000; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f29, f31, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs1 == rd != rs2, rs1==f28, rs2==f31, rd==f28,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f28; op2:f31; dest:f28; op1val:0x0; op2val:0x1; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f28, f28, f31, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs1 == rs2 == rd, rs1==f27, rs2==f27, rd==f27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f27; op2:f27; dest:f27; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f27, f27, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f29, rs2==f28, rd==f30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f29; op2:f28; dest:f30; op1val:0x0; op2val:0x2; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f30, f29, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rs2==f24, rd==f26,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f25; op2:f24; dest:f26; op1val:0x0; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f26, f25, f24, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f24, rs2==f26, rd==f25,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f24; op2:f26; dest:f25; op1val:0x0; op2val:0x7fffff; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f25, f24, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f26, rs2==f25, rd==f24,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f26; op2:f25; dest:f24; op1val:0x0; op2val:0x807fffff; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f24, f26, f25, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f22, rs2==f21, rd==f23,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f22; op2:f21; dest:f23; op1val:0x0; op2val:0x800000; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f23, f22, f21, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rs2==f23, rd==f22,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f21; op2:f23; dest:f22; op1val:0x0; op2val:0x80800000; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f22, f21, f23, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f23, rs2==f22, rd==f21,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f23; op2:f22; dest:f21; op1val:0x0; op2val:0x800001; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f21, f23, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rs2==f18, rd==f20,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f19; op2:f18; dest:f20; op1val:0x0; op2val:0x80855555; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f20, f19, f18, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f18, rs2==f20, rd==f19,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f18; op2:f20; dest:f19; op1val:0x0; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f19, f18, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f20, rs2==f19, rd==f18,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f20; op2:f19; dest:f18; op1val:0x0; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f18, f20, f19, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f16, rs2==f15, rd==f17,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f16; op2:f15; dest:f17; op1val:0x0; op2val:0x7f800000; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f17, f16, f15, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rs2==f17, rd==f16,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f15; op2:f17; dest:f16; op1val:0x0; op2val:0xff800000; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f16, f15, f17, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f17, rs2==f16, rd==f15,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f17; op2:f16; dest:f15; op1val:0x0; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f15, f17, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rs2==f12, rd==f14,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f13; op2:f12; dest:f14; op1val:0x0; op2val:0xffc00000; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f14, f13, f12, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f12, rs2==f14, rd==f13,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f12; op2:f14; dest:f13; op1val:0x0; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f13, f12, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f14, rs2==f13, rd==f12,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f14; op2:f13; dest:f12; op1val:0x0; op2val:0xffc55555; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f12, f14, f13, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f10, rs2==f9, rd==f11,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f10; op2:f9; dest:f11; op1val:0x0; op2val:0x7f800001; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f11, f10, f9, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rs2==f11, rd==f10,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f9; op2:f11; dest:f10; op1val:0x0; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f10, f9, f11, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f11, rs2==f10, rd==f9,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f11; op2:f10; dest:f9; op1val:0x0; op2val:0x3f800000; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f9, f11, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rs2==f6, rd==f8,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f7; op2:f6; dest:f8; op1val:0x0; op2val:0xbf800000; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f8, f7, f6, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f6, rs2==f8, rd==f7,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f6; op2:f8; dest:f7; op1val:0x80000000; op2val:0x0; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f7, f6, f8, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f8, rs2==f7, rd==f6,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f8; op2:f7; dest:f6; op1val:0x80000000; op2val:0x80000000; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f6, f8, f7, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f4, rs2==f3, rd==f5,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f4; op2:f3; dest:f5; op1val:0x80000000; op2val:0x1; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f5, f4, f3, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rs2==f5, rd==f4,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f3; op2:f5; dest:f4; op1val:0x80000000; op2val:0x80000001; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f4, f3, f5, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f5, rs2==f4, rd==f3,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f5; op2:f4; dest:f3; op1val:0x80000000; op2val:0x2; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f3, f5, f4, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rs2==f0, rd==f2,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f1; op2:f0; dest:f2; op1val:0x80000000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f2, f1, f0, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f0, rs2==f2, rd==f1,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f0; op2:f2; dest:f1; op1val:0x80000000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f1, f0, f2, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f2, rs2==f1, rd==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f2; op2:f1; dest:f0; op1val:0x80000000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f0, f2, f1, 0, 0, x3, 62*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 64*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fmaxm_b19-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fmaxm_b19-01.S new file mode 100644 index 000000000..205cb9015 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fmaxm_b19-01.S @@ -0,0 +1,409 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:33:06 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fmaxm.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fmaxm.s instruction of the RISC-V RV32F_Zicsr_Zfa,RV32FD_Zicsr_Zfa,RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fmaxm_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr_Zfa,RV32IFD_Zicsr_Zfa,RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fmaxm_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 == rs2 != rd, rs1==f30, rs2==f30, rd==f31,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f30; op2:f30; dest:f31; op1val:0x7dce622b; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs2 == rd != rs1, rs1==f31, rs2==f29, rd==f29,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f31; op2:f29; dest:f29; op1val:0x7dce622b; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f29, f31, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs1 == rd != rs2, rs1==f28, rs2==f31, rd==f28,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x183299 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f28; op2:f31; dest:f28; op1val:0x7f7fffff; op2val:0x7d183299; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f28, f28, f31, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs1 == rs2 == rd, rs1==f27, rs2==f27, rd==f27,fs1 == 0 and fe1 == 0xfa and fm1 == 0x183299 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f27; op2:f27; dest:f27; op1val:0x7d183299; op2val:0x7d183299; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f27, f27, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f29, rs2==f28, rd==f30,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f29; op2:f28; dest:f30; op1val:0x7f7fffff; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f30, f29, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rs2==f24, rd==f26,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f25; op2:f24; dest:f26; op1val:0x7dce622b; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f26, f25, f24, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f24, rs2==f26, rd==f25,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f24; op2:f26; dest:f25; op1val:0x7dce622b; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f25, f24, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f26, rs2==f25, rd==f24,fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f26; op2:f25; dest:f24; op1val:0x7d902b16; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f24, f26, f25, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f22, rs2==f21, rd==f23,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f22; op2:f21; dest:f23; op1val:0x7dce622b; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f23, f22, f21, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rs2==f23, rd==f22,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x6a2c24 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f21; op2:f23; dest:f22; op1val:0x7f7fffff; op2val:0x7d6a2c24; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f22, f21, f23, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f23, rs2==f22, rd==f21,fs1 == 0 and fe1 == 0xfa and fm1 == 0x6a2c24 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f23; op2:f22; dest:f21; op1val:0x7d6a2c24; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f21, f23, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rs2==f18, rd==f20,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f19; op2:f18; dest:f20; op1val:0x7f7fffff; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f20, f19, f18, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f18, rs2==f20, rd==f19,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f18; op2:f20; dest:f19; op1val:0x7dce622b; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f19, f18, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f20, rs2==f19, rd==f18,fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f20; op2:f19; dest:f18; op1val:0x7e2fb07b; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f18, f20, f19, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f16, rs2==f15, rd==f17,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f16; op2:f15; dest:f17; op1val:0x7dce622b; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f17, f16, f15, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rs2==f17, rd==f16,fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f15; op2:f17; dest:f16; op1val:0xfdea577e; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f16, f15, f17, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f17, rs2==f16, rd==f15,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f17; op2:f16; dest:f15; op1val:0x7dce622b; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f15, f17, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rs2==f12, rd==f14,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x291dc8 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f13; op2:f12; dest:f14; op1val:0x7f7fffff; op2val:0xfd291dc8; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f14, f13, f12, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f12, rs2==f14, rd==f13,fs1 == 1 and fe1 == 0xfa and fm1 == 0x291dc8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f12; op2:f14; dest:f13; op1val:0xfd291dc8; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f13, f12, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f14, rs2==f13, rd==f12,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f14; op2:f13; dest:f12; op1val:0x7f7fffff; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f12, f14, f13, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f10, rs2==f9, rd==f11,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f10; op2:f9; dest:f11; op1val:0x7dce622b; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f11, f10, f9, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rs2==f11, rd==f10,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfb and fm2 == 0x153eee and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f9; op2:f11; dest:f10; op1val:0x7f7fffff; op2val:0xfd953eee; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f10, f9, f11, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f11, rs2==f10, rd==f9,fs1 == 1 and fe1 == 0xfb and fm1 == 0x153eee and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f11; op2:f10; dest:f9; op1val:0xfd953eee; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f9, f11, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rs2==f6, rd==f8,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f7; op2:f6; dest:f8; op1val:0x7f7fffff; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f8, f7, f6, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f6, rs2==f8, rd==f7,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f6; op2:f8; dest:f7; op1val:0x7dce622b; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f7, f6, f8, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f8, rs2==f7, rd==f6,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfb and fm2 == 0x1946c8 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f8; op2:f7; dest:f6; op1val:0x7f7fffff; op2val:0xfd9946c8; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f6, f8, f7, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f4, rs2==f3, rd==f5,fs1 == 1 and fe1 == 0xfb and fm1 == 0x1946c8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f4; op2:f3; dest:f5; op1val:0xfd9946c8; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f5, f4, f3, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rs2==f5, rd==f4,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f3; op2:f5; dest:f4; op1val:0x7f7fffff; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f4, f3, f5, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f5, rs2==f4, rd==f3,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f5; op2:f4; dest:f3; op1val:0x7dce622b; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f3, f5, f4, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rs2==f0, rd==f2,fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f1; op2:f0; dest:f2; op1val:0xfd2820df; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f2, f1, f0, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f0, rs2==f2, rd==f1,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f0; op2:f2; dest:f1; op1val:0x7dce622b; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f1, f0, f2, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f2, rs2==f1, rd==f0,fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x07167c and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f2; op2:f1; dest:f0; op1val:0x255707; op2val:0x7e07167c; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f0, f2, f1, 0, 0, x3, 62*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 64*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fminm.d_b1-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fminm.d_b1-01.S new file mode 100644 index 000000000..5afeb718b --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fminm.d_b1-01.S @@ -0,0 +1,5889 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:37:45 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fminm.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fminm.d instruction of the RISC-V RV32FD_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fminm.d_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fminm.d_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 == rs2 == rd, rs1==f31, rs2==f31, rd==f31,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f31; op2:f31; dest:f31; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f31, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f29, rs2==f28, rd==f30,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f29; op2:f28; dest:f30; op1val:0x0; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f30, f29, f28, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs1 == rd != rs2, rs1==f28, rs2==f30, rd==f28,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f28; op2:f30; dest:f28; op1val:0x0; op2val:0x1; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f28, f28, f30, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs1 == rs2 != rd, rs1==f27, rs2==f27, rd==f29,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f27; op2:f27; dest:f29; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f29, f27, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs2 == rd != rs1, rs1==f30, rs2==f26, rd==f26,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f26; dest:f26; op1val:0x0; op2val:0x2; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f26, f30, f26, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f26, rs2==f29, rd==f27,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f26; op2:f29; dest:f27; op1val:0x0; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f27, f26, f29, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f24, rs2==f23, rd==f25,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f24; op2:f23; dest:f25; op1val:0x0; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f25, f24, f23, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f23, rs2==f25, rd==f24,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f23; op2:f25; dest:f24; op1val:0x0; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f24, f23, f25, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f25, rs2==f24, rd==f23,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f25; op2:f24; dest:f23; op1val:0x0; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f23, f25, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rs2==f20, rd==f22,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f21; op2:f20; dest:f22; op1val:0x0; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f22, f21, f20, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f20, rs2==f22, rd==f21,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f20; op2:f22; dest:f21; op1val:0x0; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f21, f20, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f22, rs2==f21, rd==f20,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f22; op2:f21; dest:f20; op1val:0x0; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f20, f22, f21, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f18, rs2==f17, rd==f19,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f18; op2:f17; dest:f19; op1val:0x0; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f19, f18, f17, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f17, rs2==f19, rd==f18,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f17; op2:f19; dest:f18; op1val:0x0; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f18, f17, f19, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f19, rs2==f18, rd==f17,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f19; op2:f18; dest:f17; op1val:0x0; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f17, f19, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rs2==f14, rd==f16,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f15; op2:f14; dest:f16; op1val:0x0; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f16, f15, f14, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f14, rs2==f16, rd==f15,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f14; op2:f16; dest:f15; op1val:0x0; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f15, f14, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f16, rs2==f15, rd==f14,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f16; op2:f15; dest:f14; op1val:0x0; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f14, f16, f15, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f12, rs2==f11, rd==f13,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f12; op2:f11; dest:f13; op1val:0x0; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f13, f12, f11, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f11, rs2==f13, rd==f12,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f11; op2:f13; dest:f12; op1val:0x0; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f12, f11, f13, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f13, rs2==f12, rd==f11,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f13; op2:f12; dest:f11; op1val:0x0; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f11, f13, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rs2==f8, rd==f10,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f9; op2:f8; dest:f10; op1val:0x0; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f10, f9, f8, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f8, rs2==f10, rd==f9,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f8; op2:f10; dest:f9; op1val:0x0; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f9, f8, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f10, rs2==f9, rd==f8,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f10; op2:f9; dest:f8; op1val:0x0; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f8, f10, f9, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f6, rs2==f5, rd==f7,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f6; op2:f5; dest:f7; op1val:0x8000000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f7, f6, f5, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f5, rs2==f7, rd==f6,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f5; op2:f7; dest:f6; op1val:0x8000000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f6, f5, f7, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f7, rs2==f6, rd==f5,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f7; op2:f6; dest:f5; op1val:0x8000000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f5, f7, f6, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rs2==f2, rd==f4,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f3; op2:f2; dest:f4; op1val:0x8000000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f4, f3, f2, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f2, rs2==f4, rd==f3,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f2; op2:f4; dest:f3; op1val:0x8000000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f3, f2, f4, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f4, rs2==f3, rd==f2,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f4; op2:f3; dest:f2; op1val:0x8000000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f2, f4, f3, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f1,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f1; op2:f30; dest:f31; op1val:0x8000000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f1, f30, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f0,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f0; op2:f30; dest:f31; op1val:0x8000000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f0, f30, 0, 0, x3, 62*FLEN/8, x4, x1, x2) + +inst_32: +// rs2==f1,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f1; dest:f31; op1val:0x8000000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:64*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f1, 0, 0, x3, 64*FLEN/8, x4, x1, x2) + +inst_33: +// rs2==f0,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f0; dest:f31; op1val:0x8000000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:66*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f0, 0, 0, x3, 66*FLEN/8, x4, x1, x2) + +inst_34: +// rd==f1,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f31; op2:f30; dest:f1; op1val:0x8000000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:68*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f1, f31, f30, 0, 0, x3, 68*FLEN/8, x4, x1, x2) + +inst_35: +// rd==f0,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f31; op2:f30; dest:f0; op1val:0x8000000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:70*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f0, f31, f30, 0, 0, x3, 70*FLEN/8, x4, x1, x2) + +inst_36: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:72*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 72*FLEN/8, x4, x1, x2) + +inst_37: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:74*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 74*FLEN/8, x4, x1, x2) + +inst_38: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:76*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 76*FLEN/8, x4, x1, x2) + +inst_39: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:78*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 78*FLEN/8, x4, x1, x2) + +inst_40: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:80*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 80*FLEN/8, x4, x1, x2) + +inst_41: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:82*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 82*FLEN/8, x4, x1, x2) + +inst_42: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:84*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 84*FLEN/8, x4, x1, x2) + +inst_43: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:86*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 86*FLEN/8, x4, x1, x2) + +inst_44: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:88*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 88*FLEN/8, x4, x1, x2) + +inst_45: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:90*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 90*FLEN/8, x4, x1, x2) + +inst_46: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:92*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 92*FLEN/8, x4, x1, x2) + +inst_47: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:94*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 94*FLEN/8, x4, x1, x2) + +inst_48: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x0; + valaddr_reg:x3; val_offset:96*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 96*FLEN/8, x4, x1, x2) + +inst_49: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:98*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 98*FLEN/8, x4, x1, x2) + +inst_50: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x1; + valaddr_reg:x3; val_offset:100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 100*FLEN/8, x4, x1, x2) + +inst_51: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 102*FLEN/8, x4, x1, x2) + +inst_52: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x2; + valaddr_reg:x3; val_offset:104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 104*FLEN/8, x4, x1, x2) + +inst_53: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 106*FLEN/8, x4, x1, x2) + +inst_54: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 108*FLEN/8, x4, x1, x2) + +inst_55: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 110*FLEN/8, x4, x1, x2) + +inst_56: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 112*FLEN/8, x4, x1, x2) + +inst_57: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 114*FLEN/8, x4, x1, x2) + +inst_58: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 116*FLEN/8, x4, x1, x2) + +inst_59: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 118*FLEN/8, x4, x1, x2) + +inst_60: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 120*FLEN/8, x4, x1, x2) + +inst_61: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 122*FLEN/8, x4, x1, x2) + +inst_62: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 124*FLEN/8, x4, x1, x2) + +inst_63: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 126*FLEN/8, x4, x1, x2) + +inst_64: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 128*FLEN/8, x4, x1, x2) + +inst_65: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 130*FLEN/8, x4, x1, x2) + +inst_66: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 132*FLEN/8, x4, x1, x2) + +inst_67: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 134*FLEN/8, x4, x1, x2) + +inst_68: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 136*FLEN/8, x4, x1, x2) + +inst_69: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 138*FLEN/8, x4, x1, x2) + +inst_70: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 140*FLEN/8, x4, x1, x2) + +inst_71: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 142*FLEN/8, x4, x1, x2) + +inst_72: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x0; + valaddr_reg:x3; val_offset:144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 144*FLEN/8, x4, x1, x2) + +inst_73: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 146*FLEN/8, x4, x1, x2) + +inst_74: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x1; + valaddr_reg:x3; val_offset:148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 148*FLEN/8, x4, x1, x2) + +inst_75: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 150*FLEN/8, x4, x1, x2) + +inst_76: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x2; + valaddr_reg:x3; val_offset:152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 152*FLEN/8, x4, x1, x2) + +inst_77: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 154*FLEN/8, x4, x1, x2) + +inst_78: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 156*FLEN/8, x4, x1, x2) + +inst_79: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 158*FLEN/8, x4, x1, x2) + +inst_80: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 160*FLEN/8, x4, x1, x2) + +inst_81: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 162*FLEN/8, x4, x1, x2) + +inst_82: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 164*FLEN/8, x4, x1, x2) + +inst_83: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 166*FLEN/8, x4, x1, x2) + +inst_84: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 168*FLEN/8, x4, x1, x2) + +inst_85: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 170*FLEN/8, x4, x1, x2) + +inst_86: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 172*FLEN/8, x4, x1, x2) + +inst_87: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 174*FLEN/8, x4, x1, x2) + +inst_88: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 176*FLEN/8, x4, x1, x2) + +inst_89: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 178*FLEN/8, x4, x1, x2) + +inst_90: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 180*FLEN/8, x4, x1, x2) + +inst_91: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 182*FLEN/8, x4, x1, x2) + +inst_92: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 184*FLEN/8, x4, x1, x2) + +inst_93: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 186*FLEN/8, x4, x1, x2) + +inst_94: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 188*FLEN/8, x4, x1, x2) + +inst_95: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 190*FLEN/8, x4, x1, x2) + +inst_96: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x0; + valaddr_reg:x3; val_offset:192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 192*FLEN/8, x4, x1, x2) + +inst_97: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 194*FLEN/8, x4, x1, x2) + +inst_98: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x1; + valaddr_reg:x3; val_offset:196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 196*FLEN/8, x4, x1, x2) + +inst_99: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 198*FLEN/8, x4, x1, x2) + +inst_100: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x2; + valaddr_reg:x3; val_offset:200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 200*FLEN/8, x4, x1, x2) + +inst_101: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 202*FLEN/8, x4, x1, x2) + +inst_102: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 204*FLEN/8, x4, x1, x2) + +inst_103: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 206*FLEN/8, x4, x1, x2) + +inst_104: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 208*FLEN/8, x4, x1, x2) + +inst_105: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 210*FLEN/8, x4, x1, x2) + +inst_106: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 212*FLEN/8, x4, x1, x2) + +inst_107: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 214*FLEN/8, x4, x1, x2) + +inst_108: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 216*FLEN/8, x4, x1, x2) + +inst_109: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 218*FLEN/8, x4, x1, x2) + +inst_110: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 220*FLEN/8, x4, x1, x2) + +inst_111: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 222*FLEN/8, x4, x1, x2) + +inst_112: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 224*FLEN/8, x4, x1, x2) + +inst_113: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 226*FLEN/8, x4, x1, x2) + +inst_114: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 228*FLEN/8, x4, x1, x2) + +inst_115: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 230*FLEN/8, x4, x1, x2) + +inst_116: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 232*FLEN/8, x4, x1, x2) + +inst_117: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 234*FLEN/8, x4, x1, x2) + +inst_118: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 236*FLEN/8, x4, x1, x2) + +inst_119: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 238*FLEN/8, x4, x1, x2) + +inst_120: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x0; + valaddr_reg:x3; val_offset:240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 240*FLEN/8, x4, x1, x2) + +inst_121: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 242*FLEN/8, x4, x1, x2) + +inst_122: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x1; + valaddr_reg:x3; val_offset:244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 244*FLEN/8, x4, x1, x2) + +inst_123: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 246*FLEN/8, x4, x1, x2) + +inst_124: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x2; + valaddr_reg:x3; val_offset:248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 248*FLEN/8, x4, x1, x2) + +inst_125: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 250*FLEN/8, x4, x1, x2) + +inst_126: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 252*FLEN/8, x4, x1, x2) + +inst_127: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 254*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_2) + +inst_128: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 256*FLEN/8, x4, x1, x2) + +inst_129: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 258*FLEN/8, x4, x1, x2) + +inst_130: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 260*FLEN/8, x4, x1, x2) + +inst_131: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 262*FLEN/8, x4, x1, x2) + +inst_132: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 264*FLEN/8, x4, x1, x2) + +inst_133: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 266*FLEN/8, x4, x1, x2) + +inst_134: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 268*FLEN/8, x4, x1, x2) + +inst_135: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 270*FLEN/8, x4, x1, x2) + +inst_136: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 272*FLEN/8, x4, x1, x2) + +inst_137: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 274*FLEN/8, x4, x1, x2) + +inst_138: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 276*FLEN/8, x4, x1, x2) + +inst_139: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 278*FLEN/8, x4, x1, x2) + +inst_140: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 280*FLEN/8, x4, x1, x2) + +inst_141: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 282*FLEN/8, x4, x1, x2) + +inst_142: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 284*FLEN/8, x4, x1, x2) + +inst_143: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 286*FLEN/8, x4, x1, x2) + +inst_144: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x0; + valaddr_reg:x3; val_offset:288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 288*FLEN/8, x4, x1, x2) + +inst_145: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 290*FLEN/8, x4, x1, x2) + +inst_146: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x1; + valaddr_reg:x3; val_offset:292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 292*FLEN/8, x4, x1, x2) + +inst_147: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 294*FLEN/8, x4, x1, x2) + +inst_148: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x2; + valaddr_reg:x3; val_offset:296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 296*FLEN/8, x4, x1, x2) + +inst_149: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 298*FLEN/8, x4, x1, x2) + +inst_150: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 300*FLEN/8, x4, x1, x2) + +inst_151: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 302*FLEN/8, x4, x1, x2) + +inst_152: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 304*FLEN/8, x4, x1, x2) + +inst_153: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 306*FLEN/8, x4, x1, x2) + +inst_154: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 308*FLEN/8, x4, x1, x2) + +inst_155: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 310*FLEN/8, x4, x1, x2) + +inst_156: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 312*FLEN/8, x4, x1, x2) + +inst_157: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 314*FLEN/8, x4, x1, x2) + +inst_158: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 316*FLEN/8, x4, x1, x2) + +inst_159: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 318*FLEN/8, x4, x1, x2) + +inst_160: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 320*FLEN/8, x4, x1, x2) + +inst_161: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 322*FLEN/8, x4, x1, x2) + +inst_162: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 324*FLEN/8, x4, x1, x2) + +inst_163: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 326*FLEN/8, x4, x1, x2) + +inst_164: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 328*FLEN/8, x4, x1, x2) + +inst_165: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 330*FLEN/8, x4, x1, x2) + +inst_166: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 332*FLEN/8, x4, x1, x2) + +inst_167: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 334*FLEN/8, x4, x1, x2) + +inst_168: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x0; + valaddr_reg:x3; val_offset:336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 336*FLEN/8, x4, x1, x2) + +inst_169: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 338*FLEN/8, x4, x1, x2) + +inst_170: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x1; + valaddr_reg:x3; val_offset:340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 340*FLEN/8, x4, x1, x2) + +inst_171: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 342*FLEN/8, x4, x1, x2) + +inst_172: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x2; + valaddr_reg:x3; val_offset:344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 344*FLEN/8, x4, x1, x2) + +inst_173: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 346*FLEN/8, x4, x1, x2) + +inst_174: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 348*FLEN/8, x4, x1, x2) + +inst_175: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 350*FLEN/8, x4, x1, x2) + +inst_176: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 352*FLEN/8, x4, x1, x2) + +inst_177: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 354*FLEN/8, x4, x1, x2) + +inst_178: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 356*FLEN/8, x4, x1, x2) + +inst_179: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 358*FLEN/8, x4, x1, x2) + +inst_180: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 360*FLEN/8, x4, x1, x2) + +inst_181: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 362*FLEN/8, x4, x1, x2) + +inst_182: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 364*FLEN/8, x4, x1, x2) + +inst_183: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 366*FLEN/8, x4, x1, x2) + +inst_184: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 368*FLEN/8, x4, x1, x2) + +inst_185: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 370*FLEN/8, x4, x1, x2) + +inst_186: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 372*FLEN/8, x4, x1, x2) + +inst_187: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 374*FLEN/8, x4, x1, x2) + +inst_188: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 376*FLEN/8, x4, x1, x2) + +inst_189: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 378*FLEN/8, x4, x1, x2) + +inst_190: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 380*FLEN/8, x4, x1, x2) + +inst_191: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 382*FLEN/8, x4, x1, x2) + +inst_192: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 384*FLEN/8, x4, x1, x2) + +inst_193: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 386*FLEN/8, x4, x1, x2) + +inst_194: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 388*FLEN/8, x4, x1, x2) + +inst_195: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 390*FLEN/8, x4, x1, x2) + +inst_196: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 392*FLEN/8, x4, x1, x2) + +inst_197: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 394*FLEN/8, x4, x1, x2) + +inst_198: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 396*FLEN/8, x4, x1, x2) + +inst_199: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 398*FLEN/8, x4, x1, x2) + +inst_200: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 400*FLEN/8, x4, x1, x2) + +inst_201: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 402*FLEN/8, x4, x1, x2) + +inst_202: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 404*FLEN/8, x4, x1, x2) + +inst_203: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 406*FLEN/8, x4, x1, x2) + +inst_204: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 408*FLEN/8, x4, x1, x2) + +inst_205: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 410*FLEN/8, x4, x1, x2) + +inst_206: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 412*FLEN/8, x4, x1, x2) + +inst_207: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 414*FLEN/8, x4, x1, x2) + +inst_208: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 416*FLEN/8, x4, x1, x2) + +inst_209: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 418*FLEN/8, x4, x1, x2) + +inst_210: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 420*FLEN/8, x4, x1, x2) + +inst_211: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 422*FLEN/8, x4, x1, x2) + +inst_212: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 424*FLEN/8, x4, x1, x2) + +inst_213: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 426*FLEN/8, x4, x1, x2) + +inst_214: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 428*FLEN/8, x4, x1, x2) + +inst_215: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 430*FLEN/8, x4, x1, x2) + +inst_216: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 432*FLEN/8, x4, x1, x2) + +inst_217: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 434*FLEN/8, x4, x1, x2) + +inst_218: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 436*FLEN/8, x4, x1, x2) + +inst_219: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 438*FLEN/8, x4, x1, x2) + +inst_220: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 440*FLEN/8, x4, x1, x2) + +inst_221: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 442*FLEN/8, x4, x1, x2) + +inst_222: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 444*FLEN/8, x4, x1, x2) + +inst_223: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 446*FLEN/8, x4, x1, x2) + +inst_224: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 448*FLEN/8, x4, x1, x2) + +inst_225: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 450*FLEN/8, x4, x1, x2) + +inst_226: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 452*FLEN/8, x4, x1, x2) + +inst_227: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 454*FLEN/8, x4, x1, x2) + +inst_228: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 456*FLEN/8, x4, x1, x2) + +inst_229: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 458*FLEN/8, x4, x1, x2) + +inst_230: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 460*FLEN/8, x4, x1, x2) + +inst_231: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 462*FLEN/8, x4, x1, x2) + +inst_232: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 464*FLEN/8, x4, x1, x2) + +inst_233: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 466*FLEN/8, x4, x1, x2) + +inst_234: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 468*FLEN/8, x4, x1, x2) + +inst_235: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 470*FLEN/8, x4, x1, x2) + +inst_236: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 472*FLEN/8, x4, x1, x2) + +inst_237: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 474*FLEN/8, x4, x1, x2) + +inst_238: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 476*FLEN/8, x4, x1, x2) + +inst_239: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 478*FLEN/8, x4, x1, x2) + +inst_240: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x0; + valaddr_reg:x3; val_offset:480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 480*FLEN/8, x4, x1, x2) + +inst_241: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 482*FLEN/8, x4, x1, x2) + +inst_242: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x1; + valaddr_reg:x3; val_offset:484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 484*FLEN/8, x4, x1, x2) + +inst_243: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 486*FLEN/8, x4, x1, x2) + +inst_244: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x2; + valaddr_reg:x3; val_offset:488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 488*FLEN/8, x4, x1, x2) + +inst_245: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 490*FLEN/8, x4, x1, x2) + +inst_246: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 492*FLEN/8, x4, x1, x2) + +inst_247: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 494*FLEN/8, x4, x1, x2) + +inst_248: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 496*FLEN/8, x4, x1, x2) + +inst_249: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 498*FLEN/8, x4, x1, x2) + +inst_250: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 500*FLEN/8, x4, x1, x2) + +inst_251: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 502*FLEN/8, x4, x1, x2) + +inst_252: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 504*FLEN/8, x4, x1, x2) + +inst_253: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 506*FLEN/8, x4, x1, x2) + +inst_254: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 508*FLEN/8, x4, x1, x2) + +inst_255: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 510*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_3) + +inst_256: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 512*FLEN/8, x4, x1, x2) + +inst_257: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 514*FLEN/8, x4, x1, x2) + +inst_258: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 516*FLEN/8, x4, x1, x2) + +inst_259: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 518*FLEN/8, x4, x1, x2) + +inst_260: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 520*FLEN/8, x4, x1, x2) + +inst_261: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 522*FLEN/8, x4, x1, x2) + +inst_262: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 524*FLEN/8, x4, x1, x2) + +inst_263: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 526*FLEN/8, x4, x1, x2) + +inst_264: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x0; + valaddr_reg:x3; val_offset:528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 528*FLEN/8, x4, x1, x2) + +inst_265: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 530*FLEN/8, x4, x1, x2) + +inst_266: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x1; + valaddr_reg:x3; val_offset:532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 532*FLEN/8, x4, x1, x2) + +inst_267: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 534*FLEN/8, x4, x1, x2) + +inst_268: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x2; + valaddr_reg:x3; val_offset:536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 536*FLEN/8, x4, x1, x2) + +inst_269: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 538*FLEN/8, x4, x1, x2) + +inst_270: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 540*FLEN/8, x4, x1, x2) + +inst_271: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 542*FLEN/8, x4, x1, x2) + +inst_272: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 544*FLEN/8, x4, x1, x2) + +inst_273: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 546*FLEN/8, x4, x1, x2) + +inst_274: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 548*FLEN/8, x4, x1, x2) + +inst_275: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 550*FLEN/8, x4, x1, x2) + +inst_276: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 552*FLEN/8, x4, x1, x2) + +inst_277: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 554*FLEN/8, x4, x1, x2) + +inst_278: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 556*FLEN/8, x4, x1, x2) + +inst_279: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 558*FLEN/8, x4, x1, x2) + +inst_280: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 560*FLEN/8, x4, x1, x2) + +inst_281: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 562*FLEN/8, x4, x1, x2) + +inst_282: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 564*FLEN/8, x4, x1, x2) + +inst_283: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 566*FLEN/8, x4, x1, x2) + +inst_284: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 568*FLEN/8, x4, x1, x2) + +inst_285: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 570*FLEN/8, x4, x1, x2) + +inst_286: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 572*FLEN/8, x4, x1, x2) + +inst_287: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 574*FLEN/8, x4, x1, x2) + +inst_288: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x0; + valaddr_reg:x3; val_offset:576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 576*FLEN/8, x4, x1, x2) + +inst_289: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 578*FLEN/8, x4, x1, x2) + +inst_290: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x1; + valaddr_reg:x3; val_offset:580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 580*FLEN/8, x4, x1, x2) + +inst_291: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 582*FLEN/8, x4, x1, x2) + +inst_292: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x2; + valaddr_reg:x3; val_offset:584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 584*FLEN/8, x4, x1, x2) + +inst_293: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 586*FLEN/8, x4, x1, x2) + +inst_294: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 588*FLEN/8, x4, x1, x2) + +inst_295: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 590*FLEN/8, x4, x1, x2) + +inst_296: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 592*FLEN/8, x4, x1, x2) + +inst_297: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 594*FLEN/8, x4, x1, x2) + +inst_298: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 596*FLEN/8, x4, x1, x2) + +inst_299: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 598*FLEN/8, x4, x1, x2) + +inst_300: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 600*FLEN/8, x4, x1, x2) + +inst_301: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 602*FLEN/8, x4, x1, x2) + +inst_302: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 604*FLEN/8, x4, x1, x2) + +inst_303: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 606*FLEN/8, x4, x1, x2) + +inst_304: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 608*FLEN/8, x4, x1, x2) + +inst_305: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 610*FLEN/8, x4, x1, x2) + +inst_306: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 612*FLEN/8, x4, x1, x2) + +inst_307: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 614*FLEN/8, x4, x1, x2) + +inst_308: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 616*FLEN/8, x4, x1, x2) + +inst_309: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 618*FLEN/8, x4, x1, x2) + +inst_310: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 620*FLEN/8, x4, x1, x2) + +inst_311: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 622*FLEN/8, x4, x1, x2) + +inst_312: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x0; + valaddr_reg:x3; val_offset:624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 624*FLEN/8, x4, x1, x2) + +inst_313: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 626*FLEN/8, x4, x1, x2) + +inst_314: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x1; + valaddr_reg:x3; val_offset:628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 628*FLEN/8, x4, x1, x2) + +inst_315: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 630*FLEN/8, x4, x1, x2) + +inst_316: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x2; + valaddr_reg:x3; val_offset:632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 632*FLEN/8, x4, x1, x2) + +inst_317: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 634*FLEN/8, x4, x1, x2) + +inst_318: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 636*FLEN/8, x4, x1, x2) + +inst_319: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 638*FLEN/8, x4, x1, x2) + +inst_320: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 640*FLEN/8, x4, x1, x2) + +inst_321: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 642*FLEN/8, x4, x1, x2) + +inst_322: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 644*FLEN/8, x4, x1, x2) + +inst_323: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 646*FLEN/8, x4, x1, x2) + +inst_324: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 648*FLEN/8, x4, x1, x2) + +inst_325: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 650*FLEN/8, x4, x1, x2) + +inst_326: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 652*FLEN/8, x4, x1, x2) + +inst_327: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 654*FLEN/8, x4, x1, x2) + +inst_328: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 656*FLEN/8, x4, x1, x2) + +inst_329: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 658*FLEN/8, x4, x1, x2) + +inst_330: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 660*FLEN/8, x4, x1, x2) + +inst_331: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 662*FLEN/8, x4, x1, x2) + +inst_332: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 664*FLEN/8, x4, x1, x2) + +inst_333: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 666*FLEN/8, x4, x1, x2) + +inst_334: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 668*FLEN/8, x4, x1, x2) + +inst_335: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 670*FLEN/8, x4, x1, x2) + +inst_336: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 672*FLEN/8, x4, x1, x2) + +inst_337: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 674*FLEN/8, x4, x1, x2) + +inst_338: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 676*FLEN/8, x4, x1, x2) + +inst_339: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 678*FLEN/8, x4, x1, x2) + +inst_340: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 680*FLEN/8, x4, x1, x2) + +inst_341: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 682*FLEN/8, x4, x1, x2) + +inst_342: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 684*FLEN/8, x4, x1, x2) + +inst_343: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 686*FLEN/8, x4, x1, x2) + +inst_344: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 688*FLEN/8, x4, x1, x2) + +inst_345: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 690*FLEN/8, x4, x1, x2) + +inst_346: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 692*FLEN/8, x4, x1, x2) + +inst_347: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 694*FLEN/8, x4, x1, x2) + +inst_348: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 696*FLEN/8, x4, x1, x2) + +inst_349: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 698*FLEN/8, x4, x1, x2) + +inst_350: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 700*FLEN/8, x4, x1, x2) + +inst_351: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 702*FLEN/8, x4, x1, x2) + +inst_352: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 704*FLEN/8, x4, x1, x2) + +inst_353: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 706*FLEN/8, x4, x1, x2) + +inst_354: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 708*FLEN/8, x4, x1, x2) + +inst_355: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 710*FLEN/8, x4, x1, x2) + +inst_356: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 712*FLEN/8, x4, x1, x2) + +inst_357: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 714*FLEN/8, x4, x1, x2) + +inst_358: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 716*FLEN/8, x4, x1, x2) + +inst_359: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 718*FLEN/8, x4, x1, x2) + +inst_360: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 720*FLEN/8, x4, x1, x2) + +inst_361: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 722*FLEN/8, x4, x1, x2) + +inst_362: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 724*FLEN/8, x4, x1, x2) + +inst_363: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 726*FLEN/8, x4, x1, x2) + +inst_364: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 728*FLEN/8, x4, x1, x2) + +inst_365: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 730*FLEN/8, x4, x1, x2) + +inst_366: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 732*FLEN/8, x4, x1, x2) + +inst_367: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 734*FLEN/8, x4, x1, x2) + +inst_368: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 736*FLEN/8, x4, x1, x2) + +inst_369: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 738*FLEN/8, x4, x1, x2) + +inst_370: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 740*FLEN/8, x4, x1, x2) + +inst_371: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 742*FLEN/8, x4, x1, x2) + +inst_372: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 744*FLEN/8, x4, x1, x2) + +inst_373: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 746*FLEN/8, x4, x1, x2) + +inst_374: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 748*FLEN/8, x4, x1, x2) + +inst_375: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 750*FLEN/8, x4, x1, x2) + +inst_376: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 752*FLEN/8, x4, x1, x2) + +inst_377: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 754*FLEN/8, x4, x1, x2) + +inst_378: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 756*FLEN/8, x4, x1, x2) + +inst_379: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 758*FLEN/8, x4, x1, x2) + +inst_380: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 760*FLEN/8, x4, x1, x2) + +inst_381: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 762*FLEN/8, x4, x1, x2) + +inst_382: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 764*FLEN/8, x4, x1, x2) + +inst_383: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 766*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_4) + +inst_384: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 768*FLEN/8, x4, x1, x2) + +inst_385: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 770*FLEN/8, x4, x1, x2) + +inst_386: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 772*FLEN/8, x4, x1, x2) + +inst_387: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 774*FLEN/8, x4, x1, x2) + +inst_388: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 776*FLEN/8, x4, x1, x2) + +inst_389: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 778*FLEN/8, x4, x1, x2) + +inst_390: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 780*FLEN/8, x4, x1, x2) + +inst_391: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 782*FLEN/8, x4, x1, x2) + +inst_392: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 784*FLEN/8, x4, x1, x2) + +inst_393: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 786*FLEN/8, x4, x1, x2) + +inst_394: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 788*FLEN/8, x4, x1, x2) + +inst_395: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 790*FLEN/8, x4, x1, x2) + +inst_396: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 792*FLEN/8, x4, x1, x2) + +inst_397: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 794*FLEN/8, x4, x1, x2) + +inst_398: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 796*FLEN/8, x4, x1, x2) + +inst_399: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 798*FLEN/8, x4, x1, x2) + +inst_400: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 800*FLEN/8, x4, x1, x2) + +inst_401: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 802*FLEN/8, x4, x1, x2) + +inst_402: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 804*FLEN/8, x4, x1, x2) + +inst_403: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 806*FLEN/8, x4, x1, x2) + +inst_404: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 808*FLEN/8, x4, x1, x2) + +inst_405: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 810*FLEN/8, x4, x1, x2) + +inst_406: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 812*FLEN/8, x4, x1, x2) + +inst_407: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 814*FLEN/8, x4, x1, x2) + +inst_408: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 816*FLEN/8, x4, x1, x2) + +inst_409: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 818*FLEN/8, x4, x1, x2) + +inst_410: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 820*FLEN/8, x4, x1, x2) + +inst_411: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 822*FLEN/8, x4, x1, x2) + +inst_412: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 824*FLEN/8, x4, x1, x2) + +inst_413: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 826*FLEN/8, x4, x1, x2) + +inst_414: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 828*FLEN/8, x4, x1, x2) + +inst_415: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 830*FLEN/8, x4, x1, x2) + +inst_416: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 832*FLEN/8, x4, x1, x2) + +inst_417: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 834*FLEN/8, x4, x1, x2) + +inst_418: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 836*FLEN/8, x4, x1, x2) + +inst_419: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 838*FLEN/8, x4, x1, x2) + +inst_420: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 840*FLEN/8, x4, x1, x2) + +inst_421: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 842*FLEN/8, x4, x1, x2) + +inst_422: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 844*FLEN/8, x4, x1, x2) + +inst_423: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 846*FLEN/8, x4, x1, x2) + +inst_424: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 848*FLEN/8, x4, x1, x2) + +inst_425: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 850*FLEN/8, x4, x1, x2) + +inst_426: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 852*FLEN/8, x4, x1, x2) + +inst_427: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 854*FLEN/8, x4, x1, x2) + +inst_428: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 856*FLEN/8, x4, x1, x2) + +inst_429: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 858*FLEN/8, x4, x1, x2) + +inst_430: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 860*FLEN/8, x4, x1, x2) + +inst_431: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 862*FLEN/8, x4, x1, x2) + +inst_432: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x0; + valaddr_reg:x3; val_offset:864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 864*FLEN/8, x4, x1, x2) + +inst_433: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 866*FLEN/8, x4, x1, x2) + +inst_434: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x1; + valaddr_reg:x3; val_offset:868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 868*FLEN/8, x4, x1, x2) + +inst_435: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 870*FLEN/8, x4, x1, x2) + +inst_436: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x2; + valaddr_reg:x3; val_offset:872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 872*FLEN/8, x4, x1, x2) + +inst_437: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 874*FLEN/8, x4, x1, x2) + +inst_438: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 876*FLEN/8, x4, x1, x2) + +inst_439: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 878*FLEN/8, x4, x1, x2) + +inst_440: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 880*FLEN/8, x4, x1, x2) + +inst_441: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 882*FLEN/8, x4, x1, x2) + +inst_442: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 884*FLEN/8, x4, x1, x2) + +inst_443: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 886*FLEN/8, x4, x1, x2) + +inst_444: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 888*FLEN/8, x4, x1, x2) + +inst_445: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 890*FLEN/8, x4, x1, x2) + +inst_446: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 892*FLEN/8, x4, x1, x2) + +inst_447: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 894*FLEN/8, x4, x1, x2) + +inst_448: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 896*FLEN/8, x4, x1, x2) + +inst_449: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 898*FLEN/8, x4, x1, x2) + +inst_450: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 900*FLEN/8, x4, x1, x2) + +inst_451: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 902*FLEN/8, x4, x1, x2) + +inst_452: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 904*FLEN/8, x4, x1, x2) + +inst_453: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 906*FLEN/8, x4, x1, x2) + +inst_454: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 908*FLEN/8, x4, x1, x2) + +inst_455: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 910*FLEN/8, x4, x1, x2) + +inst_456: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x0; + valaddr_reg:x3; val_offset:912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 912*FLEN/8, x4, x1, x2) + +inst_457: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 914*FLEN/8, x4, x1, x2) + +inst_458: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x1; + valaddr_reg:x3; val_offset:916*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 916*FLEN/8, x4, x1, x2) + +inst_459: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:918*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 918*FLEN/8, x4, x1, x2) + +inst_460: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x2; + valaddr_reg:x3; val_offset:920*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 920*FLEN/8, x4, x1, x2) + +inst_461: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:922*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 922*FLEN/8, x4, x1, x2) + +inst_462: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:924*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 924*FLEN/8, x4, x1, x2) + +inst_463: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:926*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 926*FLEN/8, x4, x1, x2) + +inst_464: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:928*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 928*FLEN/8, x4, x1, x2) + +inst_465: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:930*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 930*FLEN/8, x4, x1, x2) + +inst_466: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:932*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 932*FLEN/8, x4, x1, x2) + +inst_467: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:934*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 934*FLEN/8, x4, x1, x2) + +inst_468: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:936*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 936*FLEN/8, x4, x1, x2) + +inst_469: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:938*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 938*FLEN/8, x4, x1, x2) + +inst_470: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:940*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 940*FLEN/8, x4, x1, x2) + +inst_471: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:942*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 942*FLEN/8, x4, x1, x2) + +inst_472: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:944*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 944*FLEN/8, x4, x1, x2) + +inst_473: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:946*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 946*FLEN/8, x4, x1, x2) + +inst_474: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:948*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 948*FLEN/8, x4, x1, x2) + +inst_475: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:950*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 950*FLEN/8, x4, x1, x2) + +inst_476: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:952*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 952*FLEN/8, x4, x1, x2) + +inst_477: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:954*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 954*FLEN/8, x4, x1, x2) + +inst_478: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:956*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 956*FLEN/8, x4, x1, x2) + +inst_479: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:958*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 958*FLEN/8, x4, x1, x2) + +inst_480: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x0; + valaddr_reg:x3; val_offset:960*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 960*FLEN/8, x4, x1, x2) + +inst_481: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:962*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 962*FLEN/8, x4, x1, x2) + +inst_482: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x1; + valaddr_reg:x3; val_offset:964*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 964*FLEN/8, x4, x1, x2) + +inst_483: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:966*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 966*FLEN/8, x4, x1, x2) + +inst_484: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x2; + valaddr_reg:x3; val_offset:968*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 968*FLEN/8, x4, x1, x2) + +inst_485: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:970*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 970*FLEN/8, x4, x1, x2) + +inst_486: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:972*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 972*FLEN/8, x4, x1, x2) + +inst_487: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:974*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 974*FLEN/8, x4, x1, x2) + +inst_488: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:976*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 976*FLEN/8, x4, x1, x2) + +inst_489: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:978*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 978*FLEN/8, x4, x1, x2) + +inst_490: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:980*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 980*FLEN/8, x4, x1, x2) + +inst_491: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:982*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 982*FLEN/8, x4, x1, x2) + +inst_492: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:984*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 984*FLEN/8, x4, x1, x2) + +inst_493: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:986*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 986*FLEN/8, x4, x1, x2) + +inst_494: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:988*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 988*FLEN/8, x4, x1, x2) + +inst_495: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:990*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 990*FLEN/8, x4, x1, x2) + +inst_496: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:992*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 992*FLEN/8, x4, x1, x2) + +inst_497: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:994*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 994*FLEN/8, x4, x1, x2) + +inst_498: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:996*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 996*FLEN/8, x4, x1, x2) + +inst_499: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:998*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 998*FLEN/8, x4, x1, x2) + +inst_500: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:1000*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1000*FLEN/8, x4, x1, x2) + +inst_501: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:1002*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1002*FLEN/8, x4, x1, x2) + +inst_502: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:1004*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1004*FLEN/8, x4, x1, x2) + +inst_503: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:1006*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1006*FLEN/8, x4, x1, x2) + +inst_504: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x0; + valaddr_reg:x3; val_offset:1008*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1008*FLEN/8, x4, x1, x2) + +inst_505: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:1010*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1010*FLEN/8, x4, x1, x2) + +inst_506: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x1; + valaddr_reg:x3; val_offset:1012*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1012*FLEN/8, x4, x1, x2) + +inst_507: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:1014*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1014*FLEN/8, x4, x1, x2) + +inst_508: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x2; + valaddr_reg:x3; val_offset:1016*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1016*FLEN/8, x4, x1, x2) + +inst_509: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:1018*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1018*FLEN/8, x4, x1, x2) + +inst_510: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:1020*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1020*FLEN/8, x4, x1, x2) + +inst_511: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:1022*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1022*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_5) + +inst_512: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:1024*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1024*FLEN/8, x4, x1, x2) + +inst_513: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:1026*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1026*FLEN/8, x4, x1, x2) + +inst_514: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:1028*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1028*FLEN/8, x4, x1, x2) + +inst_515: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:1030*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1030*FLEN/8, x4, x1, x2) + +inst_516: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:1032*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1032*FLEN/8, x4, x1, x2) + +inst_517: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:1034*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1034*FLEN/8, x4, x1, x2) + +inst_518: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1036*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1036*FLEN/8, x4, x1, x2) + +inst_519: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1038*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1038*FLEN/8, x4, x1, x2) + +inst_520: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:1040*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1040*FLEN/8, x4, x1, x2) + +inst_521: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:1042*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1042*FLEN/8, x4, x1, x2) + +inst_522: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:1044*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1044*FLEN/8, x4, x1, x2) + +inst_523: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:1046*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1046*FLEN/8, x4, x1, x2) + +inst_524: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:1048*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1048*FLEN/8, x4, x1, x2) + +inst_525: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:1050*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1050*FLEN/8, x4, x1, x2) + +inst_526: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:1052*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1052*FLEN/8, x4, x1, x2) + +inst_527: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:1054*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1054*FLEN/8, x4, x1, x2) + +inst_528: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:1056*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1056*FLEN/8, x4, x1, x2) + +inst_529: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:1058*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1058*FLEN/8, x4, x1, x2) + +inst_530: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:1060*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1060*FLEN/8, x4, x1, x2) + +inst_531: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:1062*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1062*FLEN/8, x4, x1, x2) + +inst_532: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:1064*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1064*FLEN/8, x4, x1, x2) + +inst_533: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:1066*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1066*FLEN/8, x4, x1, x2) + +inst_534: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:1068*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1068*FLEN/8, x4, x1, x2) + +inst_535: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:1070*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1070*FLEN/8, x4, x1, x2) + +inst_536: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:1072*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1072*FLEN/8, x4, x1, x2) + +inst_537: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:1074*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1074*FLEN/8, x4, x1, x2) + +inst_538: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:1076*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1076*FLEN/8, x4, x1, x2) + +inst_539: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:1078*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1078*FLEN/8, x4, x1, x2) + +inst_540: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:1080*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1080*FLEN/8, x4, x1, x2) + +inst_541: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:1082*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1082*FLEN/8, x4, x1, x2) + +inst_542: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1084*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1084*FLEN/8, x4, x1, x2) + +inst_543: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1086*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1086*FLEN/8, x4, x1, x2) + +inst_544: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:1088*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1088*FLEN/8, x4, x1, x2) + +inst_545: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:1090*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1090*FLEN/8, x4, x1, x2) + +inst_546: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:1092*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1092*FLEN/8, x4, x1, x2) + +inst_547: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:1094*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1094*FLEN/8, x4, x1, x2) + +inst_548: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:1096*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1096*FLEN/8, x4, x1, x2) + +inst_549: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:1098*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1098*FLEN/8, x4, x1, x2) + +inst_550: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:1100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1100*FLEN/8, x4, x1, x2) + +inst_551: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:1102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1102*FLEN/8, x4, x1, x2) + +inst_552: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:1104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1104*FLEN/8, x4, x1, x2) + +inst_553: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:1106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1106*FLEN/8, x4, x1, x2) + +inst_554: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:1108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1108*FLEN/8, x4, x1, x2) + +inst_555: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:1110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1110*FLEN/8, x4, x1, x2) + +inst_556: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:1112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1112*FLEN/8, x4, x1, x2) + +inst_557: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:1114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1114*FLEN/8, x4, x1, x2) + +inst_558: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:1116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1116*FLEN/8, x4, x1, x2) + +inst_559: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:1118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1118*FLEN/8, x4, x1, x2) + +inst_560: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:1120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1120*FLEN/8, x4, x1, x2) + +inst_561: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:1122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1122*FLEN/8, x4, x1, x2) + +inst_562: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:1124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1124*FLEN/8, x4, x1, x2) + +inst_563: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:1126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1126*FLEN/8, x4, x1, x2) + +inst_564: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:1128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1128*FLEN/8, x4, x1, x2) + +inst_565: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:1130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1130*FLEN/8, x4, x1, x2) + +inst_566: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1132*FLEN/8, x4, x1, x2) + +inst_567: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1134*FLEN/8, x4, x1, x2) + +inst_568: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:1136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1136*FLEN/8, x4, x1, x2) + +inst_569: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:1138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1138*FLEN/8, x4, x1, x2) + +inst_570: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:1140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1140*FLEN/8, x4, x1, x2) + +inst_571: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:1142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1142*FLEN/8, x4, x1, x2) + +inst_572: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:1144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1144*FLEN/8, x4, x1, x2) + +inst_573: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:1146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1146*FLEN/8, x4, x1, x2) + +inst_574: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:1148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1148*FLEN/8, x4, x1, x2) + +inst_575: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:1150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1150*FLEN/8, x4, x1, x2) + +inst_576: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:1152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1152*FLEN/8, x4, x1, x2) + +inst_577: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:1154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1154*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_5: + .fill 132*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fminm.d_b19-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fminm.d_b19-01.S new file mode 100644 index 000000000..d97b0e5a2 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fminm.d_b19-01.S @@ -0,0 +1,11109 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:37:45 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fminm.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fminm.d instruction of the RISC-V RV32FD_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fminm.d_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fminm.d_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 == rs2 == rd, rs1==f31, rs2==f31, rd==f31,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f31; op2:f31; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f31, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f29, rs2==f28, rd==f30,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f29; op2:f28; dest:f30; op1val:0x7feb0580f98a7dbd; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f30, f29, f28, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs1 == rd != rs2, rs1==f28, rs2==f30, rd==f28,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x59e00c7a1fe31 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f28; op2:f30; dest:f28; op1val:0x7fb59e00c7a1fe31; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f28, f28, f30, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs1 == rs2 != rd, rs1==f27, rs2==f27, rd==f29,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x59e00c7a1fe31 and fcsr == 0 +/* opcode: fminm.d ; op1:f27; op2:f27; dest:f29; op1val:0x7ff0000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f29, f27, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs2 == rd != rs1, rs1==f30, rs2==f26, rd==f26,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x59e00c7a1fe31 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f26; dest:f26; op1val:0x7fb59e00c7a1fe31; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f26, f30, f26, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f26, rs2==f29, rd==f27,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x59e00c7a1fe31 and fcsr == 0 +/* opcode: fminm.d ; op1:f26; op2:f29; dest:f27; op1val:0x7feb0580f98a7dbd; op2val:0x7fb59e00c7a1fe31; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f27, f26, f29, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f24, rs2==f23, rd==f25,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f24; op2:f23; dest:f25; op1val:0x7feb0580f98a7dbd; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f25, f24, f23, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f23, rs2==f25, rd==f24,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x59e00c7a1fe31 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f23; op2:f25; dest:f24; op1val:0x7fb59e00c7a1fe31; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f24, f23, f25, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f25, rs2==f24, rd==f23,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f25; op2:f24; dest:f23; op1val:0x7feb0580f98a7dbd; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f23, f25, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rs2==f20, rd==f22,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x59e00c7a1fe31 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f21; op2:f20; dest:f22; op1val:0x7fb59e00c7a1fe31; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f22, f21, f20, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f20, rs2==f22, rd==f21,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f20; op2:f22; dest:f21; op1val:0x7feb0580f98a7dbd; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f21, f20, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f22, rs2==f21, rd==f20,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f22; op2:f21; dest:f20; op1val:0x7fed1ca42e21585b; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f20, f22, f21, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f18, rs2==f17, rd==f19,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f18; op2:f17; dest:f19; op1val:0x7feb0580f98a7dbd; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f19, f18, f17, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f17, rs2==f19, rd==f18,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x59e00c7a1fe31 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f17; op2:f19; dest:f18; op1val:0x7fb59e00c7a1fe31; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f18, f17, f19, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f19, rs2==f18, rd==f17,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x59e00c7a1fe31 and fcsr == 0 +/* opcode: fminm.d ; op1:f19; op2:f18; dest:f17; op1val:0xfff0000000000000; op2val:0x7fb59e00c7a1fe31; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f17, f19, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rs2==f14, rd==f16,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x59e00c7a1fe31 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f15; op2:f14; dest:f16; op1val:0x7fb59e00c7a1fe31; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f16, f15, f14, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f14, rs2==f16, rd==f15,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f14; op2:f16; dest:f15; op1val:0x7feb0580f98a7dbd; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f15, f14, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f16, rs2==f15, rd==f14,fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f16; op2:f15; dest:f14; op1val:0xffe30ac79053ba62; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f14, f16, f15, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f12, rs2==f11, rd==f13,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f12; op2:f11; dest:f13; op1val:0x7feb0580f98a7dbd; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f13, f12, f11, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f11, rs2==f13, rd==f12,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x59e00c7a1fe31 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f11; op2:f13; dest:f12; op1val:0x7fb59e00c7a1fe31; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f12, f11, f13, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f13, rs2==f12, rd==f11,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f13; op2:f12; dest:f11; op1val:0x7feb0580f98a7dbd; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f11, f13, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rs2==f8, rd==f10,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x59e00c7a1fe31 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f9; op2:f8; dest:f10; op1val:0x7fb59e00c7a1fe31; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f10, f9, f8, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f8, rs2==f10, rd==f9,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f8; op2:f10; dest:f9; op1val:0x7feb0580f98a7dbd; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f9, f8, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f10, rs2==f9, rd==f8,fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x14b33d2e7fe8d and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f10; op2:f9; dest:f8; op1val:0x7f814b33d2e7fe8d; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f8, f10, f9, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f6, rs2==f5, rd==f7,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x14b33d2e7fe8d and fcsr == 0 +/* opcode: fminm.d ; op1:f6; op2:f5; dest:f7; op1val:0xfff0000000000000; op2val:0x7f814b33d2e7fe8d; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f7, f6, f5, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f5, rs2==f7, rd==f6,fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x14b33d2e7fe8d and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f5; op2:f7; dest:f6; op1val:0x7f814b33d2e7fe8d; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f6, f5, f7, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f7, rs2==f6, rd==f5,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x14b33d2e7fe8d and fcsr == 0 +/* opcode: fminm.d ; op1:f7; op2:f6; dest:f5; op1val:0x7feb0580f98a7dbd; op2val:0x7f814b33d2e7fe8d; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f5, f7, f6, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rs2==f2, rd==f4,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f3; op2:f2; dest:f4; op1val:0x7feb0580f98a7dbd; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f4, f3, f2, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f2, rs2==f4, rd==f3,fs1 == 0 and fe1 == 0x000 and fm1 == 0x11770f6c9c8eb and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f2; op2:f4; dest:f3; op1val:0x11770f6c9c8eb; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f3, f2, f4, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f4, rs2==f3, rd==f2,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x11770f6c9c8eb and fcsr == 0 +/* opcode: fminm.d ; op1:f4; op2:f3; dest:f2; op1val:0x7ff0000000000000; op2val:0x11770f6c9c8eb; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f2, f4, f3, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f1,fs1 == 0 and fe1 == 0x000 and fm1 == 0x11770f6c9c8eb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f1; op2:f30; dest:f31; op1val:0x11770f6c9c8eb; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f1, f30, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f0,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x000 and fm2 == 0x11770f6c9c8eb and fcsr == 0 +/* opcode: fminm.d ; op1:f0; op2:f30; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0x11770f6c9c8eb; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f0, f30, 0, 0, x3, 62*FLEN/8, x4, x1, x2) + +inst_32: +// rs2==f1,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f1; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:64*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f1, 0, 0, x3, 64*FLEN/8, x4, x1, x2) + +inst_33: +// rs2==f0,fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xf0b5e103c0954 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f0; dest:f31; op1val:0xaea69a3e1d929; op2val:0x7fef0b5e103c0954; + valaddr_reg:x3; val_offset:66*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f0, 0, 0, x3, 66*FLEN/8, x4, x1, x2) + +inst_34: +// rd==f1,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xf0b5e103c0954 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xaea69a3e1d929 and fcsr == 0 +/* opcode: fminm.d ; op1:f31; op2:f30; dest:f1; op1val:0x7fef0b5e103c0954; op2val:0xaea69a3e1d929; + valaddr_reg:x3; val_offset:68*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f1, f31, f30, 0, 0, x3, 68*FLEN/8, x4, x1, x2) + +inst_35: +// rd==f0,fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f31; op2:f30; dest:f0; op1val:0xaea69a3e1d929; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:70*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f0, f31, f30, 0, 0, x3, 70*FLEN/8, x4, x1, x2) + +inst_36: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x000 and fm2 == 0xaea69a3e1d929 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0xaea69a3e1d929; + valaddr_reg:x3; val_offset:72*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 72*FLEN/8, x4, x1, x2) + +inst_37: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:74*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 74*FLEN/8, x4, x1, x2) + +inst_38: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:76*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 76*FLEN/8, x4, x1, x2) + +inst_39: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xaea69a3e1d929 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xaea69a3e1d929; + valaddr_reg:x3; val_offset:78*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 78*FLEN/8, x4, x1, x2) + +inst_40: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:80*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 80*FLEN/8, x4, x1, x2) + +inst_41: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:82*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 82*FLEN/8, x4, x1, x2) + +inst_42: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x87dfc3c4343fa and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0x7fe87dfc3c4343fa; + valaddr_reg:x3; val_offset:84*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 84*FLEN/8, x4, x1, x2) + +inst_43: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x87dfc3c4343fa and fs2 == 0 and fe2 == 0x000 and fm2 == 0xaea69a3e1d929 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe87dfc3c4343fa; op2val:0xaea69a3e1d929; + valaddr_reg:x3; val_offset:86*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 86*FLEN/8, x4, x1, x2) + +inst_44: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:88*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 88*FLEN/8, x4, x1, x2) + +inst_45: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:90*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 90*FLEN/8, x4, x1, x2) + +inst_46: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:92*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 92*FLEN/8, x4, x1, x2) + +inst_47: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:94*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 94*FLEN/8, x4, x1, x2) + +inst_48: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xd5a40c379c682 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0xffed5a40c379c682; + valaddr_reg:x3; val_offset:96*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 96*FLEN/8, x4, x1, x2) + +inst_49: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd5a40c379c682 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xaea69a3e1d929 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed5a40c379c682; op2val:0xaea69a3e1d929; + valaddr_reg:x3; val_offset:98*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 98*FLEN/8, x4, x1, x2) + +inst_50: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 100*FLEN/8, x4, x1, x2) + +inst_51: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 102*FLEN/8, x4, x1, x2) + +inst_52: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xb9818fe1eccda and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0xffeb9818fe1eccda; + valaddr_reg:x3; val_offset:104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 104*FLEN/8, x4, x1, x2) + +inst_53: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb9818fe1eccda and fs2 == 0 and fe2 == 0x000 and fm2 == 0xaea69a3e1d929 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffeb9818fe1eccda; op2val:0xaea69a3e1d929; + valaddr_reg:x3; val_offset:106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 106*FLEN/8, x4, x1, x2) + +inst_54: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 108*FLEN/8, x4, x1, x2) + +inst_55: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 110*FLEN/8, x4, x1, x2) + +inst_56: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x39e41023e1389 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0xffe39e41023e1389; + valaddr_reg:x3; val_offset:112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 112*FLEN/8, x4, x1, x2) + +inst_57: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x39e41023e1389 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xaea69a3e1d929 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe39e41023e1389; op2val:0xaea69a3e1d929; + valaddr_reg:x3; val_offset:114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 114*FLEN/8, x4, x1, x2) + +inst_58: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 116*FLEN/8, x4, x1, x2) + +inst_59: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 118*FLEN/8, x4, x1, x2) + +inst_60: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xdaf87e09a11da and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0xffedaf87e09a11da; + valaddr_reg:x3; val_offset:120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 120*FLEN/8, x4, x1, x2) + +inst_61: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xdaf87e09a11da and fs2 == 0 and fe2 == 0x000 and fm2 == 0xaea69a3e1d929 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffedaf87e09a11da; op2val:0xaea69a3e1d929; + valaddr_reg:x3; val_offset:122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 122*FLEN/8, x4, x1, x2) + +inst_62: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 124*FLEN/8, x4, x1, x2) + +inst_63: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 126*FLEN/8, x4, x1, x2) + +inst_64: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x11770f6c9c8eb and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x11770f6c9c8eb; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 128*FLEN/8, x4, x1, x2) + +inst_65: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x11770f6c9c8eb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x11770f6c9c8eb; + valaddr_reg:x3; val_offset:130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 130*FLEN/8, x4, x1, x2) + +inst_66: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x11770f6c9c8eb and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x11770f6c9c8eb; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 132*FLEN/8, x4, x1, x2) + +inst_67: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0x0; + valaddr_reg:x3; val_offset:134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 134*FLEN/8, x4, x1, x2) + +inst_68: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x849c649169268 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff849c649169268; op2val:0x0; + valaddr_reg:x3; val_offset:136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 136*FLEN/8, x4, x1, x2) + +inst_69: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x849c649169268 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x3ff849c649169268; + valaddr_reg:x3; val_offset:138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 138*FLEN/8, x4, x1, x2) + +inst_70: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x849c649169268 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0x3ff849c649169268; + valaddr_reg:x3; val_offset:140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 140*FLEN/8, x4, x1, x2) + +inst_71: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 142*FLEN/8, x4, x1, x2) + +inst_72: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 144*FLEN/8, x4, x1, x2) + +inst_73: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 146*FLEN/8, x4, x1, x2) + +inst_74: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 148*FLEN/8, x4, x1, x2) + +inst_75: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 150*FLEN/8, x4, x1, x2) + +inst_76: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 152*FLEN/8, x4, x1, x2) + +inst_77: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 154*FLEN/8, x4, x1, x2) + +inst_78: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 156*FLEN/8, x4, x1, x2) + +inst_79: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 158*FLEN/8, x4, x1, x2) + +inst_80: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x74a1cf1b446af and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7fb74a1cf1b446af; + valaddr_reg:x3; val_offset:160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 160*FLEN/8, x4, x1, x2) + +inst_81: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x74a1cf1b446af and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb74a1cf1b446af; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 162*FLEN/8, x4, x1, x2) + +inst_82: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 164*FLEN/8, x4, x1, x2) + +inst_83: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 166*FLEN/8, x4, x1, x2) + +inst_84: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 168*FLEN/8, x4, x1, x2) + +inst_85: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 170*FLEN/8, x4, x1, x2) + +inst_86: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xe77a5b3b92a36 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xffae77a5b3b92a36; + valaddr_reg:x3; val_offset:172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 172*FLEN/8, x4, x1, x2) + +inst_87: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xe77a5b3b92a36 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffae77a5b3b92a36; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 174*FLEN/8, x4, x1, x2) + +inst_88: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 176*FLEN/8, x4, x1, x2) + +inst_89: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 178*FLEN/8, x4, x1, x2) + +inst_90: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 180*FLEN/8, x4, x1, x2) + +inst_91: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 182*FLEN/8, x4, x1, x2) + +inst_92: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 184*FLEN/8, x4, x1, x2) + +inst_93: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 186*FLEN/8, x4, x1, x2) + +inst_94: +// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xa2d6149828b3c and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x608daef2c2520 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7faa2d6149828b3c; op2val:0xffc608daef2c2520; + valaddr_reg:x3; val_offset:188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 188*FLEN/8, x4, x1, x2) + +inst_95: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 0 and fe2 == 0x7fa and fm2 == 0xa2d6149828b3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0x7faa2d6149828b3c; + valaddr_reg:x3; val_offset:190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 190*FLEN/8, x4, x1, x2) + +inst_96: +// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xa2d6149828b3c and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7faa2d6149828b3c; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 192*FLEN/8, x4, x1, x2) + +inst_97: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x7fa and fm2 == 0xa2d6149828b3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x7faa2d6149828b3c; + valaddr_reg:x3; val_offset:194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 194*FLEN/8, x4, x1, x2) + +inst_98: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 196*FLEN/8, x4, x1, x2) + +inst_99: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x69bf113fe2b81 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x0a8686b58e06e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x69bf113fe2b81; op2val:0x7fe0a8686b58e06e; + valaddr_reg:x3; val_offset:198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 198*FLEN/8, x4, x1, x2) + +inst_100: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x69bf113fe2b81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0x69bf113fe2b81; + valaddr_reg:x3; val_offset:200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 200*FLEN/8, x4, x1, x2) + +inst_101: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x69bf113fe2b81 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x69bf113fe2b81; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 202*FLEN/8, x4, x1, x2) + +inst_102: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x69bf113fe2b81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x69bf113fe2b81; + valaddr_reg:x3; val_offset:204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 204*FLEN/8, x4, x1, x2) + +inst_103: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 206*FLEN/8, x4, x1, x2) + +inst_104: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8d5e4d9c9a110 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0x7fb8d5e4d9c9a110; + valaddr_reg:x3; val_offset:208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 208*FLEN/8, x4, x1, x2) + +inst_105: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x085dab1fb6cc3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0x3085dab1fb6cc3; + valaddr_reg:x3; val_offset:210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 210*FLEN/8, x4, x1, x2) + +inst_106: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 212*FLEN/8, x4, x1, x2) + +inst_107: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x085dab1fb6cc3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x3085dab1fb6cc3; + valaddr_reg:x3; val_offset:214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 214*FLEN/8, x4, x1, x2) + +inst_108: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 216*FLEN/8, x4, x1, x2) + +inst_109: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xcc6497dd9aeac and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0x7fbcc6497dd9aeac; + valaddr_reg:x3; val_offset:218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 218*FLEN/8, x4, x1, x2) + +inst_110: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 0 and fe2 == 0x003 and fm2 == 0x085dab1fb6cc3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0x3085dab1fb6cc3; + valaddr_reg:x3; val_offset:220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 220*FLEN/8, x4, x1, x2) + +inst_111: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 222*FLEN/8, x4, x1, x2) + +inst_112: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 224*FLEN/8, x4, x1, x2) + +inst_113: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x397fcfd029cc8 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0x7fb397fcfd029cc8; + valaddr_reg:x3; val_offset:226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 226*FLEN/8, x4, x1, x2) + +inst_114: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x085dab1fb6cc3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0x3085dab1fb6cc3; + valaddr_reg:x3; val_offset:228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 228*FLEN/8, x4, x1, x2) + +inst_115: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 230*FLEN/8, x4, x1, x2) + +inst_116: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 232*FLEN/8, x4, x1, x2) + +inst_117: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x9ac314c1e5de7 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0x7fb9ac314c1e5de7; + valaddr_reg:x3; val_offset:234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 234*FLEN/8, x4, x1, x2) + +inst_118: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x085dab1fb6cc3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0x3085dab1fb6cc3; + valaddr_reg:x3; val_offset:236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 236*FLEN/8, x4, x1, x2) + +inst_119: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 238*FLEN/8, x4, x1, x2) + +inst_120: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 240*FLEN/8, x4, x1, x2) + +inst_121: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x77b6702c7d202 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0xffb77b6702c7d202; + valaddr_reg:x3; val_offset:242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 242*FLEN/8, x4, x1, x2) + +inst_122: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x085dab1fb6cc3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0x3085dab1fb6cc3; + valaddr_reg:x3; val_offset:244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 244*FLEN/8, x4, x1, x2) + +inst_123: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 246*FLEN/8, x4, x1, x2) + +inst_124: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 248*FLEN/8, x4, x1, x2) + +inst_125: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x6134731b23d7b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0xffb6134731b23d7b; + valaddr_reg:x3; val_offset:250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 250*FLEN/8, x4, x1, x2) + +inst_126: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 0 and fe2 == 0x003 and fm2 == 0x085dab1fb6cc3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0x3085dab1fb6cc3; + valaddr_reg:x3; val_offset:252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 252*FLEN/8, x4, x1, x2) + +inst_127: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 254*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_2) + +inst_128: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 256*FLEN/8, x4, x1, x2) + +inst_129: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xf639b36c9b8db and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0xffaf639b36c9b8db; + valaddr_reg:x3; val_offset:258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 258*FLEN/8, x4, x1, x2) + +inst_130: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 0 and fe2 == 0x003 and fm2 == 0x085dab1fb6cc3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0x3085dab1fb6cc3; + valaddr_reg:x3; val_offset:260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 260*FLEN/8, x4, x1, x2) + +inst_131: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 262*FLEN/8, x4, x1, x2) + +inst_132: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 264*FLEN/8, x4, x1, x2) + +inst_133: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x7bf9fe6e1a7e1 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0xffb7bf9fe6e1a7e1; + valaddr_reg:x3; val_offset:266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 266*FLEN/8, x4, x1, x2) + +inst_134: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x085dab1fb6cc3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0x3085dab1fb6cc3; + valaddr_reg:x3; val_offset:268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 268*FLEN/8, x4, x1, x2) + +inst_135: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 270*FLEN/8, x4, x1, x2) + +inst_136: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 272*FLEN/8, x4, x1, x2) + +inst_137: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x69bf113fe2b81 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xefff2c6cde040 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x69bf113fe2b81; op2val:0xffbefff2c6cde040; + valaddr_reg:x3; val_offset:274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 274*FLEN/8, x4, x1, x2) + +inst_138: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x69bf113fe2b81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0x69bf113fe2b81; + valaddr_reg:x3; val_offset:276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 276*FLEN/8, x4, x1, x2) + +inst_139: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x69bf113fe2b81 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x69bf113fe2b81; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 278*FLEN/8, x4, x1, x2) + +inst_140: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x0; + valaddr_reg:x3; val_offset:280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 280*FLEN/8, x4, x1, x2) + +inst_141: +// fs1 == 0 and fe1 == 0x402 and fm1 == 0x261de7cadff67 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x402261de7cadff67; op2val:0x0; + valaddr_reg:x3; val_offset:282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 282*FLEN/8, x4, x1, x2) + +inst_142: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x402 and fm2 == 0x261de7cadff67 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x402261de7cadff67; + valaddr_reg:x3; val_offset:284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 284*FLEN/8, x4, x1, x2) + +inst_143: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x402 and fm2 == 0x261de7cadff67 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x402261de7cadff67; + valaddr_reg:x3; val_offset:286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 286*FLEN/8, x4, x1, x2) + +inst_144: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 288*FLEN/8, x4, x1, x2) + +inst_145: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 290*FLEN/8, x4, x1, x2) + +inst_146: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 292*FLEN/8, x4, x1, x2) + +inst_147: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 294*FLEN/8, x4, x1, x2) + +inst_148: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 296*FLEN/8, x4, x1, x2) + +inst_149: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 298*FLEN/8, x4, x1, x2) + +inst_150: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 300*FLEN/8, x4, x1, x2) + +inst_151: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 302*FLEN/8, x4, x1, x2) + +inst_152: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 304*FLEN/8, x4, x1, x2) + +inst_153: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 306*FLEN/8, x4, x1, x2) + +inst_154: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 308*FLEN/8, x4, x1, x2) + +inst_155: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 310*FLEN/8, x4, x1, x2) + +inst_156: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 312*FLEN/8, x4, x1, x2) + +inst_157: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 314*FLEN/8, x4, x1, x2) + +inst_158: +// fs1 == 0 and fe1 == 0x7fa and fm1 == 0x866da024aa0c9 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x608daef2c2520 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fa866da024aa0c9; op2val:0xffc608daef2c2520; + valaddr_reg:x3; val_offset:316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 316*FLEN/8, x4, x1, x2) + +inst_159: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 0 and fe2 == 0x7fa and fm2 == 0x866da024aa0c9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0x7fa866da024aa0c9; + valaddr_reg:x3; val_offset:318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 318*FLEN/8, x4, x1, x2) + +inst_160: +// fs1 == 0 and fe1 == 0x7fa and fm1 == 0x866da024aa0c9 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fa866da024aa0c9; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 320*FLEN/8, x4, x1, x2) + +inst_161: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x7fa and fm2 == 0x866da024aa0c9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x7fa866da024aa0c9; + valaddr_reg:x3; val_offset:322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 322*FLEN/8, x4, x1, x2) + +inst_162: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 324*FLEN/8, x4, x1, x2) + +inst_163: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6292f14fe32c9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x0a8686b58e06e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6292f14fe32c9; op2val:0x7fe0a8686b58e06e; + valaddr_reg:x3; val_offset:326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 326*FLEN/8, x4, x1, x2) + +inst_164: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6292f14fe32c9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0x6292f14fe32c9; + valaddr_reg:x3; val_offset:328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 328*FLEN/8, x4, x1, x2) + +inst_165: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6292f14fe32c9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6292f14fe32c9; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 330*FLEN/8, x4, x1, x2) + +inst_166: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6292f14fe32c9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x6292f14fe32c9; + valaddr_reg:x3; val_offset:332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 332*FLEN/8, x4, x1, x2) + +inst_167: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 334*FLEN/8, x4, x1, x2) + +inst_168: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8d5e4d9c9a110 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0x7fb8d5e4d9c9a110; + valaddr_reg:x3; val_offset:336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 336*FLEN/8, x4, x1, x2) + +inst_169: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xecdeb68f6fdee and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0x2ecdeb68f6fdee; + valaddr_reg:x3; val_offset:338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 338*FLEN/8, x4, x1, x2) + +inst_170: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 340*FLEN/8, x4, x1, x2) + +inst_171: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x002 and fm2 == 0xecdeb68f6fdee and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x2ecdeb68f6fdee; + valaddr_reg:x3; val_offset:342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 342*FLEN/8, x4, x1, x2) + +inst_172: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 344*FLEN/8, x4, x1, x2) + +inst_173: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xcc6497dd9aeac and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0x7fbcc6497dd9aeac; + valaddr_reg:x3; val_offset:346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 346*FLEN/8, x4, x1, x2) + +inst_174: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 0 and fe2 == 0x002 and fm2 == 0xecdeb68f6fdee and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0x2ecdeb68f6fdee; + valaddr_reg:x3; val_offset:348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 348*FLEN/8, x4, x1, x2) + +inst_175: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 350*FLEN/8, x4, x1, x2) + +inst_176: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 352*FLEN/8, x4, x1, x2) + +inst_177: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x397fcfd029cc8 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0x7fb397fcfd029cc8; + valaddr_reg:x3; val_offset:354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 354*FLEN/8, x4, x1, x2) + +inst_178: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xecdeb68f6fdee and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0x2ecdeb68f6fdee; + valaddr_reg:x3; val_offset:356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 356*FLEN/8, x4, x1, x2) + +inst_179: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 358*FLEN/8, x4, x1, x2) + +inst_180: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 360*FLEN/8, x4, x1, x2) + +inst_181: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x9ac314c1e5de7 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0x7fb9ac314c1e5de7; + valaddr_reg:x3; val_offset:362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 362*FLEN/8, x4, x1, x2) + +inst_182: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xecdeb68f6fdee and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0x2ecdeb68f6fdee; + valaddr_reg:x3; val_offset:364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 364*FLEN/8, x4, x1, x2) + +inst_183: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 366*FLEN/8, x4, x1, x2) + +inst_184: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 368*FLEN/8, x4, x1, x2) + +inst_185: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x77b6702c7d202 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0xffb77b6702c7d202; + valaddr_reg:x3; val_offset:370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 370*FLEN/8, x4, x1, x2) + +inst_186: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xecdeb68f6fdee and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0x2ecdeb68f6fdee; + valaddr_reg:x3; val_offset:372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 372*FLEN/8, x4, x1, x2) + +inst_187: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 374*FLEN/8, x4, x1, x2) + +inst_188: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 376*FLEN/8, x4, x1, x2) + +inst_189: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x6134731b23d7b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0xffb6134731b23d7b; + valaddr_reg:x3; val_offset:378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 378*FLEN/8, x4, x1, x2) + +inst_190: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 0 and fe2 == 0x002 and fm2 == 0xecdeb68f6fdee and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0x2ecdeb68f6fdee; + valaddr_reg:x3; val_offset:380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 380*FLEN/8, x4, x1, x2) + +inst_191: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 382*FLEN/8, x4, x1, x2) + +inst_192: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 384*FLEN/8, x4, x1, x2) + +inst_193: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xf639b36c9b8db and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0xffaf639b36c9b8db; + valaddr_reg:x3; val_offset:386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 386*FLEN/8, x4, x1, x2) + +inst_194: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 0 and fe2 == 0x002 and fm2 == 0xecdeb68f6fdee and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0x2ecdeb68f6fdee; + valaddr_reg:x3; val_offset:388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 388*FLEN/8, x4, x1, x2) + +inst_195: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 390*FLEN/8, x4, x1, x2) + +inst_196: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 392*FLEN/8, x4, x1, x2) + +inst_197: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x7bf9fe6e1a7e1 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0xffb7bf9fe6e1a7e1; + valaddr_reg:x3; val_offset:394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 394*FLEN/8, x4, x1, x2) + +inst_198: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xecdeb68f6fdee and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0x2ecdeb68f6fdee; + valaddr_reg:x3; val_offset:396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 396*FLEN/8, x4, x1, x2) + +inst_199: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 398*FLEN/8, x4, x1, x2) + +inst_200: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 400*FLEN/8, x4, x1, x2) + +inst_201: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6292f14fe32c9 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xefff2c6cde040 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6292f14fe32c9; op2val:0xffbefff2c6cde040; + valaddr_reg:x3; val_offset:402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 402*FLEN/8, x4, x1, x2) + +inst_202: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6292f14fe32c9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0x6292f14fe32c9; + valaddr_reg:x3; val_offset:404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 404*FLEN/8, x4, x1, x2) + +inst_203: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6292f14fe32c9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6292f14fe32c9; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 406*FLEN/8, x4, x1, x2) + +inst_204: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x0; + valaddr_reg:x3; val_offset:408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 408*FLEN/8, x4, x1, x2) + +inst_205: +// fs1 == 0 and fe1 == 0x402 and fm1 == 0x122b0391ed653 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x402122b0391ed653; op2val:0x0; + valaddr_reg:x3; val_offset:410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 410*FLEN/8, x4, x1, x2) + +inst_206: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x402 and fm2 == 0x122b0391ed653 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x402122b0391ed653; + valaddr_reg:x3; val_offset:412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 412*FLEN/8, x4, x1, x2) + +inst_207: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x402 and fm2 == 0x122b0391ed653 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x402122b0391ed653; + valaddr_reg:x3; val_offset:414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 414*FLEN/8, x4, x1, x2) + +inst_208: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 416*FLEN/8, x4, x1, x2) + +inst_209: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 418*FLEN/8, x4, x1, x2) + +inst_210: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 420*FLEN/8, x4, x1, x2) + +inst_211: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 422*FLEN/8, x4, x1, x2) + +inst_212: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 424*FLEN/8, x4, x1, x2) + +inst_213: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 426*FLEN/8, x4, x1, x2) + +inst_214: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 428*FLEN/8, x4, x1, x2) + +inst_215: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 430*FLEN/8, x4, x1, x2) + +inst_216: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 432*FLEN/8, x4, x1, x2) + +inst_217: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 434*FLEN/8, x4, x1, x2) + +inst_218: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 436*FLEN/8, x4, x1, x2) + +inst_219: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 438*FLEN/8, x4, x1, x2) + +inst_220: +// fs1 == 0 and fe1 == 0x7f9 and fm1 == 0xcdc35c1c9eb3f and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x608daef2c2520 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f9cdc35c1c9eb3f; op2val:0xffc608daef2c2520; + valaddr_reg:x3; val_offset:440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 440*FLEN/8, x4, x1, x2) + +inst_221: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 0 and fe2 == 0x7f9 and fm2 == 0xcdc35c1c9eb3f and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0x7f9cdc35c1c9eb3f; + valaddr_reg:x3; val_offset:442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 442*FLEN/8, x4, x1, x2) + +inst_222: +// fs1 == 0 and fe1 == 0x7f9 and fm1 == 0xcdc35c1c9eb3f and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f9cdc35c1c9eb3f; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 444*FLEN/8, x4, x1, x2) + +inst_223: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x7f9 and fm2 == 0xcdc35c1c9eb3f and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x7f9cdc35c1c9eb3f; + valaddr_reg:x3; val_offset:446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 446*FLEN/8, x4, x1, x2) + +inst_224: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 448*FLEN/8, x4, x1, x2) + +inst_225: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x3a4acd3b9460c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x0a8686b58e06e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3a4acd3b9460c; op2val:0x7fe0a8686b58e06e; + valaddr_reg:x3; val_offset:450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 450*FLEN/8, x4, x1, x2) + +inst_226: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x3a4acd3b9460c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0x3a4acd3b9460c; + valaddr_reg:x3; val_offset:452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 452*FLEN/8, x4, x1, x2) + +inst_227: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x3a4acd3b9460c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3a4acd3b9460c; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 454*FLEN/8, x4, x1, x2) + +inst_228: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x3a4acd3b9460c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x3a4acd3b9460c; + valaddr_reg:x3; val_offset:456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 456*FLEN/8, x4, x1, x2) + +inst_229: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 458*FLEN/8, x4, x1, x2) + +inst_230: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8d5e4d9c9a110 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0x7fb8d5e4d9c9a110; + valaddr_reg:x3; val_offset:460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 460*FLEN/8, x4, x1, x2) + +inst_231: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 0 and fe2 == 0x002 and fm2 == 0x23760229e5e3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0x223760229e5e3c; + valaddr_reg:x3; val_offset:462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 462*FLEN/8, x4, x1, x2) + +inst_232: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 464*FLEN/8, x4, x1, x2) + +inst_233: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x002 and fm2 == 0x23760229e5e3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x223760229e5e3c; + valaddr_reg:x3; val_offset:466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 466*FLEN/8, x4, x1, x2) + +inst_234: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 468*FLEN/8, x4, x1, x2) + +inst_235: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xcc6497dd9aeac and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0x7fbcc6497dd9aeac; + valaddr_reg:x3; val_offset:470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 470*FLEN/8, x4, x1, x2) + +inst_236: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 0 and fe2 == 0x002 and fm2 == 0x23760229e5e3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0x223760229e5e3c; + valaddr_reg:x3; val_offset:472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 472*FLEN/8, x4, x1, x2) + +inst_237: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 474*FLEN/8, x4, x1, x2) + +inst_238: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 476*FLEN/8, x4, x1, x2) + +inst_239: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x397fcfd029cc8 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0x7fb397fcfd029cc8; + valaddr_reg:x3; val_offset:478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 478*FLEN/8, x4, x1, x2) + +inst_240: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 0 and fe2 == 0x002 and fm2 == 0x23760229e5e3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0x223760229e5e3c; + valaddr_reg:x3; val_offset:480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 480*FLEN/8, x4, x1, x2) + +inst_241: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 482*FLEN/8, x4, x1, x2) + +inst_242: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 484*FLEN/8, x4, x1, x2) + +inst_243: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x9ac314c1e5de7 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0x7fb9ac314c1e5de7; + valaddr_reg:x3; val_offset:486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 486*FLEN/8, x4, x1, x2) + +inst_244: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 0 and fe2 == 0x002 and fm2 == 0x23760229e5e3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0x223760229e5e3c; + valaddr_reg:x3; val_offset:488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 488*FLEN/8, x4, x1, x2) + +inst_245: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 490*FLEN/8, x4, x1, x2) + +inst_246: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 492*FLEN/8, x4, x1, x2) + +inst_247: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x77b6702c7d202 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0xffb77b6702c7d202; + valaddr_reg:x3; val_offset:494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 494*FLEN/8, x4, x1, x2) + +inst_248: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 0 and fe2 == 0x002 and fm2 == 0x23760229e5e3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0x223760229e5e3c; + valaddr_reg:x3; val_offset:496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 496*FLEN/8, x4, x1, x2) + +inst_249: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 498*FLEN/8, x4, x1, x2) + +inst_250: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 500*FLEN/8, x4, x1, x2) + +inst_251: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x6134731b23d7b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0xffb6134731b23d7b; + valaddr_reg:x3; val_offset:502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 502*FLEN/8, x4, x1, x2) + +inst_252: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 0 and fe2 == 0x002 and fm2 == 0x23760229e5e3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0x223760229e5e3c; + valaddr_reg:x3; val_offset:504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 504*FLEN/8, x4, x1, x2) + +inst_253: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 506*FLEN/8, x4, x1, x2) + +inst_254: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 508*FLEN/8, x4, x1, x2) + +inst_255: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xf639b36c9b8db and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0xffaf639b36c9b8db; + valaddr_reg:x3; val_offset:510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 510*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_3) + +inst_256: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 0 and fe2 == 0x002 and fm2 == 0x23760229e5e3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0x223760229e5e3c; + valaddr_reg:x3; val_offset:512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 512*FLEN/8, x4, x1, x2) + +inst_257: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 514*FLEN/8, x4, x1, x2) + +inst_258: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 516*FLEN/8, x4, x1, x2) + +inst_259: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x7bf9fe6e1a7e1 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0xffb7bf9fe6e1a7e1; + valaddr_reg:x3; val_offset:518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 518*FLEN/8, x4, x1, x2) + +inst_260: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 0 and fe2 == 0x002 and fm2 == 0x23760229e5e3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0x223760229e5e3c; + valaddr_reg:x3; val_offset:520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 520*FLEN/8, x4, x1, x2) + +inst_261: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 522*FLEN/8, x4, x1, x2) + +inst_262: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 524*FLEN/8, x4, x1, x2) + +inst_263: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x3a4acd3b9460c and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xefff2c6cde040 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3a4acd3b9460c; op2val:0xffbefff2c6cde040; + valaddr_reg:x3; val_offset:526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 526*FLEN/8, x4, x1, x2) + +inst_264: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x3a4acd3b9460c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0x3a4acd3b9460c; + valaddr_reg:x3; val_offset:528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 528*FLEN/8, x4, x1, x2) + +inst_265: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x3a4acd3b9460c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3a4acd3b9460c; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 530*FLEN/8, x4, x1, x2) + +inst_266: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x0; + valaddr_reg:x3; val_offset:532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 532*FLEN/8, x4, x1, x2) + +inst_267: +// fs1 == 0 and fe1 == 0x401 and fm1 == 0x4442d6ffe75f4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x4014442d6ffe75f4; op2val:0x0; + valaddr_reg:x3; val_offset:534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 534*FLEN/8, x4, x1, x2) + +inst_268: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x401 and fm2 == 0x4442d6ffe75f4 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x4014442d6ffe75f4; + valaddr_reg:x3; val_offset:536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 536*FLEN/8, x4, x1, x2) + +inst_269: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x401 and fm2 == 0x4442d6ffe75f4 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x4014442d6ffe75f4; + valaddr_reg:x3; val_offset:538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 538*FLEN/8, x4, x1, x2) + +inst_270: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 540*FLEN/8, x4, x1, x2) + +inst_271: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 542*FLEN/8, x4, x1, x2) + +inst_272: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x74a1cf1b446af and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb74a1cf1b446af; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 544*FLEN/8, x4, x1, x2) + +inst_273: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x74a1cf1b446af and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x7fb74a1cf1b446af; + valaddr_reg:x3; val_offset:546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 546*FLEN/8, x4, x1, x2) + +inst_274: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 548*FLEN/8, x4, x1, x2) + +inst_275: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x74a1cf1b446af and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb74a1cf1b446af; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 550*FLEN/8, x4, x1, x2) + +inst_276: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 552*FLEN/8, x4, x1, x2) + +inst_277: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x74a1cf1b446af and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb74a1cf1b446af; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 554*FLEN/8, x4, x1, x2) + +inst_278: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 556*FLEN/8, x4, x1, x2) + +inst_279: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x74a1cf1b446af and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb74a1cf1b446af; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 558*FLEN/8, x4, x1, x2) + +inst_280: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x74a1cf1b446af and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7fb74a1cf1b446af; + valaddr_reg:x3; val_offset:560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 560*FLEN/8, x4, x1, x2) + +inst_281: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x74a1cf1b446af and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb74a1cf1b446af; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 562*FLEN/8, x4, x1, x2) + +inst_282: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 564*FLEN/8, x4, x1, x2) + +inst_283: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 566*FLEN/8, x4, x1, x2) + +inst_284: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 568*FLEN/8, x4, x1, x2) + +inst_285: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x74a1cf1b446af and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb74a1cf1b446af; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 570*FLEN/8, x4, x1, x2) + +inst_286: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 572*FLEN/8, x4, x1, x2) + +inst_287: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x74a1cf1b446af and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb74a1cf1b446af; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 574*FLEN/8, x4, x1, x2) + +inst_288: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 576*FLEN/8, x4, x1, x2) + +inst_289: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x2a1b0c15d0559 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f82a1b0c15d0559; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 578*FLEN/8, x4, x1, x2) + +inst_290: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x2a1b0c15d0559 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7f82a1b0c15d0559; + valaddr_reg:x3; val_offset:580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 580*FLEN/8, x4, x1, x2) + +inst_291: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x2a1b0c15d0559 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f82a1b0c15d0559; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 582*FLEN/8, x4, x1, x2) + +inst_292: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x2a1b0c15d0559 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x7f82a1b0c15d0559; + valaddr_reg:x3; val_offset:584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 584*FLEN/8, x4, x1, x2) + +inst_293: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 586*FLEN/8, x4, x1, x2) + +inst_294: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x12d0f0ec06819 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x12d0f0ec06819; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 588*FLEN/8, x4, x1, x2) + +inst_295: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x12d0f0ec06819 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x12d0f0ec06819; + valaddr_reg:x3; val_offset:590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 590*FLEN/8, x4, x1, x2) + +inst_296: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x12d0f0ec06819 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x12d0f0ec06819; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 592*FLEN/8, x4, x1, x2) + +inst_297: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x12d0f0ec06819 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x12d0f0ec06819; + valaddr_reg:x3; val_offset:594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 594*FLEN/8, x4, x1, x2) + +inst_298: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 596*FLEN/8, x4, x1, x2) + +inst_299: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xf0b5e103c0954 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0x7fef0b5e103c0954; + valaddr_reg:x3; val_offset:598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 598*FLEN/8, x4, x1, x2) + +inst_300: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xf0b5e103c0954 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xbc296938410f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fef0b5e103c0954; op2val:0xbc296938410f6; + valaddr_reg:x3; val_offset:600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 600*FLEN/8, x4, x1, x2) + +inst_301: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 602*FLEN/8, x4, x1, x2) + +inst_302: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xbc296938410f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0xbc296938410f6; + valaddr_reg:x3; val_offset:604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 604*FLEN/8, x4, x1, x2) + +inst_303: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 606*FLEN/8, x4, x1, x2) + +inst_304: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 608*FLEN/8, x4, x1, x2) + +inst_305: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xbc296938410f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xbc296938410f6; + valaddr_reg:x3; val_offset:610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 610*FLEN/8, x4, x1, x2) + +inst_306: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 612*FLEN/8, x4, x1, x2) + +inst_307: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 614*FLEN/8, x4, x1, x2) + +inst_308: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x87dfc3c4343fa and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0x7fe87dfc3c4343fa; + valaddr_reg:x3; val_offset:616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 616*FLEN/8, x4, x1, x2) + +inst_309: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x87dfc3c4343fa and fs2 == 0 and fe2 == 0x000 and fm2 == 0xbc296938410f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe87dfc3c4343fa; op2val:0xbc296938410f6; + valaddr_reg:x3; val_offset:618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 618*FLEN/8, x4, x1, x2) + +inst_310: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 620*FLEN/8, x4, x1, x2) + +inst_311: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 622*FLEN/8, x4, x1, x2) + +inst_312: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 624*FLEN/8, x4, x1, x2) + +inst_313: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 626*FLEN/8, x4, x1, x2) + +inst_314: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xd5a40c379c682 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0xffed5a40c379c682; + valaddr_reg:x3; val_offset:628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 628*FLEN/8, x4, x1, x2) + +inst_315: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd5a40c379c682 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xbc296938410f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed5a40c379c682; op2val:0xbc296938410f6; + valaddr_reg:x3; val_offset:630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 630*FLEN/8, x4, x1, x2) + +inst_316: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 632*FLEN/8, x4, x1, x2) + +inst_317: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 634*FLEN/8, x4, x1, x2) + +inst_318: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xb9818fe1eccda and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0xffeb9818fe1eccda; + valaddr_reg:x3; val_offset:636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 636*FLEN/8, x4, x1, x2) + +inst_319: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb9818fe1eccda and fs2 == 0 and fe2 == 0x000 and fm2 == 0xbc296938410f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffeb9818fe1eccda; op2val:0xbc296938410f6; + valaddr_reg:x3; val_offset:638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 638*FLEN/8, x4, x1, x2) + +inst_320: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 640*FLEN/8, x4, x1, x2) + +inst_321: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 642*FLEN/8, x4, x1, x2) + +inst_322: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x39e41023e1389 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0xffe39e41023e1389; + valaddr_reg:x3; val_offset:644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 644*FLEN/8, x4, x1, x2) + +inst_323: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x39e41023e1389 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xbc296938410f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe39e41023e1389; op2val:0xbc296938410f6; + valaddr_reg:x3; val_offset:646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 646*FLEN/8, x4, x1, x2) + +inst_324: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 648*FLEN/8, x4, x1, x2) + +inst_325: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 650*FLEN/8, x4, x1, x2) + +inst_326: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xdaf87e09a11da and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0xffedaf87e09a11da; + valaddr_reg:x3; val_offset:652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 652*FLEN/8, x4, x1, x2) + +inst_327: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xdaf87e09a11da and fs2 == 0 and fe2 == 0x000 and fm2 == 0xbc296938410f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffedaf87e09a11da; op2val:0xbc296938410f6; + valaddr_reg:x3; val_offset:654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 654*FLEN/8, x4, x1, x2) + +inst_328: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 656*FLEN/8, x4, x1, x2) + +inst_329: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 658*FLEN/8, x4, x1, x2) + +inst_330: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x12d0f0ec06819 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x12d0f0ec06819; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 660*FLEN/8, x4, x1, x2) + +inst_331: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x12d0f0ec06819 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x12d0f0ec06819; + valaddr_reg:x3; val_offset:662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 662*FLEN/8, x4, x1, x2) + +inst_332: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x12d0f0ec06819 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x12d0f0ec06819; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 664*FLEN/8, x4, x1, x2) + +inst_333: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x0; + valaddr_reg:x3; val_offset:666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 666*FLEN/8, x4, x1, x2) + +inst_334: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0xa2ac7f4a5aece and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ffa2ac7f4a5aece; op2val:0x0; + valaddr_reg:x3; val_offset:668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 668*FLEN/8, x4, x1, x2) + +inst_335: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xa2ac7f4a5aece and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x3ffa2ac7f4a5aece; + valaddr_reg:x3; val_offset:670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 670*FLEN/8, x4, x1, x2) + +inst_336: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xa2ac7f4a5aece and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x3ffa2ac7f4a5aece; + valaddr_reg:x3; val_offset:672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 672*FLEN/8, x4, x1, x2) + +inst_337: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 674*FLEN/8, x4, x1, x2) + +inst_338: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 676*FLEN/8, x4, x1, x2) + +inst_339: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 678*FLEN/8, x4, x1, x2) + +inst_340: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 680*FLEN/8, x4, x1, x2) + +inst_341: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 682*FLEN/8, x4, x1, x2) + +inst_342: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 684*FLEN/8, x4, x1, x2) + +inst_343: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 686*FLEN/8, x4, x1, x2) + +inst_344: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xe77a5b3b92a36 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xffae77a5b3b92a36; + valaddr_reg:x3; val_offset:688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 688*FLEN/8, x4, x1, x2) + +inst_345: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xe77a5b3b92a36 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffae77a5b3b92a36; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 690*FLEN/8, x4, x1, x2) + +inst_346: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 692*FLEN/8, x4, x1, x2) + +inst_347: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 694*FLEN/8, x4, x1, x2) + +inst_348: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 696*FLEN/8, x4, x1, x2) + +inst_349: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 698*FLEN/8, x4, x1, x2) + +inst_350: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 700*FLEN/8, x4, x1, x2) + +inst_351: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 702*FLEN/8, x4, x1, x2) + +inst_352: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x8c9148167a613 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x608daef2c2520 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff98c9148167a613; op2val:0xffc608daef2c2520; + valaddr_reg:x3; val_offset:704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 704*FLEN/8, x4, x1, x2) + +inst_353: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x8c9148167a613 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0xff98c9148167a613; + valaddr_reg:x3; val_offset:706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 706*FLEN/8, x4, x1, x2) + +inst_354: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x8c9148167a613 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff98c9148167a613; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 708*FLEN/8, x4, x1, x2) + +inst_355: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x8c9148167a613 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0xff98c9148167a613; + valaddr_reg:x3; val_offset:710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 710*FLEN/8, x4, x1, x2) + +inst_356: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 712*FLEN/8, x4, x1, x2) + +inst_357: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x320fdfdfa4c3c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x0a8686b58e06e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800320fdfdfa4c3c; op2val:0x7fe0a8686b58e06e; + valaddr_reg:x3; val_offset:714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 714*FLEN/8, x4, x1, x2) + +inst_358: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x320fdfdfa4c3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0x800320fdfdfa4c3c; + valaddr_reg:x3; val_offset:716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 716*FLEN/8, x4, x1, x2) + +inst_359: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x320fdfdfa4c3c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800320fdfdfa4c3c; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 718*FLEN/8, x4, x1, x2) + +inst_360: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x320fdfdfa4c3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x800320fdfdfa4c3c; + valaddr_reg:x3; val_offset:720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 720*FLEN/8, x4, x1, x2) + +inst_361: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 722*FLEN/8, x4, x1, x2) + +inst_362: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8d5e4d9c9a110 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0x7fb8d5e4d9c9a110; + valaddr_reg:x3; val_offset:724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 724*FLEN/8, x4, x1, x2) + +inst_363: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf49ebebc6fa5a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0x801f49ebebc6fa5a; + valaddr_reg:x3; val_offset:726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 726*FLEN/8, x4, x1, x2) + +inst_364: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 728*FLEN/8, x4, x1, x2) + +inst_365: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf49ebebc6fa5a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x801f49ebebc6fa5a; + valaddr_reg:x3; val_offset:730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 730*FLEN/8, x4, x1, x2) + +inst_366: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 732*FLEN/8, x4, x1, x2) + +inst_367: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xcc6497dd9aeac and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0x7fbcc6497dd9aeac; + valaddr_reg:x3; val_offset:734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 734*FLEN/8, x4, x1, x2) + +inst_368: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf49ebebc6fa5a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0x801f49ebebc6fa5a; + valaddr_reg:x3; val_offset:736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 736*FLEN/8, x4, x1, x2) + +inst_369: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 738*FLEN/8, x4, x1, x2) + +inst_370: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 740*FLEN/8, x4, x1, x2) + +inst_371: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x397fcfd029cc8 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0x7fb397fcfd029cc8; + valaddr_reg:x3; val_offset:742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 742*FLEN/8, x4, x1, x2) + +inst_372: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf49ebebc6fa5a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0x801f49ebebc6fa5a; + valaddr_reg:x3; val_offset:744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 744*FLEN/8, x4, x1, x2) + +inst_373: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 746*FLEN/8, x4, x1, x2) + +inst_374: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 748*FLEN/8, x4, x1, x2) + +inst_375: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x9ac314c1e5de7 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0x7fb9ac314c1e5de7; + valaddr_reg:x3; val_offset:750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 750*FLEN/8, x4, x1, x2) + +inst_376: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf49ebebc6fa5a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0x801f49ebebc6fa5a; + valaddr_reg:x3; val_offset:752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 752*FLEN/8, x4, x1, x2) + +inst_377: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 754*FLEN/8, x4, x1, x2) + +inst_378: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 756*FLEN/8, x4, x1, x2) + +inst_379: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x77b6702c7d202 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0xffb77b6702c7d202; + valaddr_reg:x3; val_offset:758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 758*FLEN/8, x4, x1, x2) + +inst_380: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf49ebebc6fa5a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0x801f49ebebc6fa5a; + valaddr_reg:x3; val_offset:760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 760*FLEN/8, x4, x1, x2) + +inst_381: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 762*FLEN/8, x4, x1, x2) + +inst_382: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 764*FLEN/8, x4, x1, x2) + +inst_383: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x6134731b23d7b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0xffb6134731b23d7b; + valaddr_reg:x3; val_offset:766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 766*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_4) + +inst_384: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf49ebebc6fa5a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0x801f49ebebc6fa5a; + valaddr_reg:x3; val_offset:768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 768*FLEN/8, x4, x1, x2) + +inst_385: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 770*FLEN/8, x4, x1, x2) + +inst_386: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 772*FLEN/8, x4, x1, x2) + +inst_387: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xf639b36c9b8db and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0xffaf639b36c9b8db; + valaddr_reg:x3; val_offset:774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 774*FLEN/8, x4, x1, x2) + +inst_388: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf49ebebc6fa5a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0x801f49ebebc6fa5a; + valaddr_reg:x3; val_offset:776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 776*FLEN/8, x4, x1, x2) + +inst_389: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 778*FLEN/8, x4, x1, x2) + +inst_390: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 780*FLEN/8, x4, x1, x2) + +inst_391: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x7bf9fe6e1a7e1 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0xffb7bf9fe6e1a7e1; + valaddr_reg:x3; val_offset:782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 782*FLEN/8, x4, x1, x2) + +inst_392: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf49ebebc6fa5a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0x801f49ebebc6fa5a; + valaddr_reg:x3; val_offset:784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 784*FLEN/8, x4, x1, x2) + +inst_393: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 786*FLEN/8, x4, x1, x2) + +inst_394: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 788*FLEN/8, x4, x1, x2) + +inst_395: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x320fdfdfa4c3c and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xefff2c6cde040 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800320fdfdfa4c3c; op2val:0xffbefff2c6cde040; + valaddr_reg:x3; val_offset:790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 790*FLEN/8, x4, x1, x2) + +inst_396: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x320fdfdfa4c3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0x800320fdfdfa4c3c; + valaddr_reg:x3; val_offset:792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 792*FLEN/8, x4, x1, x2) + +inst_397: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x320fdfdfa4c3c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800320fdfdfa4c3c; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 794*FLEN/8, x4, x1, x2) + +inst_398: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x0; + valaddr_reg:x3; val_offset:796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 796*FLEN/8, x4, x1, x2) + +inst_399: +// fs1 == 1 and fe1 == 0x401 and fm1 == 0x167aab18a177e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc01167aab18a177e; op2val:0x0; + valaddr_reg:x3; val_offset:798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 798*FLEN/8, x4, x1, x2) + +inst_400: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x401 and fm2 == 0x167aab18a177e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc01167aab18a177e; + valaddr_reg:x3; val_offset:800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 800*FLEN/8, x4, x1, x2) + +inst_401: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x401 and fm2 == 0x167aab18a177e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0xc01167aab18a177e; + valaddr_reg:x3; val_offset:802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 802*FLEN/8, x4, x1, x2) + +inst_402: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 804*FLEN/8, x4, x1, x2) + +inst_403: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 806*FLEN/8, x4, x1, x2) + +inst_404: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xe77a5b3b92a36 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffae77a5b3b92a36; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 808*FLEN/8, x4, x1, x2) + +inst_405: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xe77a5b3b92a36 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0xffae77a5b3b92a36; + valaddr_reg:x3; val_offset:810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 810*FLEN/8, x4, x1, x2) + +inst_406: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 812*FLEN/8, x4, x1, x2) + +inst_407: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xe77a5b3b92a36 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffae77a5b3b92a36; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 814*FLEN/8, x4, x1, x2) + +inst_408: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 816*FLEN/8, x4, x1, x2) + +inst_409: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xe77a5b3b92a36 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffae77a5b3b92a36; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 818*FLEN/8, x4, x1, x2) + +inst_410: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 820*FLEN/8, x4, x1, x2) + +inst_411: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xe77a5b3b92a36 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffae77a5b3b92a36; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 822*FLEN/8, x4, x1, x2) + +inst_412: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 824*FLEN/8, x4, x1, x2) + +inst_413: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xe77a5b3b92a36 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffae77a5b3b92a36; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 826*FLEN/8, x4, x1, x2) + +inst_414: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 828*FLEN/8, x4, x1, x2) + +inst_415: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xe77a5b3b92a36 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffae77a5b3b92a36; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 830*FLEN/8, x4, x1, x2) + +inst_416: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 832*FLEN/8, x4, x1, x2) + +inst_417: +// fs1 == 1 and fe1 == 0x7f7 and fm1 == 0x85fb7c2fa882b and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff785fb7c2fa882b; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 834*FLEN/8, x4, x1, x2) + +inst_418: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7f7 and fm2 == 0x85fb7c2fa882b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xff785fb7c2fa882b; + valaddr_reg:x3; val_offset:836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 836*FLEN/8, x4, x1, x2) + +inst_419: +// fs1 == 1 and fe1 == 0x7f7 and fm1 == 0x85fb7c2fa882b and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff785fb7c2fa882b; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 838*FLEN/8, x4, x1, x2) + +inst_420: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x7f7 and fm2 == 0x85fb7c2fa882b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0xff785fb7c2fa882b; + valaddr_reg:x3; val_offset:840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 840*FLEN/8, x4, x1, x2) + +inst_421: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 842*FLEN/8, x4, x1, x2) + +inst_422: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0c4ec3fe9a819 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000c4ec3fe9a819; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 844*FLEN/8, x4, x1, x2) + +inst_423: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0c4ec3fe9a819 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8000c4ec3fe9a819; + valaddr_reg:x3; val_offset:846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 846*FLEN/8, x4, x1, x2) + +inst_424: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0c4ec3fe9a819 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000c4ec3fe9a819; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 848*FLEN/8, x4, x1, x2) + +inst_425: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0c4ec3fe9a819 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x8000c4ec3fe9a819; + valaddr_reg:x3; val_offset:850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 850*FLEN/8, x4, x1, x2) + +inst_426: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 852*FLEN/8, x4, x1, x2) + +inst_427: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xf0b5e103c0954 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0x7fef0b5e103c0954; + valaddr_reg:x3; val_offset:854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 854*FLEN/8, x4, x1, x2) + +inst_428: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xf0b5e103c0954 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7b13a7f2090fc and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fef0b5e103c0954; op2val:0x8007b13a7f2090fc; + valaddr_reg:x3; val_offset:856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 856*FLEN/8, x4, x1, x2) + +inst_429: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 858*FLEN/8, x4, x1, x2) + +inst_430: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7b13a7f2090fc and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x8007b13a7f2090fc; + valaddr_reg:x3; val_offset:860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 860*FLEN/8, x4, x1, x2) + +inst_431: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 862*FLEN/8, x4, x1, x2) + +inst_432: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 864*FLEN/8, x4, x1, x2) + +inst_433: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7b13a7f2090fc and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8007b13a7f2090fc; + valaddr_reg:x3; val_offset:866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 866*FLEN/8, x4, x1, x2) + +inst_434: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 868*FLEN/8, x4, x1, x2) + +inst_435: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 870*FLEN/8, x4, x1, x2) + +inst_436: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x87dfc3c4343fa and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0x7fe87dfc3c4343fa; + valaddr_reg:x3; val_offset:872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 872*FLEN/8, x4, x1, x2) + +inst_437: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x87dfc3c4343fa and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7b13a7f2090fc and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe87dfc3c4343fa; op2val:0x8007b13a7f2090fc; + valaddr_reg:x3; val_offset:874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 874*FLEN/8, x4, x1, x2) + +inst_438: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 876*FLEN/8, x4, x1, x2) + +inst_439: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 878*FLEN/8, x4, x1, x2) + +inst_440: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 880*FLEN/8, x4, x1, x2) + +inst_441: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 882*FLEN/8, x4, x1, x2) + +inst_442: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xd5a40c379c682 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0xffed5a40c379c682; + valaddr_reg:x3; val_offset:884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 884*FLEN/8, x4, x1, x2) + +inst_443: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd5a40c379c682 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7b13a7f2090fc and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed5a40c379c682; op2val:0x8007b13a7f2090fc; + valaddr_reg:x3; val_offset:886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 886*FLEN/8, x4, x1, x2) + +inst_444: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 888*FLEN/8, x4, x1, x2) + +inst_445: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 890*FLEN/8, x4, x1, x2) + +inst_446: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xb9818fe1eccda and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0xffeb9818fe1eccda; + valaddr_reg:x3; val_offset:892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 892*FLEN/8, x4, x1, x2) + +inst_447: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb9818fe1eccda and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7b13a7f2090fc and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffeb9818fe1eccda; op2val:0x8007b13a7f2090fc; + valaddr_reg:x3; val_offset:894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 894*FLEN/8, x4, x1, x2) + +inst_448: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 896*FLEN/8, x4, x1, x2) + +inst_449: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 898*FLEN/8, x4, x1, x2) + +inst_450: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x39e41023e1389 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0xffe39e41023e1389; + valaddr_reg:x3; val_offset:900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 900*FLEN/8, x4, x1, x2) + +inst_451: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x39e41023e1389 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7b13a7f2090fc and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe39e41023e1389; op2val:0x8007b13a7f2090fc; + valaddr_reg:x3; val_offset:902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 902*FLEN/8, x4, x1, x2) + +inst_452: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 904*FLEN/8, x4, x1, x2) + +inst_453: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 906*FLEN/8, x4, x1, x2) + +inst_454: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xdaf87e09a11da and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0xffedaf87e09a11da; + valaddr_reg:x3; val_offset:908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 908*FLEN/8, x4, x1, x2) + +inst_455: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xdaf87e09a11da and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7b13a7f2090fc and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffedaf87e09a11da; op2val:0x8007b13a7f2090fc; + valaddr_reg:x3; val_offset:910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 910*FLEN/8, x4, x1, x2) + +inst_456: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 912*FLEN/8, x4, x1, x2) + +inst_457: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 914*FLEN/8, x4, x1, x2) + +inst_458: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0c4ec3fe9a819 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000c4ec3fe9a819; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:916*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 916*FLEN/8, x4, x1, x2) + +inst_459: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0c4ec3fe9a819 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8000c4ec3fe9a819; + valaddr_reg:x3; val_offset:918*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 918*FLEN/8, x4, x1, x2) + +inst_460: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0c4ec3fe9a819 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000c4ec3fe9a819; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:920*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 920*FLEN/8, x4, x1, x2) + +inst_461: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x0; + valaddr_reg:x3; val_offset:922*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 922*FLEN/8, x4, x1, x2) + +inst_462: +// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x11dadc9e4eb85 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbff11dadc9e4eb85; op2val:0x0; + valaddr_reg:x3; val_offset:924*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 924*FLEN/8, x4, x1, x2) + +inst_463: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x11dadc9e4eb85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xbff11dadc9e4eb85; + valaddr_reg:x3; val_offset:926*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 926*FLEN/8, x4, x1, x2) + +inst_464: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x11dadc9e4eb85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0xbff11dadc9e4eb85; + valaddr_reg:x3; val_offset:928*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 928*FLEN/8, x4, x1, x2) + +inst_465: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:930*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 930*FLEN/8, x4, x1, x2) + +inst_466: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:932*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 932*FLEN/8, x4, x1, x2) + +inst_467: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:934*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 934*FLEN/8, x4, x1, x2) + +inst_468: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:936*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 936*FLEN/8, x4, x1, x2) + +inst_469: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:938*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 938*FLEN/8, x4, x1, x2) + +inst_470: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:940*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 940*FLEN/8, x4, x1, x2) + +inst_471: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:942*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 942*FLEN/8, x4, x1, x2) + +inst_472: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:944*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 944*FLEN/8, x4, x1, x2) + +inst_473: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0x554b1e717e738 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x608daef2c2520 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffa554b1e717e738; op2val:0xffc608daef2c2520; + valaddr_reg:x3; val_offset:946*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 946*FLEN/8, x4, x1, x2) + +inst_474: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0x554b1e717e738 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0xffa554b1e717e738; + valaddr_reg:x3; val_offset:948*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 948*FLEN/8, x4, x1, x2) + +inst_475: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0x554b1e717e738 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffa554b1e717e738; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:950*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 950*FLEN/8, x4, x1, x2) + +inst_476: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0x554b1e717e738 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0xffa554b1e717e738; + valaddr_reg:x3; val_offset:952*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 952*FLEN/8, x4, x1, x2) + +inst_477: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:954*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 954*FLEN/8, x4, x1, x2) + +inst_478: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x562b29f60d7ba and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x0a8686b58e06e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800562b29f60d7ba; op2val:0x7fe0a8686b58e06e; + valaddr_reg:x3; val_offset:956*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 956*FLEN/8, x4, x1, x2) + +inst_479: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x562b29f60d7ba and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0x800562b29f60d7ba; + valaddr_reg:x3; val_offset:958*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 958*FLEN/8, x4, x1, x2) + +inst_480: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x562b29f60d7ba and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800562b29f60d7ba; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:960*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 960*FLEN/8, x4, x1, x2) + +inst_481: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x562b29f60d7ba and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x800562b29f60d7ba; + valaddr_reg:x3; val_offset:962*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 962*FLEN/8, x4, x1, x2) + +inst_482: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:964*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 964*FLEN/8, x4, x1, x2) + +inst_483: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8d5e4d9c9a110 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0x7fb8d5e4d9c9a110; + valaddr_reg:x3; val_offset:966*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 966*FLEN/8, x4, x1, x2) + +inst_484: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xaed7d1ce436a0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0x802aed7d1ce436a0; + valaddr_reg:x3; val_offset:968*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 968*FLEN/8, x4, x1, x2) + +inst_485: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:970*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 970*FLEN/8, x4, x1, x2) + +inst_486: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xaed7d1ce436a0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x802aed7d1ce436a0; + valaddr_reg:x3; val_offset:972*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 972*FLEN/8, x4, x1, x2) + +inst_487: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:974*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 974*FLEN/8, x4, x1, x2) + +inst_488: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xcc6497dd9aeac and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0x7fbcc6497dd9aeac; + valaddr_reg:x3; val_offset:976*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 976*FLEN/8, x4, x1, x2) + +inst_489: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 1 and fe2 == 0x002 and fm2 == 0xaed7d1ce436a0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0x802aed7d1ce436a0; + valaddr_reg:x3; val_offset:978*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 978*FLEN/8, x4, x1, x2) + +inst_490: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:980*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 980*FLEN/8, x4, x1, x2) + +inst_491: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:982*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 982*FLEN/8, x4, x1, x2) + +inst_492: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x397fcfd029cc8 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0x7fb397fcfd029cc8; + valaddr_reg:x3; val_offset:984*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 984*FLEN/8, x4, x1, x2) + +inst_493: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xaed7d1ce436a0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0x802aed7d1ce436a0; + valaddr_reg:x3; val_offset:986*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 986*FLEN/8, x4, x1, x2) + +inst_494: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:988*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 988*FLEN/8, x4, x1, x2) + +inst_495: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:990*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 990*FLEN/8, x4, x1, x2) + +inst_496: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x9ac314c1e5de7 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0x7fb9ac314c1e5de7; + valaddr_reg:x3; val_offset:992*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 992*FLEN/8, x4, x1, x2) + +inst_497: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xaed7d1ce436a0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0x802aed7d1ce436a0; + valaddr_reg:x3; val_offset:994*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 994*FLEN/8, x4, x1, x2) + +inst_498: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:996*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 996*FLEN/8, x4, x1, x2) + +inst_499: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:998*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 998*FLEN/8, x4, x1, x2) + +inst_500: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x77b6702c7d202 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0xffb77b6702c7d202; + valaddr_reg:x3; val_offset:1000*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1000*FLEN/8, x4, x1, x2) + +inst_501: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xaed7d1ce436a0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0x802aed7d1ce436a0; + valaddr_reg:x3; val_offset:1002*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1002*FLEN/8, x4, x1, x2) + +inst_502: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1004*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1004*FLEN/8, x4, x1, x2) + +inst_503: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1006*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1006*FLEN/8, x4, x1, x2) + +inst_504: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x6134731b23d7b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0xffb6134731b23d7b; + valaddr_reg:x3; val_offset:1008*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1008*FLEN/8, x4, x1, x2) + +inst_505: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 1 and fe2 == 0x002 and fm2 == 0xaed7d1ce436a0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0x802aed7d1ce436a0; + valaddr_reg:x3; val_offset:1010*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1010*FLEN/8, x4, x1, x2) + +inst_506: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1012*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1012*FLEN/8, x4, x1, x2) + +inst_507: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1014*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1014*FLEN/8, x4, x1, x2) + +inst_508: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xf639b36c9b8db and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0xffaf639b36c9b8db; + valaddr_reg:x3; val_offset:1016*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1016*FLEN/8, x4, x1, x2) + +inst_509: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 1 and fe2 == 0x002 and fm2 == 0xaed7d1ce436a0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0x802aed7d1ce436a0; + valaddr_reg:x3; val_offset:1018*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1018*FLEN/8, x4, x1, x2) + +inst_510: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1020*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1020*FLEN/8, x4, x1, x2) + +inst_511: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1022*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1022*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_5) + +inst_512: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x7bf9fe6e1a7e1 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0xffb7bf9fe6e1a7e1; + valaddr_reg:x3; val_offset:1024*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1024*FLEN/8, x4, x1, x2) + +inst_513: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xaed7d1ce436a0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0x802aed7d1ce436a0; + valaddr_reg:x3; val_offset:1026*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1026*FLEN/8, x4, x1, x2) + +inst_514: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1028*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1028*FLEN/8, x4, x1, x2) + +inst_515: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1030*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1030*FLEN/8, x4, x1, x2) + +inst_516: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x562b29f60d7ba and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xefff2c6cde040 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800562b29f60d7ba; op2val:0xffbefff2c6cde040; + valaddr_reg:x3; val_offset:1032*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1032*FLEN/8, x4, x1, x2) + +inst_517: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x562b29f60d7ba and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0x800562b29f60d7ba; + valaddr_reg:x3; val_offset:1034*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1034*FLEN/8, x4, x1, x2) + +inst_518: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x562b29f60d7ba and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800562b29f60d7ba; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1036*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1036*FLEN/8, x4, x1, x2) + +inst_519: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x0; + valaddr_reg:x3; val_offset:1038*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1038*FLEN/8, x4, x1, x2) + +inst_520: +// fs1 == 1 and fe1 == 0x401 and fm1 == 0xdf542c221f050 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc01df542c221f050; op2val:0x0; + valaddr_reg:x3; val_offset:1040*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1040*FLEN/8, x4, x1, x2) + +inst_521: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x401 and fm2 == 0xdf542c221f050 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc01df542c221f050; + valaddr_reg:x3; val_offset:1042*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1042*FLEN/8, x4, x1, x2) + +inst_522: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x401 and fm2 == 0xdf542c221f050 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0xc01df542c221f050; + valaddr_reg:x3; val_offset:1044*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1044*FLEN/8, x4, x1, x2) + +inst_523: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1046*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1046*FLEN/8, x4, x1, x2) + +inst_524: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1048*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1048*FLEN/8, x4, x1, x2) + +inst_525: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1050*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1050*FLEN/8, x4, x1, x2) + +inst_526: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1052*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1052*FLEN/8, x4, x1, x2) + +inst_527: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1054*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1054*FLEN/8, x4, x1, x2) + +inst_528: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1056*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1056*FLEN/8, x4, x1, x2) + +inst_529: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x901723ec94233 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x608daef2c2520 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff9901723ec94233; op2val:0xffc608daef2c2520; + valaddr_reg:x3; val_offset:1058*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1058*FLEN/8, x4, x1, x2) + +inst_530: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x901723ec94233 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0xff9901723ec94233; + valaddr_reg:x3; val_offset:1060*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1060*FLEN/8, x4, x1, x2) + +inst_531: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x901723ec94233 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff9901723ec94233; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1062*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1062*FLEN/8, x4, x1, x2) + +inst_532: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x901723ec94233 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0xff9901723ec94233; + valaddr_reg:x3; val_offset:1064*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1064*FLEN/8, x4, x1, x2) + +inst_533: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1066*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1066*FLEN/8, x4, x1, x2) + +inst_534: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x3281b93f72b1c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x0a8686b58e06e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8003281b93f72b1c; op2val:0x7fe0a8686b58e06e; + valaddr_reg:x3; val_offset:1068*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1068*FLEN/8, x4, x1, x2) + +inst_535: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x3281b93f72b1c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0x8003281b93f72b1c; + valaddr_reg:x3; val_offset:1070*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1070*FLEN/8, x4, x1, x2) + +inst_536: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x3281b93f72b1c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8003281b93f72b1c; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1072*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1072*FLEN/8, x4, x1, x2) + +inst_537: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x3281b93f72b1c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x8003281b93f72b1c; + valaddr_reg:x3; val_offset:1074*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1074*FLEN/8, x4, x1, x2) + +inst_538: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1076*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1076*FLEN/8, x4, x1, x2) + +inst_539: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8d5e4d9c9a110 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0x7fb8d5e4d9c9a110; + valaddr_reg:x3; val_offset:1078*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1078*FLEN/8, x4, x1, x2) + +inst_540: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf9113c7a7af1a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0x801f9113c7a7af1a; + valaddr_reg:x3; val_offset:1080*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1080*FLEN/8, x4, x1, x2) + +inst_541: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1082*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1082*FLEN/8, x4, x1, x2) + +inst_542: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf9113c7a7af1a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x801f9113c7a7af1a; + valaddr_reg:x3; val_offset:1084*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1084*FLEN/8, x4, x1, x2) + +inst_543: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1086*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1086*FLEN/8, x4, x1, x2) + +inst_544: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xcc6497dd9aeac and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0x7fbcc6497dd9aeac; + valaddr_reg:x3; val_offset:1088*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1088*FLEN/8, x4, x1, x2) + +inst_545: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf9113c7a7af1a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0x801f9113c7a7af1a; + valaddr_reg:x3; val_offset:1090*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1090*FLEN/8, x4, x1, x2) + +inst_546: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1092*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1092*FLEN/8, x4, x1, x2) + +inst_547: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1094*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1094*FLEN/8, x4, x1, x2) + +inst_548: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x397fcfd029cc8 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0x7fb397fcfd029cc8; + valaddr_reg:x3; val_offset:1096*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1096*FLEN/8, x4, x1, x2) + +inst_549: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf9113c7a7af1a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0x801f9113c7a7af1a; + valaddr_reg:x3; val_offset:1098*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1098*FLEN/8, x4, x1, x2) + +inst_550: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1100*FLEN/8, x4, x1, x2) + +inst_551: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1102*FLEN/8, x4, x1, x2) + +inst_552: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x9ac314c1e5de7 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0x7fb9ac314c1e5de7; + valaddr_reg:x3; val_offset:1104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1104*FLEN/8, x4, x1, x2) + +inst_553: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf9113c7a7af1a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0x801f9113c7a7af1a; + valaddr_reg:x3; val_offset:1106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1106*FLEN/8, x4, x1, x2) + +inst_554: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1108*FLEN/8, x4, x1, x2) + +inst_555: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1110*FLEN/8, x4, x1, x2) + +inst_556: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x77b6702c7d202 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0xffb77b6702c7d202; + valaddr_reg:x3; val_offset:1112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1112*FLEN/8, x4, x1, x2) + +inst_557: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf9113c7a7af1a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0x801f9113c7a7af1a; + valaddr_reg:x3; val_offset:1114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1114*FLEN/8, x4, x1, x2) + +inst_558: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1116*FLEN/8, x4, x1, x2) + +inst_559: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1118*FLEN/8, x4, x1, x2) + +inst_560: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x6134731b23d7b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0xffb6134731b23d7b; + valaddr_reg:x3; val_offset:1120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1120*FLEN/8, x4, x1, x2) + +inst_561: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf9113c7a7af1a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0x801f9113c7a7af1a; + valaddr_reg:x3; val_offset:1122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1122*FLEN/8, x4, x1, x2) + +inst_562: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1124*FLEN/8, x4, x1, x2) + +inst_563: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1126*FLEN/8, x4, x1, x2) + +inst_564: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xf639b36c9b8db and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0xffaf639b36c9b8db; + valaddr_reg:x3; val_offset:1128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1128*FLEN/8, x4, x1, x2) + +inst_565: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf9113c7a7af1a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0x801f9113c7a7af1a; + valaddr_reg:x3; val_offset:1130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1130*FLEN/8, x4, x1, x2) + +inst_566: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1132*FLEN/8, x4, x1, x2) + +inst_567: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1134*FLEN/8, x4, x1, x2) + +inst_568: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x7bf9fe6e1a7e1 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0xffb7bf9fe6e1a7e1; + valaddr_reg:x3; val_offset:1136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1136*FLEN/8, x4, x1, x2) + +inst_569: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf9113c7a7af1a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0x801f9113c7a7af1a; + valaddr_reg:x3; val_offset:1138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1138*FLEN/8, x4, x1, x2) + +inst_570: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1140*FLEN/8, x4, x1, x2) + +inst_571: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1142*FLEN/8, x4, x1, x2) + +inst_572: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x3281b93f72b1c and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xefff2c6cde040 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8003281b93f72b1c; op2val:0xffbefff2c6cde040; + valaddr_reg:x3; val_offset:1144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1144*FLEN/8, x4, x1, x2) + +inst_573: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x3281b93f72b1c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0x8003281b93f72b1c; + valaddr_reg:x3; val_offset:1146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1146*FLEN/8, x4, x1, x2) + +inst_574: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x3281b93f72b1c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8003281b93f72b1c; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1148*FLEN/8, x4, x1, x2) + +inst_575: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x0; + valaddr_reg:x3; val_offset:1150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1150*FLEN/8, x4, x1, x2) + +inst_576: +// fs1 == 1 and fe1 == 0x401 and fm1 == 0x18f3f99f3a7ab and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc0118f3f99f3a7ab; op2val:0x0; + valaddr_reg:x3; val_offset:1152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1152*FLEN/8, x4, x1, x2) + +inst_577: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x401 and fm2 == 0x18f3f99f3a7ab and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc0118f3f99f3a7ab; + valaddr_reg:x3; val_offset:1154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1154*FLEN/8, x4, x1, x2) + +inst_578: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x401 and fm2 == 0x18f3f99f3a7ab and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0xc0118f3f99f3a7ab; + valaddr_reg:x3; val_offset:1156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1156*FLEN/8, x4, x1, x2) + +inst_579: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1158*FLEN/8, x4, x1, x2) + +inst_580: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1160*FLEN/8, x4, x1, x2) + +inst_581: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1162*FLEN/8, x4, x1, x2) + +inst_582: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1164*FLEN/8, x4, x1, x2) + +inst_583: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1166*FLEN/8, x4, x1, x2) + +inst_584: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x608daef2c2520 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0xffc608daef2c2520; + valaddr_reg:x3; val_offset:1168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1168*FLEN/8, x4, x1, x2) + +inst_585: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1170*FLEN/8, x4, x1, x2) + +inst_586: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1172*FLEN/8, x4, x1, x2) + +inst_587: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1174*FLEN/8, x4, x1, x2) + +inst_588: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1176*FLEN/8, x4, x1, x2) + +inst_589: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1178*FLEN/8, x4, x1, x2) + +inst_590: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1180*FLEN/8, x4, x1, x2) + +inst_591: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1182*FLEN/8, x4, x1, x2) + +inst_592: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1184*FLEN/8, x4, x1, x2) + +inst_593: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1186*FLEN/8, x4, x1, x2) + +inst_594: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1188*FLEN/8, x4, x1, x2) + +inst_595: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1190*FLEN/8, x4, x1, x2) + +inst_596: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1192*FLEN/8, x4, x1, x2) + +inst_597: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1194*FLEN/8, x4, x1, x2) + +inst_598: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x239ac7113abba and fs2 == 0 and fe2 == 0x7fa and fm2 == 0xaa70d788e33e4 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800239ac7113abba; op2val:0x7faaa70d788e33e4; + valaddr_reg:x3; val_offset:1196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1196*FLEN/8, x4, x1, x2) + +inst_599: +// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xaa70d788e33e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x239ac7113abba and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7faaa70d788e33e4; op2val:0x800239ac7113abba; + valaddr_reg:x3; val_offset:1198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1198*FLEN/8, x4, x1, x2) + +inst_600: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x239ac7113abba and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800239ac7113abba; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1200*FLEN/8, x4, x1, x2) + +inst_601: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x239ac7113abba and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x800239ac7113abba; + valaddr_reg:x3; val_offset:1202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1202*FLEN/8, x4, x1, x2) + +inst_602: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1204*FLEN/8, x4, x1, x2) + +inst_603: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x3de50ae3ae740 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0x7f83de50ae3ae740; + valaddr_reg:x3; val_offset:1206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1206*FLEN/8, x4, x1, x2) + +inst_604: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x3de50ae3ae740 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x640bc6ac4b541 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f83de50ae3ae740; op2val:0x801640bc6ac4b541; + valaddr_reg:x3; val_offset:1208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1208*FLEN/8, x4, x1, x2) + +inst_605: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1210*FLEN/8, x4, x1, x2) + +inst_606: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x640bc6ac4b541 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x801640bc6ac4b541; + valaddr_reg:x3; val_offset:1212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1212*FLEN/8, x4, x1, x2) + +inst_607: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1214*FLEN/8, x4, x1, x2) + +inst_608: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x7050797e15889 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0x7f87050797e15889; + valaddr_reg:x3; val_offset:1216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1216*FLEN/8, x4, x1, x2) + +inst_609: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x7050797e15889 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x640bc6ac4b541 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f87050797e15889; op2val:0x801640bc6ac4b541; + valaddr_reg:x3; val_offset:1218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1218*FLEN/8, x4, x1, x2) + +inst_610: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1220*FLEN/8, x4, x1, x2) + +inst_611: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1222*FLEN/8, x4, x1, x2) + +inst_612: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 0 and fe2 == 0x7f7 and fm2 == 0xf5994c8042e0c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0x7f7f5994c8042e0c; + valaddr_reg:x3; val_offset:1224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1224*FLEN/8, x4, x1, x2) + +inst_613: +// fs1 == 0 and fe1 == 0x7f7 and fm1 == 0xf5994c8042e0c and fs2 == 1 and fe2 == 0x001 and fm2 == 0x640bc6ac4b541 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f7f5994c8042e0c; op2val:0x801640bc6ac4b541; + valaddr_reg:x3; val_offset:1226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1226*FLEN/8, x4, x1, x2) + +inst_614: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1228*FLEN/8, x4, x1, x2) + +inst_615: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1230*FLEN/8, x4, x1, x2) + +inst_616: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x489c109b1e4b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0x7f8489c109b1e4b9; + valaddr_reg:x3; val_offset:1232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1232*FLEN/8, x4, x1, x2) + +inst_617: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x489c109b1e4b9 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x640bc6ac4b541 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f8489c109b1e4b9; op2val:0x801640bc6ac4b541; + valaddr_reg:x3; val_offset:1234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1234*FLEN/8, x4, x1, x2) + +inst_618: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1236*FLEN/8, x4, x1, x2) + +inst_619: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1238*FLEN/8, x4, x1, x2) + +inst_620: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x2c91f356ca801 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0xff82c91f356ca801; + valaddr_reg:x3; val_offset:1240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1240*FLEN/8, x4, x1, x2) + +inst_621: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x2c91f356ca801 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x640bc6ac4b541 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff82c91f356ca801; op2val:0x801640bc6ac4b541; + valaddr_reg:x3; val_offset:1242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1242*FLEN/8, x4, x1, x2) + +inst_622: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1244*FLEN/8, x4, x1, x2) + +inst_623: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1246*FLEN/8, x4, x1, x2) + +inst_624: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x1a905c15b6463 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0xff81a905c15b6463; + valaddr_reg:x3; val_offset:1248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1248*FLEN/8, x4, x1, x2) + +inst_625: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x1a905c15b6463 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x640bc6ac4b541 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff81a905c15b6463; op2val:0x801640bc6ac4b541; + valaddr_reg:x3; val_offset:1250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1250*FLEN/8, x4, x1, x2) + +inst_626: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1252*FLEN/8, x4, x1, x2) + +inst_627: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1254*FLEN/8, x4, x1, x2) + +inst_628: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 1 and fe2 == 0x7f7 and fm2 == 0x91c7c2bd493e3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0xff791c7c2bd493e3; + valaddr_reg:x3; val_offset:1256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1256*FLEN/8, x4, x1, x2) + +inst_629: +// fs1 == 1 and fe1 == 0x7f7 and fm1 == 0x91c7c2bd493e3 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x640bc6ac4b541 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff791c7c2bd493e3; op2val:0x801640bc6ac4b541; + valaddr_reg:x3; val_offset:1258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1258*FLEN/8, x4, x1, x2) + +inst_630: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1260*FLEN/8, x4, x1, x2) + +inst_631: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1262*FLEN/8, x4, x1, x2) + +inst_632: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x2ffb31f1aecb4 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0xff82ffb31f1aecb4; + valaddr_reg:x3; val_offset:1264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1264*FLEN/8, x4, x1, x2) + +inst_633: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x2ffb31f1aecb4 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x640bc6ac4b541 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff82ffb31f1aecb4; op2val:0x801640bc6ac4b541; + valaddr_reg:x3; val_offset:1266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1266*FLEN/8, x4, x1, x2) + +inst_634: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1268*FLEN/8, x4, x1, x2) + +inst_635: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1270*FLEN/8, x4, x1, x2) + +inst_636: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x239ac7113abba and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x8ccc238a4b367 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800239ac7113abba; op2val:0xff88ccc238a4b367; + valaddr_reg:x3; val_offset:1272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1272*FLEN/8, x4, x1, x2) + +inst_637: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x8ccc238a4b367 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x239ac7113abba and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff88ccc238a4b367; op2val:0x800239ac7113abba; + valaddr_reg:x3; val_offset:1274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1274*FLEN/8, x4, x1, x2) + +inst_638: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x239ac7113abba and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800239ac7113abba; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1276*FLEN/8, x4, x1, x2) + +inst_639: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x0; + valaddr_reg:x3; val_offset:1278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1278*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_6) + +inst_640: +// fs1 == 1 and fe1 == 0x400 and fm1 == 0x8c1d44531ee36 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc008c1d44531ee36; op2val:0x0; + valaddr_reg:x3; val_offset:1280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1280*FLEN/8, x4, x1, x2) + +inst_641: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x400 and fm2 == 0x8c1d44531ee36 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc008c1d44531ee36; + valaddr_reg:x3; val_offset:1282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1282*FLEN/8, x4, x1, x2) + +inst_642: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x400 and fm2 == 0x8c1d44531ee36 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0xc008c1d44531ee36; + valaddr_reg:x3; val_offset:1284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1284*FLEN/8, x4, x1, x2) + +inst_643: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1286*FLEN/8, x4, x1, x2) + +inst_644: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1288*FLEN/8, x4, x1, x2) + +inst_645: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1290*FLEN/8, x4, x1, x2) + +inst_646: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1292*FLEN/8, x4, x1, x2) + +inst_647: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1294*FLEN/8, x4, x1, x2) + +inst_648: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x0a8686b58e06e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x7fe0a8686b58e06e; + valaddr_reg:x3; val_offset:1296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1296*FLEN/8, x4, x1, x2) + +inst_649: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1298*FLEN/8, x4, x1, x2) + +inst_650: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1300*FLEN/8, x4, x1, x2) + +inst_651: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1302*FLEN/8, x4, x1, x2) + +inst_652: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1304*FLEN/8, x4, x1, x2) + +inst_653: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1306*FLEN/8, x4, x1, x2) + +inst_654: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1308*FLEN/8, x4, x1, x2) + +inst_655: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1310*FLEN/8, x4, x1, x2) + +inst_656: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1312*FLEN/8, x4, x1, x2) + +inst_657: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1314*FLEN/8, x4, x1, x2) + +inst_658: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1316*FLEN/8, x4, x1, x2) + +inst_659: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1318*FLEN/8, x4, x1, x2) + +inst_660: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1320*FLEN/8, x4, x1, x2) + +inst_661: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1322*FLEN/8, x4, x1, x2) + +inst_662: +// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xaa70d788e33e4 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7faaa70d788e33e4; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1324*FLEN/8, x4, x1, x2) + +inst_663: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x7fa and fm2 == 0xaa70d788e33e4 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x7faaa70d788e33e4; + valaddr_reg:x3; val_offset:1326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1326*FLEN/8, x4, x1, x2) + +inst_664: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1328*FLEN/8, x4, x1, x2) + +inst_665: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1410b3d2a7d0c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x1410b3d2a7d0c; + valaddr_reg:x3; val_offset:1330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1330*FLEN/8, x4, x1, x2) + +inst_666: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1410b3d2a7d0c and fs2 == 0 and fe2 == 0x003 and fm2 == 0x0d2a72a33d1eb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1410b3d2a7d0c; op2val:0x30d2a72a33d1eb; + valaddr_reg:x3; val_offset:1332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1332*FLEN/8, x4, x1, x2) + +inst_667: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1334*FLEN/8, x4, x1, x2) + +inst_668: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x003 and fm2 == 0x0d2a72a33d1eb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x30d2a72a33d1eb; + valaddr_reg:x3; val_offset:1336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1336*FLEN/8, x4, x1, x2) + +inst_669: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1338*FLEN/8, x4, x1, x2) + +inst_670: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x173f689a4c8c6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x173f689a4c8c6; + valaddr_reg:x3; val_offset:1340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1340*FLEN/8, x4, x1, x2) + +inst_671: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x173f689a4c8c6 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x0d2a72a33d1eb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x173f689a4c8c6; op2val:0x30d2a72a33d1eb; + valaddr_reg:x3; val_offset:1342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1342*FLEN/8, x4, x1, x2) + +inst_672: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1344*FLEN/8, x4, x1, x2) + +inst_673: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1346*FLEN/8, x4, x1, x2) + +inst_674: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0fd48b05c2121 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0xfd48b05c2121; + valaddr_reg:x3; val_offset:1348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1348*FLEN/8, x4, x1, x2) + +inst_675: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0fd48b05c2121 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x0d2a72a33d1eb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfd48b05c2121; op2val:0x30d2a72a33d1eb; + valaddr_reg:x3; val_offset:1350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1350*FLEN/8, x4, x1, x2) + +inst_676: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1352*FLEN/8, x4, x1, x2) + +inst_677: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1354*FLEN/8, x4, x1, x2) + +inst_678: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x14bdd6f520473 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x14bdd6f520473; + valaddr_reg:x3; val_offset:1356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1356*FLEN/8, x4, x1, x2) + +inst_679: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x14bdd6f520473 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x0d2a72a33d1eb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x14bdd6f520473; op2val:0x30d2a72a33d1eb; + valaddr_reg:x3; val_offset:1358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1358*FLEN/8, x4, x1, x2) + +inst_680: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1360*FLEN/8, x4, x1, x2) + +inst_681: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1362*FLEN/8, x4, x1, x2) + +inst_682: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 1 and fe2 == 0x000 and fm2 == 0x12f8c3601c4a9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x80012f8c3601c4a9; + valaddr_reg:x3; val_offset:1364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1364*FLEN/8, x4, x1, x2) + +inst_683: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x12f8c3601c4a9 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x0d2a72a33d1eb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80012f8c3601c4a9; op2val:0x30d2a72a33d1eb; + valaddr_reg:x3; val_offset:1366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1366*FLEN/8, x4, x1, x2) + +inst_684: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1368*FLEN/8, x4, x1, x2) + +inst_685: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1370*FLEN/8, x4, x1, x2) + +inst_686: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 1 and fe2 == 0x000 and fm2 == 0x11d5cf49b3fa7 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x80011d5cf49b3fa7; + valaddr_reg:x3; val_offset:1372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1372*FLEN/8, x4, x1, x2) + +inst_687: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x11d5cf49b3fa7 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x0d2a72a33d1eb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80011d5cf49b3fa7; op2val:0x30d2a72a33d1eb; + valaddr_reg:x3; val_offset:1374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1374*FLEN/8, x4, x1, x2) + +inst_688: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1376*FLEN/8, x4, x1, x2) + +inst_689: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1378*FLEN/8, x4, x1, x2) + +inst_690: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0cae158f8de83 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x8000cae158f8de83; + valaddr_reg:x3; val_offset:1380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1380*FLEN/8, x4, x1, x2) + +inst_691: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0cae158f8de83 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x0d2a72a33d1eb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000cae158f8de83; op2val:0x30d2a72a33d1eb; + valaddr_reg:x3; val_offset:1382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1382*FLEN/8, x4, x1, x2) + +inst_692: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1384*FLEN/8, x4, x1, x2) + +inst_693: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1386*FLEN/8, x4, x1, x2) + +inst_694: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 1 and fe2 == 0x000 and fm2 == 0x132fe1b33da32 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x800132fe1b33da32; + valaddr_reg:x3; val_offset:1388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1388*FLEN/8, x4, x1, x2) + +inst_695: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x132fe1b33da32 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x0d2a72a33d1eb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800132fe1b33da32; op2val:0x30d2a72a33d1eb; + valaddr_reg:x3; val_offset:1390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1390*FLEN/8, x4, x1, x2) + +inst_696: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1392*FLEN/8, x4, x1, x2) + +inst_697: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1394*FLEN/8, x4, x1, x2) + +inst_698: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1396*FLEN/8, x4, x1, x2) + +inst_699: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x0; + valaddr_reg:x3; val_offset:1398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1398*FLEN/8, x4, x1, x2) + +inst_700: +// fs1 == 0 and fe1 == 0x402 and fm1 == 0x2b74f7c4aeb28 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x4022b74f7c4aeb28; op2val:0x0; + valaddr_reg:x3; val_offset:1400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1400*FLEN/8, x4, x1, x2) + +inst_701: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x402 and fm2 == 0x2b74f7c4aeb28 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x4022b74f7c4aeb28; + valaddr_reg:x3; val_offset:1402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1402*FLEN/8, x4, x1, x2) + +inst_702: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x402 and fm2 == 0x2b74f7c4aeb28 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x4022b74f7c4aeb28; + valaddr_reg:x3; val_offset:1404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1404*FLEN/8, x4, x1, x2) + +inst_703: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1406*FLEN/8, x4, x1, x2) + +inst_704: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xf0b5e103c0954 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fef0b5e103c0954; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1408*FLEN/8, x4, x1, x2) + +inst_705: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xf0b5e103c0954 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x7fef0b5e103c0954; + valaddr_reg:x3; val_offset:1410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1410*FLEN/8, x4, x1, x2) + +inst_706: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1412*FLEN/8, x4, x1, x2) + +inst_707: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1414*FLEN/8, x4, x1, x2) + +inst_708: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1416*FLEN/8, x4, x1, x2) + +inst_709: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8d5e4d9c9a110 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x7fb8d5e4d9c9a110; + valaddr_reg:x3; val_offset:1418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1418*FLEN/8, x4, x1, x2) + +inst_710: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1420*FLEN/8, x4, x1, x2) + +inst_711: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1422*FLEN/8, x4, x1, x2) + +inst_712: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1424*FLEN/8, x4, x1, x2) + +inst_713: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1426*FLEN/8, x4, x1, x2) + +inst_714: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1428*FLEN/8, x4, x1, x2) + +inst_715: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xf0b5e103c0954 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fef0b5e103c0954; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1430*FLEN/8, x4, x1, x2) + +inst_716: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1432*FLEN/8, x4, x1, x2) + +inst_717: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1434*FLEN/8, x4, x1, x2) + +inst_718: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1436*FLEN/8, x4, x1, x2) + +inst_719: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xf0b5e103c0954 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fef0b5e103c0954; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1438*FLEN/8, x4, x1, x2) + +inst_720: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1440*FLEN/8, x4, x1, x2) + +inst_721: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1442*FLEN/8, x4, x1, x2) + +inst_722: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1444*FLEN/8, x4, x1, x2) + +inst_723: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1446*FLEN/8, x4, x1, x2) + +inst_724: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1448*FLEN/8, x4, x1, x2) + +inst_725: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x3de50ae3ae740 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f83de50ae3ae740; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1450*FLEN/8, x4, x1, x2) + +inst_726: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x3de50ae3ae740 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x7f83de50ae3ae740; + valaddr_reg:x3; val_offset:1452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1452*FLEN/8, x4, x1, x2) + +inst_727: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1454*FLEN/8, x4, x1, x2) + +inst_728: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1410b3d2a7d0c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1410b3d2a7d0c; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1456*FLEN/8, x4, x1, x2) + +inst_729: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1410b3d2a7d0c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x1410b3d2a7d0c; + valaddr_reg:x3; val_offset:1458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1458*FLEN/8, x4, x1, x2) + +inst_730: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1460*FLEN/8, x4, x1, x2) + +inst_731: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1462*FLEN/8, x4, x1, x2) + +inst_732: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1464*FLEN/8, x4, x1, x2) + +inst_733: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1466*FLEN/8, x4, x1, x2) + +inst_734: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1468*FLEN/8, x4, x1, x2) + +inst_735: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1470*FLEN/8, x4, x1, x2) + +inst_736: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1472*FLEN/8, x4, x1, x2) + +inst_737: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1474*FLEN/8, x4, x1, x2) + +inst_738: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1476*FLEN/8, x4, x1, x2) + +inst_739: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1478*FLEN/8, x4, x1, x2) + +inst_740: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1480*FLEN/8, x4, x1, x2) + +inst_741: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1482*FLEN/8, x4, x1, x2) + +inst_742: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1484*FLEN/8, x4, x1, x2) + +inst_743: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1486*FLEN/8, x4, x1, x2) + +inst_744: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1488*FLEN/8, x4, x1, x2) + +inst_745: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1410b3d2a7d0c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfa7485d0f8ece and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1410b3d2a7d0c; op2val:0x800fa7485d0f8ece; + valaddr_reg:x3; val_offset:1490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1490*FLEN/8, x4, x1, x2) + +inst_746: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1410b3d2a7d0c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x1410b3d2a7d0c; + valaddr_reg:x3; val_offset:1492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1492*FLEN/8, x4, x1, x2) + +inst_747: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1410b3d2a7d0c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1410b3d2a7d0c; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1494*FLEN/8, x4, x1, x2) + +inst_748: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x0; + valaddr_reg:x3; val_offset:1496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1496*FLEN/8, x4, x1, x2) + +inst_749: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0xbe776c4b9309a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ffbe776c4b9309a; op2val:0x0; + valaddr_reg:x3; val_offset:1498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1498*FLEN/8, x4, x1, x2) + +inst_750: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xbe776c4b9309a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x3ffbe776c4b9309a; + valaddr_reg:x3; val_offset:1500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1500*FLEN/8, x4, x1, x2) + +inst_751: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xbe776c4b9309a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x3ffbe776c4b9309a; + valaddr_reg:x3; val_offset:1502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1502*FLEN/8, x4, x1, x2) + +inst_752: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1504*FLEN/8, x4, x1, x2) + +inst_753: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1506*FLEN/8, x4, x1, x2) + +inst_754: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1508*FLEN/8, x4, x1, x2) + +inst_755: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1510*FLEN/8, x4, x1, x2) + +inst_756: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1512*FLEN/8, x4, x1, x2) + +inst_757: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xcc6497dd9aeac and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x7fbcc6497dd9aeac; + valaddr_reg:x3; val_offset:1514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1514*FLEN/8, x4, x1, x2) + +inst_758: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1516*FLEN/8, x4, x1, x2) + +inst_759: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1518*FLEN/8, x4, x1, x2) + +inst_760: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1520*FLEN/8, x4, x1, x2) + +inst_761: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1522*FLEN/8, x4, x1, x2) + +inst_762: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1524*FLEN/8, x4, x1, x2) + +inst_763: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1526*FLEN/8, x4, x1, x2) + +inst_764: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1528*FLEN/8, x4, x1, x2) + +inst_765: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1530*FLEN/8, x4, x1, x2) + +inst_766: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1532*FLEN/8, x4, x1, x2) + +inst_767: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1534*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_7) + +inst_768: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1536*FLEN/8, x4, x1, x2) + +inst_769: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1538*FLEN/8, x4, x1, x2) + +inst_770: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1540*FLEN/8, x4, x1, x2) + +inst_771: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x7050797e15889 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f87050797e15889; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1542*FLEN/8, x4, x1, x2) + +inst_772: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x7050797e15889 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x7f87050797e15889; + valaddr_reg:x3; val_offset:1544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1544*FLEN/8, x4, x1, x2) + +inst_773: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1546*FLEN/8, x4, x1, x2) + +inst_774: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x173f689a4c8c6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x173f689a4c8c6; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1548*FLEN/8, x4, x1, x2) + +inst_775: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x173f689a4c8c6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x173f689a4c8c6; + valaddr_reg:x3; val_offset:1550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1550*FLEN/8, x4, x1, x2) + +inst_776: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1552*FLEN/8, x4, x1, x2) + +inst_777: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1554*FLEN/8, x4, x1, x2) + +inst_778: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1556*FLEN/8, x4, x1, x2) + +inst_779: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1558*FLEN/8, x4, x1, x2) + +inst_780: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1560*FLEN/8, x4, x1, x2) + +inst_781: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1562*FLEN/8, x4, x1, x2) + +inst_782: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1564*FLEN/8, x4, x1, x2) + +inst_783: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1566*FLEN/8, x4, x1, x2) + +inst_784: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1568*FLEN/8, x4, x1, x2) + +inst_785: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1570*FLEN/8, x4, x1, x2) + +inst_786: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1572*FLEN/8, x4, x1, x2) + +inst_787: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1574*FLEN/8, x4, x1, x2) + +inst_788: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1576*FLEN/8, x4, x1, x2) + +inst_789: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x173f689a4c8c6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfa7485d0f8ece and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x173f689a4c8c6; op2val:0x800fa7485d0f8ece; + valaddr_reg:x3; val_offset:1578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1578*FLEN/8, x4, x1, x2) + +inst_790: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 0 and fe2 == 0x000 and fm2 == 0x173f689a4c8c6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x173f689a4c8c6; + valaddr_reg:x3; val_offset:1580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1580*FLEN/8, x4, x1, x2) + +inst_791: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x173f689a4c8c6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x173f689a4c8c6; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1582*FLEN/8, x4, x1, x2) + +inst_792: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x0; + valaddr_reg:x3; val_offset:1584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1584*FLEN/8, x4, x1, x2) + +inst_793: +// fs1 == 0 and fe1 == 0x400 and fm1 == 0x02a39e584db8a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x40002a39e584db8a; op2val:0x0; + valaddr_reg:x3; val_offset:1586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1586*FLEN/8, x4, x1, x2) + +inst_794: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x400 and fm2 == 0x02a39e584db8a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x40002a39e584db8a; + valaddr_reg:x3; val_offset:1588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1588*FLEN/8, x4, x1, x2) + +inst_795: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x400 and fm2 == 0x02a39e584db8a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x40002a39e584db8a; + valaddr_reg:x3; val_offset:1590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1590*FLEN/8, x4, x1, x2) + +inst_796: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1592*FLEN/8, x4, x1, x2) + +inst_797: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x87dfc3c4343fa and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe87dfc3c4343fa; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1594*FLEN/8, x4, x1, x2) + +inst_798: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x87dfc3c4343fa and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x7fe87dfc3c4343fa; + valaddr_reg:x3; val_offset:1596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1596*FLEN/8, x4, x1, x2) + +inst_799: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1598*FLEN/8, x4, x1, x2) + +inst_800: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1600*FLEN/8, x4, x1, x2) + +inst_801: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1602*FLEN/8, x4, x1, x2) + +inst_802: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x397fcfd029cc8 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x7fb397fcfd029cc8; + valaddr_reg:x3; val_offset:1604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1604*FLEN/8, x4, x1, x2) + +inst_803: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1606*FLEN/8, x4, x1, x2) + +inst_804: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1608*FLEN/8, x4, x1, x2) + +inst_805: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1610*FLEN/8, x4, x1, x2) + +inst_806: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1612*FLEN/8, x4, x1, x2) + +inst_807: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1614*FLEN/8, x4, x1, x2) + +inst_808: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x87dfc3c4343fa and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe87dfc3c4343fa; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1616*FLEN/8, x4, x1, x2) + +inst_809: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1618*FLEN/8, x4, x1, x2) + +inst_810: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1620*FLEN/8, x4, x1, x2) + +inst_811: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1622*FLEN/8, x4, x1, x2) + +inst_812: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x87dfc3c4343fa and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe87dfc3c4343fa; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1624*FLEN/8, x4, x1, x2) + +inst_813: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1626*FLEN/8, x4, x1, x2) + +inst_814: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1628*FLEN/8, x4, x1, x2) + +inst_815: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1630*FLEN/8, x4, x1, x2) + +inst_816: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1632*FLEN/8, x4, x1, x2) + +inst_817: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1634*FLEN/8, x4, x1, x2) + +inst_818: +// fs1 == 0 and fe1 == 0x7f7 and fm1 == 0xf5994c8042e0c and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f7f5994c8042e0c; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1636*FLEN/8, x4, x1, x2) + +inst_819: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x7f7 and fm2 == 0xf5994c8042e0c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x7f7f5994c8042e0c; + valaddr_reg:x3; val_offset:1638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1638*FLEN/8, x4, x1, x2) + +inst_820: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1640*FLEN/8, x4, x1, x2) + +inst_821: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0fd48b05c2121 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfd48b05c2121; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1642*FLEN/8, x4, x1, x2) + +inst_822: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0fd48b05c2121 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0xfd48b05c2121; + valaddr_reg:x3; val_offset:1644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1644*FLEN/8, x4, x1, x2) + +inst_823: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1646*FLEN/8, x4, x1, x2) + +inst_824: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1648*FLEN/8, x4, x1, x2) + +inst_825: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1650*FLEN/8, x4, x1, x2) + +inst_826: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1652*FLEN/8, x4, x1, x2) + +inst_827: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1654*FLEN/8, x4, x1, x2) + +inst_828: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1656*FLEN/8, x4, x1, x2) + +inst_829: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1658*FLEN/8, x4, x1, x2) + +inst_830: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1660*FLEN/8, x4, x1, x2) + +inst_831: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1662*FLEN/8, x4, x1, x2) + +inst_832: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1664*FLEN/8, x4, x1, x2) + +inst_833: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1666*FLEN/8, x4, x1, x2) + +inst_834: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0fd48b05c2121 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfa7485d0f8ece and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfd48b05c2121; op2val:0x800fa7485d0f8ece; + valaddr_reg:x3; val_offset:1668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1668*FLEN/8, x4, x1, x2) + +inst_835: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0fd48b05c2121 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0xfd48b05c2121; + valaddr_reg:x3; val_offset:1670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1670*FLEN/8, x4, x1, x2) + +inst_836: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0fd48b05c2121 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfd48b05c2121; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1672*FLEN/8, x4, x1, x2) + +inst_837: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x0; + valaddr_reg:x3; val_offset:1674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1674*FLEN/8, x4, x1, x2) + +inst_838: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x603c137f0d51f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff603c137f0d51f; op2val:0x0; + valaddr_reg:x3; val_offset:1676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1676*FLEN/8, x4, x1, x2) + +inst_839: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x603c137f0d51f and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x3ff603c137f0d51f; + valaddr_reg:x3; val_offset:1678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1678*FLEN/8, x4, x1, x2) + +inst_840: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x603c137f0d51f and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x3ff603c137f0d51f; + valaddr_reg:x3; val_offset:1680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1680*FLEN/8, x4, x1, x2) + +inst_841: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1682*FLEN/8, x4, x1, x2) + +inst_842: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1684*FLEN/8, x4, x1, x2) + +inst_843: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1686*FLEN/8, x4, x1, x2) + +inst_844: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1688*FLEN/8, x4, x1, x2) + +inst_845: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1690*FLEN/8, x4, x1, x2) + +inst_846: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x9ac314c1e5de7 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x7fb9ac314c1e5de7; + valaddr_reg:x3; val_offset:1692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1692*FLEN/8, x4, x1, x2) + +inst_847: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1694*FLEN/8, x4, x1, x2) + +inst_848: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1696*FLEN/8, x4, x1, x2) + +inst_849: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1698*FLEN/8, x4, x1, x2) + +inst_850: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1700*FLEN/8, x4, x1, x2) + +inst_851: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1702*FLEN/8, x4, x1, x2) + +inst_852: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1704*FLEN/8, x4, x1, x2) + +inst_853: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1706*FLEN/8, x4, x1, x2) + +inst_854: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1708*FLEN/8, x4, x1, x2) + +inst_855: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1710*FLEN/8, x4, x1, x2) + +inst_856: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1712*FLEN/8, x4, x1, x2) + +inst_857: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1714*FLEN/8, x4, x1, x2) + +inst_858: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1716*FLEN/8, x4, x1, x2) + +inst_859: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1718*FLEN/8, x4, x1, x2) + +inst_860: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x489c109b1e4b9 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f8489c109b1e4b9; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1720*FLEN/8, x4, x1, x2) + +inst_861: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x489c109b1e4b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x7f8489c109b1e4b9; + valaddr_reg:x3; val_offset:1722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1722*FLEN/8, x4, x1, x2) + +inst_862: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1724*FLEN/8, x4, x1, x2) + +inst_863: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x14bdd6f520473 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x14bdd6f520473; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1726*FLEN/8, x4, x1, x2) + +inst_864: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x14bdd6f520473 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x14bdd6f520473; + valaddr_reg:x3; val_offset:1728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1728*FLEN/8, x4, x1, x2) + +inst_865: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1730*FLEN/8, x4, x1, x2) + +inst_866: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1732*FLEN/8, x4, x1, x2) + +inst_867: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1734*FLEN/8, x4, x1, x2) + +inst_868: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1736*FLEN/8, x4, x1, x2) + +inst_869: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1738*FLEN/8, x4, x1, x2) + +inst_870: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1740*FLEN/8, x4, x1, x2) + +inst_871: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1742*FLEN/8, x4, x1, x2) + +inst_872: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1744*FLEN/8, x4, x1, x2) + +inst_873: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1746*FLEN/8, x4, x1, x2) + +inst_874: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x14bdd6f520473 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfa7485d0f8ece and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x14bdd6f520473; op2val:0x800fa7485d0f8ece; + valaddr_reg:x3; val_offset:1748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1748*FLEN/8, x4, x1, x2) + +inst_875: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 0 and fe2 == 0x000 and fm2 == 0x14bdd6f520473 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x14bdd6f520473; + valaddr_reg:x3; val_offset:1750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1750*FLEN/8, x4, x1, x2) + +inst_876: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x14bdd6f520473 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x14bdd6f520473; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1752*FLEN/8, x4, x1, x2) + +inst_877: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x0; + valaddr_reg:x3; val_offset:1754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1754*FLEN/8, x4, x1, x2) + +inst_878: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0xcd83dac71068d and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ffcd83dac71068d; op2val:0x0; + valaddr_reg:x3; val_offset:1756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1756*FLEN/8, x4, x1, x2) + +inst_879: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xcd83dac71068d and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x3ffcd83dac71068d; + valaddr_reg:x3; val_offset:1758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1758*FLEN/8, x4, x1, x2) + +inst_880: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xcd83dac71068d and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x3ffcd83dac71068d; + valaddr_reg:x3; val_offset:1760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1760*FLEN/8, x4, x1, x2) + +inst_881: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1762*FLEN/8, x4, x1, x2) + +inst_882: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd5a40c379c682 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed5a40c379c682; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1764*FLEN/8, x4, x1, x2) + +inst_883: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xd5a40c379c682 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xffed5a40c379c682; + valaddr_reg:x3; val_offset:1766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1766*FLEN/8, x4, x1, x2) + +inst_884: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1768*FLEN/8, x4, x1, x2) + +inst_885: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1770*FLEN/8, x4, x1, x2) + +inst_886: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1772*FLEN/8, x4, x1, x2) + +inst_887: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x77b6702c7d202 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xffb77b6702c7d202; + valaddr_reg:x3; val_offset:1774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1774*FLEN/8, x4, x1, x2) + +inst_888: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1776*FLEN/8, x4, x1, x2) + +inst_889: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1778*FLEN/8, x4, x1, x2) + +inst_890: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1780*FLEN/8, x4, x1, x2) + +inst_891: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1782*FLEN/8, x4, x1, x2) + +inst_892: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1784*FLEN/8, x4, x1, x2) + +inst_893: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd5a40c379c682 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed5a40c379c682; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1786*FLEN/8, x4, x1, x2) + +inst_894: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1788*FLEN/8, x4, x1, x2) + +inst_895: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1790*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_8) + +inst_896: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1792*FLEN/8, x4, x1, x2) + +inst_897: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd5a40c379c682 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed5a40c379c682; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1794*FLEN/8, x4, x1, x2) + +inst_898: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1796*FLEN/8, x4, x1, x2) + +inst_899: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1798*FLEN/8, x4, x1, x2) + +inst_900: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1800*FLEN/8, x4, x1, x2) + +inst_901: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1802*FLEN/8, x4, x1, x2) + +inst_902: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1804*FLEN/8, x4, x1, x2) + +inst_903: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x2c91f356ca801 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff82c91f356ca801; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1806*FLEN/8, x4, x1, x2) + +inst_904: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x2c91f356ca801 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xff82c91f356ca801; + valaddr_reg:x3; val_offset:1808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1808*FLEN/8, x4, x1, x2) + +inst_905: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1810*FLEN/8, x4, x1, x2) + +inst_906: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x12f8c3601c4a9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80012f8c3601c4a9; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1812*FLEN/8, x4, x1, x2) + +inst_907: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x12f8c3601c4a9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x80012f8c3601c4a9; + valaddr_reg:x3; val_offset:1814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1814*FLEN/8, x4, x1, x2) + +inst_908: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1816*FLEN/8, x4, x1, x2) + +inst_909: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1818*FLEN/8, x4, x1, x2) + +inst_910: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1820*FLEN/8, x4, x1, x2) + +inst_911: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1822*FLEN/8, x4, x1, x2) + +inst_912: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1824*FLEN/8, x4, x1, x2) + +inst_913: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1826*FLEN/8, x4, x1, x2) + +inst_914: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1828*FLEN/8, x4, x1, x2) + +inst_915: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x12f8c3601c4a9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfa7485d0f8ece and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80012f8c3601c4a9; op2val:0x800fa7485d0f8ece; + valaddr_reg:x3; val_offset:1830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1830*FLEN/8, x4, x1, x2) + +inst_916: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 1 and fe2 == 0x000 and fm2 == 0x12f8c3601c4a9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x80012f8c3601c4a9; + valaddr_reg:x3; val_offset:1832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1832*FLEN/8, x4, x1, x2) + +inst_917: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x12f8c3601c4a9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80012f8c3601c4a9; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1834*FLEN/8, x4, x1, x2) + +inst_918: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x0; + valaddr_reg:x3; val_offset:1836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1836*FLEN/8, x4, x1, x2) + +inst_919: +// fs1 == 1 and fe1 == 0x3ff and fm1 == 0xa6229168cb10d and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbffa6229168cb10d; op2val:0x0; + valaddr_reg:x3; val_offset:1838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1838*FLEN/8, x4, x1, x2) + +inst_920: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xa6229168cb10d and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xbffa6229168cb10d; + valaddr_reg:x3; val_offset:1840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1840*FLEN/8, x4, x1, x2) + +inst_921: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xa6229168cb10d and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xbffa6229168cb10d; + valaddr_reg:x3; val_offset:1842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1842*FLEN/8, x4, x1, x2) + +inst_922: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1844*FLEN/8, x4, x1, x2) + +inst_923: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb9818fe1eccda and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffeb9818fe1eccda; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1846*FLEN/8, x4, x1, x2) + +inst_924: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xb9818fe1eccda and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xffeb9818fe1eccda; + valaddr_reg:x3; val_offset:1848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1848*FLEN/8, x4, x1, x2) + +inst_925: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1850*FLEN/8, x4, x1, x2) + +inst_926: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1852*FLEN/8, x4, x1, x2) + +inst_927: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1854*FLEN/8, x4, x1, x2) + +inst_928: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x6134731b23d7b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xffb6134731b23d7b; + valaddr_reg:x3; val_offset:1856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1856*FLEN/8, x4, x1, x2) + +inst_929: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1858*FLEN/8, x4, x1, x2) + +inst_930: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1860*FLEN/8, x4, x1, x2) + +inst_931: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1862*FLEN/8, x4, x1, x2) + +inst_932: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1864*FLEN/8, x4, x1, x2) + +inst_933: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1866*FLEN/8, x4, x1, x2) + +inst_934: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb9818fe1eccda and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffeb9818fe1eccda; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1868*FLEN/8, x4, x1, x2) + +inst_935: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1870*FLEN/8, x4, x1, x2) + +inst_936: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1872*FLEN/8, x4, x1, x2) + +inst_937: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1874*FLEN/8, x4, x1, x2) + +inst_938: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb9818fe1eccda and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffeb9818fe1eccda; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1876*FLEN/8, x4, x1, x2) + +inst_939: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1878*FLEN/8, x4, x1, x2) + +inst_940: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1880*FLEN/8, x4, x1, x2) + +inst_941: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1882*FLEN/8, x4, x1, x2) + +inst_942: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1884*FLEN/8, x4, x1, x2) + +inst_943: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1886*FLEN/8, x4, x1, x2) + +inst_944: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x1a905c15b6463 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff81a905c15b6463; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1888*FLEN/8, x4, x1, x2) + +inst_945: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x1a905c15b6463 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xff81a905c15b6463; + valaddr_reg:x3; val_offset:1890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1890*FLEN/8, x4, x1, x2) + +inst_946: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1892*FLEN/8, x4, x1, x2) + +inst_947: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x11d5cf49b3fa7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80011d5cf49b3fa7; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1894*FLEN/8, x4, x1, x2) + +inst_948: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x11d5cf49b3fa7 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x80011d5cf49b3fa7; + valaddr_reg:x3; val_offset:1896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1896*FLEN/8, x4, x1, x2) + +inst_949: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1898*FLEN/8, x4, x1, x2) + +inst_950: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1900*FLEN/8, x4, x1, x2) + +inst_951: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1902*FLEN/8, x4, x1, x2) + +inst_952: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1904*FLEN/8, x4, x1, x2) + +inst_953: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1906*FLEN/8, x4, x1, x2) + +inst_954: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x11d5cf49b3fa7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfa7485d0f8ece and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80011d5cf49b3fa7; op2val:0x800fa7485d0f8ece; + valaddr_reg:x3; val_offset:1908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1908*FLEN/8, x4, x1, x2) + +inst_955: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 1 and fe2 == 0x000 and fm2 == 0x11d5cf49b3fa7 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x80011d5cf49b3fa7; + valaddr_reg:x3; val_offset:1910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1910*FLEN/8, x4, x1, x2) + +inst_956: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x11d5cf49b3fa7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80011d5cf49b3fa7; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1912*FLEN/8, x4, x1, x2) + +inst_957: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x0; + valaddr_reg:x3; val_offset:1914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1914*FLEN/8, x4, x1, x2) + +inst_958: +// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x8cd8a372f0f06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbff8cd8a372f0f06; op2val:0x0; + valaddr_reg:x3; val_offset:1916*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1916*FLEN/8, x4, x1, x2) + +inst_959: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x8cd8a372f0f06 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xbff8cd8a372f0f06; + valaddr_reg:x3; val_offset:1918*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1918*FLEN/8, x4, x1, x2) + +inst_960: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x8cd8a372f0f06 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xbff8cd8a372f0f06; + valaddr_reg:x3; val_offset:1920*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1920*FLEN/8, x4, x1, x2) + +inst_961: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1922*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1922*FLEN/8, x4, x1, x2) + +inst_962: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x39e41023e1389 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe39e41023e1389; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1924*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1924*FLEN/8, x4, x1, x2) + +inst_963: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x39e41023e1389 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xffe39e41023e1389; + valaddr_reg:x3; val_offset:1926*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1926*FLEN/8, x4, x1, x2) + +inst_964: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1928*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1928*FLEN/8, x4, x1, x2) + +inst_965: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1930*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1930*FLEN/8, x4, x1, x2) + +inst_966: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1932*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1932*FLEN/8, x4, x1, x2) + +inst_967: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xf639b36c9b8db and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xffaf639b36c9b8db; + valaddr_reg:x3; val_offset:1934*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1934*FLEN/8, x4, x1, x2) + +inst_968: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1936*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1936*FLEN/8, x4, x1, x2) + +inst_969: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1938*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1938*FLEN/8, x4, x1, x2) + +inst_970: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1940*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1940*FLEN/8, x4, x1, x2) + +inst_971: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1942*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1942*FLEN/8, x4, x1, x2) + +inst_972: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1944*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1944*FLEN/8, x4, x1, x2) + +inst_973: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x39e41023e1389 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe39e41023e1389; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1946*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1946*FLEN/8, x4, x1, x2) + +inst_974: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1948*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1948*FLEN/8, x4, x1, x2) + +inst_975: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1950*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1950*FLEN/8, x4, x1, x2) + +inst_976: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1952*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1952*FLEN/8, x4, x1, x2) + +inst_977: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x39e41023e1389 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe39e41023e1389; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1954*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1954*FLEN/8, x4, x1, x2) + +inst_978: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1956*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1956*FLEN/8, x4, x1, x2) + +inst_979: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1958*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1958*FLEN/8, x4, x1, x2) + +inst_980: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1960*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1960*FLEN/8, x4, x1, x2) + +inst_981: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1962*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1962*FLEN/8, x4, x1, x2) + +inst_982: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1964*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1964*FLEN/8, x4, x1, x2) + +inst_983: +// fs1 == 1 and fe1 == 0x7f7 and fm1 == 0x91c7c2bd493e3 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff791c7c2bd493e3; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1966*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1966*FLEN/8, x4, x1, x2) + +inst_984: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x7f7 and fm2 == 0x91c7c2bd493e3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xff791c7c2bd493e3; + valaddr_reg:x3; val_offset:1968*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1968*FLEN/8, x4, x1, x2) + +inst_985: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1970*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1970*FLEN/8, x4, x1, x2) + +inst_986: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0cae158f8de83 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000cae158f8de83; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1972*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1972*FLEN/8, x4, x1, x2) + +inst_987: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0cae158f8de83 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x8000cae158f8de83; + valaddr_reg:x3; val_offset:1974*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1974*FLEN/8, x4, x1, x2) + +inst_988: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1976*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1976*FLEN/8, x4, x1, x2) + +inst_989: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1978*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1978*FLEN/8, x4, x1, x2) + +inst_990: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1980*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1980*FLEN/8, x4, x1, x2) + +inst_991: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0cae158f8de83 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfa7485d0f8ece and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000cae158f8de83; op2val:0x800fa7485d0f8ece; + valaddr_reg:x3; val_offset:1982*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1982*FLEN/8, x4, x1, x2) + +inst_992: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0cae158f8de83 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x8000cae158f8de83; + valaddr_reg:x3; val_offset:1984*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1984*FLEN/8, x4, x1, x2) + +inst_993: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0cae158f8de83 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000cae158f8de83; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1986*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1986*FLEN/8, x4, x1, x2) + +inst_994: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x0; + valaddr_reg:x3; val_offset:1988*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1988*FLEN/8, x4, x1, x2) + +inst_995: +// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x1a23c57d41a27 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbff1a23c57d41a27; op2val:0x0; + valaddr_reg:x3; val_offset:1990*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1990*FLEN/8, x4, x1, x2) + +inst_996: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x1a23c57d41a27 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xbff1a23c57d41a27; + valaddr_reg:x3; val_offset:1992*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1992*FLEN/8, x4, x1, x2) + +inst_997: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x1a23c57d41a27 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xbff1a23c57d41a27; + valaddr_reg:x3; val_offset:1994*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1994*FLEN/8, x4, x1, x2) + +inst_998: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1996*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1996*FLEN/8, x4, x1, x2) + +inst_999: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xdaf87e09a11da and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffedaf87e09a11da; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1998*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1998*FLEN/8, x4, x1, x2) + +inst_1000: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xdaf87e09a11da and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xffedaf87e09a11da; + valaddr_reg:x3; val_offset:2000*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2000*FLEN/8, x4, x1, x2) + +inst_1001: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:2002*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2002*FLEN/8, x4, x1, x2) + +inst_1002: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:2004*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2004*FLEN/8, x4, x1, x2) + +inst_1003: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:2006*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2006*FLEN/8, x4, x1, x2) + +inst_1004: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x7bf9fe6e1a7e1 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xffb7bf9fe6e1a7e1; + valaddr_reg:x3; val_offset:2008*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2008*FLEN/8, x4, x1, x2) + +inst_1005: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:2010*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2010*FLEN/8, x4, x1, x2) + +inst_1006: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:2012*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2012*FLEN/8, x4, x1, x2) + +inst_1007: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:2014*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2014*FLEN/8, x4, x1, x2) + +inst_1008: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:2016*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2016*FLEN/8, x4, x1, x2) + +inst_1009: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:2018*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2018*FLEN/8, x4, x1, x2) + +inst_1010: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xdaf87e09a11da and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffedaf87e09a11da; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:2020*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2020*FLEN/8, x4, x1, x2) + +inst_1011: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:2022*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2022*FLEN/8, x4, x1, x2) + +inst_1012: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:2024*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2024*FLEN/8, x4, x1, x2) + +inst_1013: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:2026*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2026*FLEN/8, x4, x1, x2) + +inst_1014: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xdaf87e09a11da and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffedaf87e09a11da; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:2028*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2028*FLEN/8, x4, x1, x2) + +inst_1015: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:2030*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2030*FLEN/8, x4, x1, x2) + +inst_1016: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:2032*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2032*FLEN/8, x4, x1, x2) + +inst_1017: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:2034*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2034*FLEN/8, x4, x1, x2) + +inst_1018: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:2036*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2036*FLEN/8, x4, x1, x2) + +inst_1019: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:2038*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2038*FLEN/8, x4, x1, x2) + +inst_1020: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x2ffb31f1aecb4 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff82ffb31f1aecb4; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:2040*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2040*FLEN/8, x4, x1, x2) + +inst_1021: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x2ffb31f1aecb4 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xff82ffb31f1aecb4; + valaddr_reg:x3; val_offset:2042*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2042*FLEN/8, x4, x1, x2) + +inst_1022: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:2044*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2044*FLEN/8, x4, x1, x2) + +inst_1023: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x132fe1b33da32 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800132fe1b33da32; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:2046*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2046*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_9) + +inst_1024: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x132fe1b33da32 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x800132fe1b33da32; + valaddr_reg:x3; val_offset:2048*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2048*FLEN/8, x4, x1, x2) + +inst_1025: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:2050*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2050*FLEN/8, x4, x1, x2) + +inst_1026: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x132fe1b33da32 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfa7485d0f8ece and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800132fe1b33da32; op2val:0x800fa7485d0f8ece; + valaddr_reg:x3; val_offset:2052*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2052*FLEN/8, x4, x1, x2) + +inst_1027: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 1 and fe2 == 0x000 and fm2 == 0x132fe1b33da32 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x800132fe1b33da32; + valaddr_reg:x3; val_offset:2054*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2054*FLEN/8, x4, x1, x2) + +inst_1028: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x132fe1b33da32 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800132fe1b33da32; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:2056*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2056*FLEN/8, x4, x1, x2) + +inst_1029: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x0; + valaddr_reg:x3; val_offset:2058*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2058*FLEN/8, x4, x1, x2) + +inst_1030: +// fs1 == 1 and fe1 == 0x3ff and fm1 == 0xaaecfe8e63ec3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbffaaecfe8e63ec3; op2val:0x0; + valaddr_reg:x3; val_offset:2060*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2060*FLEN/8, x4, x1, x2) + +inst_1031: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xaaecfe8e63ec3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xbffaaecfe8e63ec3; + valaddr_reg:x3; val_offset:2062*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2062*FLEN/8, x4, x1, x2) + +inst_1032: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xaaecfe8e63ec3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xbffaaecfe8e63ec3; + valaddr_reg:x3; val_offset:2064*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2064*FLEN/8, x4, x1, x2) + +inst_1033: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:2066*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2066*FLEN/8, x4, x1, x2) + +inst_1034: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:2068*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2068*FLEN/8, x4, x1, x2) + +inst_1035: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:2070*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2070*FLEN/8, x4, x1, x2) + +inst_1036: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:2072*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2072*FLEN/8, x4, x1, x2) + +inst_1037: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:2074*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2074*FLEN/8, x4, x1, x2) + +inst_1038: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xefff2c6cde040 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xffbefff2c6cde040; + valaddr_reg:x3; val_offset:2076*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2076*FLEN/8, x4, x1, x2) + +inst_1039: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:2078*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2078*FLEN/8, x4, x1, x2) + +inst_1040: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:2080*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2080*FLEN/8, x4, x1, x2) + +inst_1041: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:2082*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2082*FLEN/8, x4, x1, x2) + +inst_1042: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:2084*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2084*FLEN/8, x4, x1, x2) + +inst_1043: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:2086*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2086*FLEN/8, x4, x1, x2) + +inst_1044: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:2088*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2088*FLEN/8, x4, x1, x2) + +inst_1045: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:2090*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2090*FLEN/8, x4, x1, x2) + +inst_1046: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:2092*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2092*FLEN/8, x4, x1, x2) + +inst_1047: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:2094*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2094*FLEN/8, x4, x1, x2) + +inst_1048: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:2096*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2096*FLEN/8, x4, x1, x2) + +inst_1049: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:2098*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2098*FLEN/8, x4, x1, x2) + +inst_1050: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:2100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2100*FLEN/8, x4, x1, x2) + +inst_1051: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:2102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2102*FLEN/8, x4, x1, x2) + +inst_1052: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x8ccc238a4b367 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff88ccc238a4b367; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:2104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2104*FLEN/8, x4, x1, x2) + +inst_1053: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x8ccc238a4b367 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xff88ccc238a4b367; + valaddr_reg:x3; val_offset:2106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2106*FLEN/8, x4, x1, x2) + +inst_1054: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:2108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2108*FLEN/8, x4, x1, x2) + +inst_1055: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:2110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2110*FLEN/8, x4, x1, x2) + +inst_1056: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfa7485d0f8ece and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x800fa7485d0f8ece; + valaddr_reg:x3; val_offset:2112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2112*FLEN/8, x4, x1, x2) + +inst_1057: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:2114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2114*FLEN/8, x4, x1, x2) + +inst_1058: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:2116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2116*FLEN/8, x4, x1, x2) + +inst_1059: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:2118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2118*FLEN/8, x4, x1, x2) + +inst_1060: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:2120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2120*FLEN/8, x4, x1, x2) + +inst_1061: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:2122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2122*FLEN/8, x4, x1, x2) + +inst_1062: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:2124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2124*FLEN/8, x4, x1, x2) + +inst_1063: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:2126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2126*FLEN/8, x4, x1, x2) + +inst_1064: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:2128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2128*FLEN/8, x4, x1, x2) + +inst_1065: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:2130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2130*FLEN/8, x4, x1, x2) + +inst_1066: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:2132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2132*FLEN/8, x4, x1, x2) + +inst_1067: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:2134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2134*FLEN/8, x4, x1, x2) + +inst_1068: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:2136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2136*FLEN/8, x4, x1, x2) + +inst_1069: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:2138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2138*FLEN/8, x4, x1, x2) + +inst_1070: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:2140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2140*FLEN/8, x4, x1, x2) + +inst_1071: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x0; + valaddr_reg:x3; val_offset:2142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2142*FLEN/8, x4, x1, x2) + +inst_1072: +// fs1 == 1 and fe1 == 0x400 and fm1 == 0x16a3ffd234a38 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc0016a3ffd234a38; op2val:0x0; + valaddr_reg:x3; val_offset:2144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2144*FLEN/8, x4, x1, x2) + +inst_1073: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x400 and fm2 == 0x16a3ffd234a38 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc0016a3ffd234a38; + valaddr_reg:x3; val_offset:2146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2146*FLEN/8, x4, x1, x2) + +inst_1074: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x400 and fm2 == 0x16a3ffd234a38 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xc0016a3ffd234a38; + valaddr_reg:x3; val_offset:2148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2148*FLEN/8, x4, x1, x2) + +inst_1075: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:2150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2150*FLEN/8, x4, x1, x2) + +inst_1076: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:2152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2152*FLEN/8, x4, x1, x2) + +inst_1077: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:2154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2154*FLEN/8, x4, x1, x2) + +inst_1078: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:2156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2156*FLEN/8, x4, x1, x2) + +inst_1079: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:2158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2158*FLEN/8, x4, x1, x2) + +inst_1080: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:2160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2160*FLEN/8, x4, x1, x2) + +inst_1081: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:2162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2162*FLEN/8, x4, x1, x2) + +inst_1082: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:2164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2164*FLEN/8, x4, x1, x2) + +inst_1083: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:2166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2166*FLEN/8, x4, x1, x2) + +inst_1084: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:2168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2168*FLEN/8, x4, x1, x2) + +inst_1085: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:2170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2170*FLEN/8, x4, x1, x2) + +inst_1086: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:2172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2172*FLEN/8, x4, x1, x2) + +inst_1087: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:2174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2174*FLEN/8, x4, x1, x2) + +inst_1088: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:2176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2176*FLEN/8, x4, x1, x2) + +inst_1089: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:2178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2178*FLEN/8, x4, x1, x2) + +inst_1090: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:2180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2180*FLEN/8, x4, x1, x2) + +inst_1091: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:2182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2182*FLEN/8, x4, x1, x2) + +inst_1092: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:2184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2184*FLEN/8, x4, x1, x2) + +inst_1093: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:2186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2186*FLEN/8, x4, x1, x2) + +inst_1094: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:2188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2188*FLEN/8, x4, x1, x2) + +inst_1095: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:2190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2190*FLEN/8, x4, x1, x2) + +inst_1096: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:2192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2192*FLEN/8, x4, x1, x2) + +inst_1097: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x59e00c7a1fe31 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7fb59e00c7a1fe31; + valaddr_reg:x3; val_offset:2194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2194*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9187707400766357133,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9187707400766357133,64,FLEN) +NAN_BOXED(9187707400766357133,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9187707400766357133,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(307248920905963,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(307248920905963,64,FLEN) +NAN_BOXED(307248920905963,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(307248920905963,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(9218599460877896020,64,FLEN) +NAN_BOXED(9218599460877896020,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(9216755159709991930,64,FLEN) +NAN_BOXED(9216755159709991930,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(18441495283355993730,64,FLEN) +NAN_BOXED(18441495283355993730,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(18441000332308696282,64,FLEN) +NAN_BOXED(18441000332308696282,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(18438755301432628105,64,FLEN) +NAN_BOXED(18438755301432628105,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(18441589047275688410,64,FLEN) +NAN_BOXED(18441589047275688410,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(307248920905963,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(307248920905963,64,FLEN) +NAN_BOXED(307248920905963,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609515334592270952,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609515334592270952,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(4609515334592270952,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9202905851729561263,64,FLEN) +NAN_BOXED(9202905851729561263,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18423794679187843638,64,FLEN) +NAN_BOXED(18423794679187843638,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9199215084726029116,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(9199215084726029116,64,FLEN) +NAN_BOXED(9199215084726029116,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9199215084726029116,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(1860309585111937,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(1860309585111937,64,FLEN) +NAN_BOXED(1860309585111937,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(1860309585111937,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(1860309585111937,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(1860309585111937,64,FLEN) +NAN_BOXED(1860309585111937,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621363775837962087,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621363775837962087,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(4621363775837962087,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9198715325431587017,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(9198715325431587017,64,FLEN) +NAN_BOXED(9198715325431587017,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9198715325431587017,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(1734132052669129,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(1734132052669129,64,FLEN) +NAN_BOXED(1734132052669129,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(1734132052669129,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(1734132052669129,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(1734132052669129,64,FLEN) +NAN_BOXED(1734132052669129,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621012832926750291,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621012832926750291,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(4621012832926750291,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9195466662626323263,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(9195466662626323263,64,FLEN) +NAN_BOXED(9195466662626323263,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9195466662626323263,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(1025487123596812,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(1025487123596812,64,FLEN) +NAN_BOXED(1025487123596812,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(1025487123596812,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(1025487123596812,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(1025487123596812,64,FLEN) +NAN_BOXED(1025487123596812,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4617390479904765428,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4617390479904765428,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(4617390479904765428,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9202905851729561263,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9202905851729561263,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9202905851729561263,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9202905851729561263,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9202905851729561263,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9202905851729561263,64,FLEN) +NAN_BOXED(9202905851729561263,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9202905851729561263,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9202905851729561263,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9188083970319648089,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9188083970319648089,64,FLEN) +NAN_BOXED(9188083970319648089,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9188083970319648089,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(331017671960601,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(331017671960601,64,FLEN) +NAN_BOXED(331017671960601,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(331017671960601,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(9218599460877896020,64,FLEN) +NAN_BOXED(9218599460877896020,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(9216755159709991930,64,FLEN) +NAN_BOXED(9216755159709991930,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(18441495283355993730,64,FLEN) +NAN_BOXED(18441495283355993730,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(18441000332308696282,64,FLEN) +NAN_BOXED(18441000332308696282,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(18438755301432628105,64,FLEN) +NAN_BOXED(18438755301432628105,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(18441589047275688410,64,FLEN) +NAN_BOXED(18441589047275688410,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(331017671960601,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(331017671960601,64,FLEN) +NAN_BOXED(331017671960601,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610044206858481358,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610044206858481358,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(4610044206858481358,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18423794679187843638,64,FLEN) +NAN_BOXED(18423794679187843638,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18417691766039225875,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18417691766039225875,64,FLEN) +NAN_BOXED(18417691766039225875,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18417691766039225875,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9224252737044761660,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9224252737044761660,64,FLEN) +NAN_BOXED(9224252737044761660,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9224252737044761660,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9224252737044761660,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(9224252737044761660,64,FLEN) +NAN_BOXED(9224252737044761660,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13839957112706963326,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13839957112706963326,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(13839957112706963326,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18423794679187843638,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18423794679187843638,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18423794679187843638,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18423794679187843638,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18423794679187843638,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18423794679187843638,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18423794679187843638,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18408568719731755051,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18408568719731755051,64,FLEN) +NAN_BOXED(18408568719731755051,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18408568719731755051,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9223588555818379289,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223588555818379289,64,FLEN) +NAN_BOXED(9223588555818379289,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9223588555818379289,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9218599460877896020,64,FLEN) +NAN_BOXED(9218599460877896020,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9216755159709991930,64,FLEN) +NAN_BOXED(9216755159709991930,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(18441495283355993730,64,FLEN) +NAN_BOXED(18441495283355993730,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(18441000332308696282,64,FLEN) +NAN_BOXED(18441000332308696282,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(18438755301432628105,64,FLEN) +NAN_BOXED(18438755301432628105,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(18441589047275688410,64,FLEN) +NAN_BOXED(18441589047275688410,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9223588555818379289,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223588555818379289,64,FLEN) +NAN_BOXED(9223588555818379289,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13830868562885274501,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13830868562885274501,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(13830868562885274501,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18421222973891929912,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18421222973891929912,64,FLEN) +NAN_BOXED(18421222973891929912,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18421222973891929912,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9224887931055953850,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9224887931055953850,64,FLEN) +NAN_BOXED(9224887931055953850,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9224887931055953850,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9224887931055953850,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(9224887931055953850,64,FLEN) +NAN_BOXED(9224887931055953850,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13843490496680423504,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13843490496680423504,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(13843490496680423504,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18417753741299630643,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18417753741299630643,64,FLEN) +NAN_BOXED(18417753741299630643,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18417753741299630643,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9224260560696584988,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9224260560696584988,64,FLEN) +NAN_BOXED(9224260560696584988,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9224260560696584988,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9224260560696584988,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(9224260560696584988,64,FLEN) +NAN_BOXED(9224260560696584988,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13840000633214838699,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13840000633214838699,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(13840000633214838699,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9223998399602469818,64,FLEN) +NAN_BOXED(9199348865156658148,64,FLEN) +NAN_BOXED(9199348865156658148,64,FLEN) +NAN_BOXED(9223998399602469818,64,FLEN) +NAN_BOXED(9223998399602469818,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9223998399602469818,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9188432102867789632,64,FLEN) +NAN_BOXED(9188432102867789632,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9189319094843824265,64,FLEN) +NAN_BOXED(9189319094843824265,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9187160260404850188,64,FLEN) +NAN_BOXED(9187160260404850188,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9188620601927001273,64,FLEN) +NAN_BOXED(9188620601927001273,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(18411499362521491457,64,FLEN) +NAN_BOXED(18411499362521491457,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(18411182593851221091,64,FLEN) +NAN_BOXED(18411182593851221091,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(18408776271490487267,64,FLEN) +NAN_BOXED(18408776271490487267,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(18411559371430096052,64,FLEN) +NAN_BOXED(18411559371430096052,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9223998399602469818,64,FLEN) +NAN_BOXED(18413192211050312551,64,FLEN) +NAN_BOXED(18413192211050312551,64,FLEN) +NAN_BOXED(9223998399602469818,64,FLEN) +NAN_BOXED(9223998399602469818,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13837522972533976630,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13837522972533976630,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(13837522972533976630,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9199348865156658148,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9199348865156658148,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(352991503351052,64,FLEN) +NAN_BOXED(352991503351052,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(408977685137606,64,FLEN) +NAN_BOXED(408977685137606,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(278488638300449,64,FLEN) +NAN_BOXED(278488638300449,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(364889404212339,64,FLEN) +NAN_BOXED(364889404212339,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(9223705791079498921,64,FLEN) +NAN_BOXED(9223705791079498921,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(9223685796909498279,64,FLEN) +NAN_BOXED(9223685796909498279,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(9223595106063933059,64,FLEN) +NAN_BOXED(9223595106063933059,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(9223709578790951474,64,FLEN) +NAN_BOXED(9223709578790951474,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621457719651134248,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621457719651134248,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(4621457719651134248,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9218599460877896020,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9218599460877896020,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9218599460877896020,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9218599460877896020,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9188432102867789632,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9188432102867789632,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(352991503351052,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(352991503351052,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(352991503351052,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(352991503351052,64,FLEN) +NAN_BOXED(352991503351052,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610533140836462746,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610533140836462746,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(4610533140836462746,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9189319094843824265,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9189319094843824265,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(408977685137606,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(408977685137606,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(408977685137606,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(408977685137606,64,FLEN) +NAN_BOXED(408977685137606,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4611732446579579786,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4611732446579579786,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(4611732446579579786,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9216755159709991930,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9216755159709991930,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9216755159709991930,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9216755159709991930,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9187160260404850188,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9187160260404850188,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(278488638300449,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(278488638300449,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(278488638300449,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(278488638300449,64,FLEN) +NAN_BOXED(278488638300449,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4608875397062382879,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4608875397062382879,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(4608875397062382879,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9188620601927001273,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9188620601927001273,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(364889404212339,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(364889404212339,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(364889404212339,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(364889404212339,64,FLEN) +NAN_BOXED(364889404212339,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610797877918238349,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610797877918238349,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(4610797877918238349,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18441495283355993730,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18441495283355993730,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18441495283355993730,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18441495283355993730,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18411499362521491457,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18411499362521491457,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9223705791079498921,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9223705791079498921,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9223705791079498921,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9223705791079498921,64,FLEN) +NAN_BOXED(9223705791079498921,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833477134033400077,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833477134033400077,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(13833477134033400077,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18441000332308696282,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18441000332308696282,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18441000332308696282,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18441000332308696282,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18411182593851221091,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18411182593851221091,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9223685796909498279,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9223685796909498279,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9223685796909498279,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9223685796909498279,64,FLEN) +NAN_BOXED(9223685796909498279,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833032248983490310,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833032248983490310,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(13833032248983490310,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18438755301432628105,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18438755301432628105,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18438755301432628105,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18438755301432628105,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18408776271490487267,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18408776271490487267,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9223595106063933059,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9223595106063933059,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9223595106063933059,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9223595106063933059,64,FLEN) +NAN_BOXED(9223595106063933059,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13831014310686759463,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13831014310686759463,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(13831014310686759463,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18441589047275688410,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18441589047275688410,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18441589047275688410,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18441589047275688410,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18411559371430096052,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18411559371430096052,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9223709578790951474,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9223709578790951474,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9223709578790951474,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9223709578790951474,64,FLEN) +NAN_BOXED(9223709578790951474,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833561413410766531,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833561413410766531,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(13833561413410766531,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18413192211050312551,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18413192211050312551,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13835456353321306680,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13835456353321306680,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(13835456353321306680,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_5: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_6: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_7: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_8: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_9: + .fill 148*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fminm_b1-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fminm_b1-01.S new file mode 100644 index 000000000..ba2d5fe04 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fminm_b1-01.S @@ -0,0 +1,429 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:36:10 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fminm.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fminm.s instruction of the RISC-V RV32F_Zicsr_Zfa,RV32FD_Zicsr_Zfa,RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fminm_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr_Zfa,RV32IFD_Zicsr_Zfa,RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fminm_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 == rd != rs2, rs1==f31, rs2==f30, rd==f31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f31; op2:f30; dest:f31; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f31, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f29, rs2==f31, rd==f30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f29; op2:f31; dest:f30; op1val:0x0; op2val:0x80000000; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f30, f29, f31, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs2 == rd != rs1, rs1==f30, rs2==f29, rd==f29,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f29; op1val:0x0; op2val:0x1; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f29, f30, f29, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs1 == rs2 != rd, rs1==f27, rs2==f27, rd==f28,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f27; op2:f27; dest:f28; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f28, f27, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs1 == rs2 == rd, rs1==f26, rs2==f26, rd==f26,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f26; op2:f26; dest:f26; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f26, f26, f26, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f28, rs2==f25, rd==f27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f28; op2:f25; dest:f27; op1val:0x0; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f27, f28, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f24, rs2==f28, rd==f25,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f24; op2:f28; dest:f25; op1val:0x0; op2val:0x7fffff; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f25, f24, f28, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f25, rs2==f23, rd==f24,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f25; op2:f23; dest:f24; op1val:0x0; op2val:0x807fffff; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f24, f25, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f22, rs2==f24, rd==f23,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f22; op2:f24; dest:f23; op1val:0x0; op2val:0x800000; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f23, f22, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f23, rs2==f21, rd==f22,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f23; op2:f21; dest:f22; op1val:0x0; op2val:0x80800000; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f22, f23, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f20, rs2==f22, rd==f21,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f20; op2:f22; dest:f21; op1val:0x0; op2val:0x800001; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f21, f20, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f21, rs2==f19, rd==f20,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f21; op2:f19; dest:f20; op1val:0x0; op2val:0x80855555; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f20, f21, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f18, rs2==f20, rd==f19,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f18; op2:f20; dest:f19; op1val:0x0; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f19, f18, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f19, rs2==f17, rd==f18,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f19; op2:f17; dest:f18; op1val:0x0; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f18, f19, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f16, rs2==f18, rd==f17,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f16; op2:f18; dest:f17; op1val:0x0; op2val:0x7f800000; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f17, f16, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f17, rs2==f15, rd==f16,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f17; op2:f15; dest:f16; op1val:0x0; op2val:0xff800000; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f16, f17, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f14, rs2==f16, rd==f15,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f14; op2:f16; dest:f15; op1val:0x0; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f15, f14, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f15, rs2==f13, rd==f14,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f15; op2:f13; dest:f14; op1val:0x0; op2val:0xffc00000; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f14, f15, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f12, rs2==f14, rd==f13,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f12; op2:f14; dest:f13; op1val:0x0; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f13, f12, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f13, rs2==f11, rd==f12,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f13; op2:f11; dest:f12; op1val:0x0; op2val:0xffc55555; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f12, f13, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f10, rs2==f12, rd==f11,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f10; op2:f12; dest:f11; op1val:0x0; op2val:0x7f800001; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f11, f10, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f11, rs2==f9, rd==f10,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f11; op2:f9; dest:f10; op1val:0x0; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f10, f11, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f8, rs2==f10, rd==f9,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f8; op2:f10; dest:f9; op1val:0x0; op2val:0x3f800000; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f9, f8, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f9, rs2==f7, rd==f8,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f9; op2:f7; dest:f8; op1val:0x0; op2val:0xbf800000; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f8, f9, f7, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f6, rs2==f8, rd==f7,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f6; op2:f8; dest:f7; op1val:0x80000000; op2val:0x0; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f7, f6, f8, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f7, rs2==f5, rd==f6,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f7; op2:f5; dest:f6; op1val:0x80000000; op2val:0x80000000; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f6, f7, f5, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f4, rs2==f6, rd==f5,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f4; op2:f6; dest:f5; op1val:0x80000000; op2val:0x1; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f5, f4, f6, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f5, rs2==f3, rd==f4,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f5; op2:f3; dest:f4; op1val:0x80000000; op2val:0x80000001; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f4, f5, f3, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f2, rs2==f4, rd==f3,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f2; op2:f4; dest:f3; op1val:0x80000000; op2val:0x2; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f3, f2, f4, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f3, rs2==f1, rd==f2,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f3; op2:f1; dest:f2; op1val:0x80000000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f2, f3, f1, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f0, rs2==f2, rd==f1,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f0; op2:f2; dest:f1; op1val:0x80000000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f1, f0, f2, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f1,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f1; op2:f30; dest:f31; op1val:0x80000000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f1, f30, 0, 0, x3, 62*FLEN/8, x4, x1, x2) + +inst_32: +// rs2==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f30; op2:f0; dest:f31; op1val:0x80000000; op2val:0x800000; + valaddr_reg:x3; val_offset:64*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f0, 0, 0, x3, 64*FLEN/8, x4, x1, x2) + +inst_33: +// rd==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f31; op2:f30; dest:f0; op1val:0x80000000; op2val:0x80800000; + valaddr_reg:x3; val_offset:66*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f0, f31, f30, 0, 0, x3, 66*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 68*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fminm_b19-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fminm_b19-01.S new file mode 100644 index 000000000..3602c066d --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fminm_b19-01.S @@ -0,0 +1,429 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:36:10 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fminm.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fminm.s instruction of the RISC-V RV32F_Zicsr_Zfa,RV32FD_Zicsr_Zfa,RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fminm_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr_Zfa,RV32IFD_Zicsr_Zfa,RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fminm_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 == rd != rs2, rs1==f31, rs2==f30, rd==f31,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f31; op2:f30; dest:f31; op1val:0x7f222105; op2val:0x7f222105; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f31, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f29, rs2==f31, rd==f30,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f29; op2:f31; dest:f30; op1val:0x7f222105; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f30, f29, f31, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs2 == rd != rs1, rs1==f30, rs2==f29, rd==f29,fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f29; op1val:0x7ec45459; op2val:0x7f222105; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f29, f30, f29, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs1 == rs2 != rd, rs1==f27, rs2==f27, rd==f28,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f27; op2:f27; dest:f28; op1val:0x7f222105; op2val:0x7f222105; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f28, f27, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs1 == rs2 == rd, rs1==f26, rs2==f26, rd==f26,fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f26; op2:f26; dest:f26; op1val:0x7eb70362; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f26, f26, f26, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f28, rs2==f25, rd==f27,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f28; op2:f25; dest:f27; op1val:0x7f222105; op2val:0x7e587392; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f27, f28, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f24, rs2==f28, rd==f25,fs1 == 0 and fe1 == 0xfb and fm1 == 0x01b404 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f24; op2:f28; dest:f25; op1val:0x7d81b404; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f25, f24, f28, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f25, rs2==f23, rd==f24,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x01b404 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f25; op2:f23; dest:f24; op1val:0x7f7fffff; op2val:0x7d81b404; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f24, f25, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f22, rs2==f24, rd==f23,fs1 == 0 and fe1 == 0xfb and fm1 == 0x01b404 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f22; op2:f24; dest:f23; op1val:0x7d81b404; op2val:0x7e587392; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f23, f22, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f23, rs2==f21, rd==f22,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x01b404 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f23; op2:f21; dest:f22; op1val:0x7f222105; op2val:0x7d81b404; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f22, f23, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f20, rs2==f22, rd==f21,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f20; op2:f22; dest:f21; op1val:0x7f222105; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f21, f20, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f21, rs2==f19, rd==f20,fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f21; op2:f19; dest:f20; op1val:0x7f2eabd8; op2val:0x7f222105; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f20, f21, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f18, rs2==f20, rd==f19,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f18; op2:f20; dest:f19; op1val:0x7f222105; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f19, f18, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f19, rs2==f17, rd==f18,fs1 == 0 and fe1 == 0xfb and fm1 == 0x01b404 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f19; op2:f17; dest:f18; op1val:0x7d81b404; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f18, f19, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f16, rs2==f18, rd==f17,fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x01b404 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f16; op2:f18; dest:f17; op1val:0xff7fffff; op2val:0x7d81b404; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f17, f16, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f17, rs2==f15, rd==f16,fs1 == 0 and fe1 == 0xfb and fm1 == 0x01b404 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f17; op2:f15; dest:f16; op1val:0x7d81b404; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f16, f17, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f14, rs2==f16, rd==f15,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f14; op2:f16; dest:f15; op1val:0x7f222105; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f15, f14, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f15, rs2==f13, rd==f14,fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f15; op2:f13; dest:f14; op1val:0xfee4815a; op2val:0x7f222105; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f14, f15, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f12, rs2==f14, rd==f13,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f12; op2:f14; dest:f13; op1val:0x7f222105; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f13, f12, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f13, rs2==f11, rd==f12,fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f13; op2:f11; dest:f12; op1val:0xfe9ffb35; op2val:0x7f222105; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f12, f13, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f10, rs2==f12, rd==f11,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f10; op2:f12; dest:f11; op1val:0x7f222105; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f11, f10, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f11, rs2==f9, rd==f10,fs1 == 0 and fe1 == 0xfb and fm1 == 0x01b404 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f11; op2:f9; dest:f10; op1val:0x7d81b404; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f10, f11, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f8, rs2==f10, rd==f9,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f8; op2:f10; dest:f9; op1val:0x7f222105; op2val:0xfc538835; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f9, f8, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f9, rs2==f7, rd==f8,fs1 == 0 and fe1 == 0xf7 and fm1 == 0x4f866d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f9; op2:f7; dest:f8; op1val:0x7bcf866d; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f8, f9, f7, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f6, rs2==f8, rd==f7,fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xf7 and fm2 == 0x4f866d and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f6; op2:f8; dest:f7; op1val:0xff7fffff; op2val:0x7bcf866d; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f7, f6, f8, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f7, rs2==f5, rd==f6,fs1 == 0 and fe1 == 0xf7 and fm1 == 0x4f866d and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f7; op2:f5; dest:f6; op1val:0x7bcf866d; op2val:0xfc538835; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f6, f7, f5, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f4, rs2==f6, rd==f5,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xf7 and fm2 == 0x4f866d and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f4; op2:f6; dest:f5; op1val:0x7f222105; op2val:0x7bcf866d; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f5, f4, f6, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f5, rs2==f3, rd==f4,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f5; op2:f3; dest:f4; op1val:0x7f222105; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f4, f5, f3, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f2, rs2==f4, rd==f3,fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x39f704 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f2; op2:f4; dest:f3; op1val:0x177770; op2val:0x7f39f704; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f3, f2, f4, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f3, rs2==f1, rd==f2,fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x177770 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f3; op2:f1; dest:f2; op1val:0x7f39f704; op2val:0x177770; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f2, f3, f1, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f0, rs2==f2, rd==f1,fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f0; op2:f2; dest:f1; op1val:0x177770; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f1, f0, f2, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f1,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x177770 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f1; op2:f30; dest:f31; op1val:0x7f222105; op2val:0x177770; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f1, f30, 0, 0, x3, 62*FLEN/8, x4, x1, x2) + +inst_32: +// rs2==f0,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f30; op2:f0; dest:f31; op1val:0x7f222105; op2val:0x3229c1; + valaddr_reg:x3; val_offset:64*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f0, 0, 0, x3, 64*FLEN/8, x4, x1, x2) + +inst_33: +// rd==f0,fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f31; op2:f30; dest:f0; op1val:0x177770; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:66*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f0, f31, f30, 0, 0, x3, 66*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2077197933,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2077197933,32,FLEN) +NAN_BOXED(2077197933,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2077197933,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 68*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b1-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b1-01.S new file mode 100644 index 000000000..bf09a7496 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b1-01.S @@ -0,0 +1,320 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:45 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fmvh.x.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fmvh.x.d instruction of the RISC-V RV32FD_Zicsr_Zfa extension for the fmvh.x.d_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*RV32.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fmvh.x.d_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1==f31, rd==x31,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f31; dest:x31; op1val:0x0; valaddr_reg:x3; +val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x31, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1==f30, rd==x30,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f30; dest:x30; op1val:0x8000000000000000; valaddr_reg:x3; +val_offset:1*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x30, f30, 0, 0, x3, 1*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f29, rd==x29,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f29; dest:x29; op1val:0x1; valaddr_reg:x3; +val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rd==x28,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f28; dest:x28; op1val:0x8000000000000001; valaddr_reg:x3; +val_offset:3*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x28, f28, 0, 0, x3, 3*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rd==x27,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f27; dest:x27; op1val:0x2; valaddr_reg:x3; +val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x27, f27, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rd==x26,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f26; dest:x26; op1val:0x8000000000000002; valaddr_reg:x3; +val_offset:5*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x26, f26, 0, 0, x3, 5*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rd==x25,fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f25; dest:x25; op1val:0xfffffffffffff; valaddr_reg:x3; +val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x25, f25, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rd==x24,fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f24; dest:x24; op1val:0x800fffffffffffff; valaddr_reg:x3; +val_offset:7*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x24, f24, 0, 0, x3, 7*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rd==x23,fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f23; dest:x23; op1val:0x10000000000000; valaddr_reg:x3; +val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x23, f23, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rd==x22,fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f22; dest:x22; op1val:0x8010000000000000; valaddr_reg:x3; +val_offset:9*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x22, f22, 0, 0, x3, 9*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rd==x21,fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f21; dest:x21; op1val:0x10000000000002; valaddr_reg:x3; +val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x21, f21, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rd==x20,fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f20; dest:x20; op1val:0x8010000000000002; valaddr_reg:x3; +val_offset:11*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x20, f20, 0, 0, x3, 11*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rd==x19,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f19; dest:x19; op1val:0x7fefffffffffffff; valaddr_reg:x3; +val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x19, f19, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rd==x18,fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f18; dest:x18; op1val:0xffefffffffffffff; valaddr_reg:x3; +val_offset:13*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x18, f18, 0, 0, x3, 13*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rd==x17,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f17; dest:x17; op1val:0x7ff0000000000000; valaddr_reg:x3; +val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x17, f17, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rd==x16,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f16; dest:x16; op1val:0xfff0000000000000; valaddr_reg:x3; +val_offset:15*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x16, f16, 0, 0, x3, 15*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rd==x15,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f15; dest:x15; op1val:0x7ff8000000000000; valaddr_reg:x3; +val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x15, f15, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rd==x14,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f14; dest:x14; op1val:0xfff8000000000000; valaddr_reg:x3; +val_offset:17*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x14, f14, 0, 0, x3, 17*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rd==x13,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f13; dest:x13; op1val:0x7ff8000000000001; valaddr_reg:x3; +val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x13, f13, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rd==x12,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f12; dest:x12; op1val:0xfff8000000000001; valaddr_reg:x3; +val_offset:19*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x12, f12, 0, 0, x3, 19*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rd==x11,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f11; dest:x11; op1val:0x7ff0000000000001; valaddr_reg:x3; +val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x11, f11, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rd==x10,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f10; dest:x10; op1val:0xfff0000000000001; valaddr_reg:x3; +val_offset:21*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x10, f10, 0, 0, x3, 21*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rd==x9,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f9; dest:x9; op1val:0x3ff0000000000000; valaddr_reg:x3; +val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x9, f9, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_23:// rs1==f8, rd==x8,fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f8; dest:x8; op1val:0xbf80000000000000; valaddr_reg:x3; +val_offset:23*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x8, f8, 0, 0, x3, 23*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x8,test_dataset_1) + +inst_24:// rs1==f7, rd==x7, +/* opcode: fmvh.x.d ; op1:f7; dest:x7; op1val:0x0; valaddr_reg:x8; +val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x7, f7, 0, 0, x8, 0*FLEN/8, x9, x1, x2) + +inst_25:// rs1==f6, rd==x6, +/* opcode: fmvh.x.d ; op1:f6; dest:x6; op1val:0x0; valaddr_reg:x8; +val_offset:1*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x6, f6, 0, 0, x8, 1*FLEN/8, x9, x1, x2) + +inst_26:// rs1==f5, rd==x5, +/* opcode: fmvh.x.d ; op1:f5; dest:x5; op1val:0x0; valaddr_reg:x8; +val_offset:2*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x5, f5, 0, 0, x8, 2*FLEN/8, x9, x1, x6) +RVTEST_SIGBASE(x5,signature_x5_0) + +inst_27:// rs1==f4, rd==x4, +/* opcode: fmvh.x.d ; op1:f4; dest:x4; op1val:0x0; valaddr_reg:x8; +val_offset:3*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x4, f4, 0, 0, x8, 3*FLEN/8, x9, x5, x6) + +inst_28:// rs1==f3, rd==x3, +/* opcode: fmvh.x.d ; op1:f3; dest:x3; op1val:0x0; valaddr_reg:x8; +val_offset:4*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x3, f3, 0, 0, x8, 4*FLEN/8, x9, x5, x6) + +inst_29:// rs1==f2, rd==x2, +/* opcode: fmvh.x.d ; op1:f2; dest:x2; op1val:0x0; valaddr_reg:x8; +val_offset:5*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x2, f2, 0, 0, x8, 5*FLEN/8, x9, x5, x6) + +inst_30:// rs1==f1, rd==x1, +/* opcode: fmvh.x.d ; op1:f1; dest:x1; op1val:0x0; valaddr_reg:x8; +val_offset:6*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x1, f1, 0, 0, x8, 6*FLEN/8, x9, x5, x6) + +inst_31:// rs1==f0, rd==x0, +/* opcode: fmvh.x.d ; op1:f0; dest:x0; op1val:0x0; valaddr_reg:x8; +val_offset:7*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x0, f0, 0, 0, x8, 7*FLEN/8, x9, x5, x6) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +test_dataset_1: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 54*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x5_0: + .fill 10*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b22-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b22-01.S new file mode 100644 index 000000000..e3d080689 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b22-01.S @@ -0,0 +1,320 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:45 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fmvh.x.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fmvh.x.d instruction of the RISC-V RV32FD_Zicsr_Zfa extension for the fmvh.x.d_b22 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*RV32.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fmvh.x.d_b22) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1==f31, rd==x31,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08577924770d3 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f31; dest:x31; op1val:0x3fc08577924770d3; valaddr_reg:x3; +val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x31, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1==f30, rd==x30,fs1 == 0 and fe1 == 0x3fd and fm1 == 0x93fdc7b89296c and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f30; dest:x30; op1val:0x3fd93fdc7b89296c; valaddr_reg:x3; +val_offset:1*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x30, f30, 0, 0, x3, 1*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f29, rd==x29,fs1 == 1 and fe1 == 0x3fe and fm1 == 0x766ba34c2da80 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f29; dest:x29; op1val:0xbfe766ba34c2da80; valaddr_reg:x3; +val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rd==x28,fs1 == 0 and fe1 == 0x3ff and fm1 == 0xd2d6b7dc59a3a and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f28; dest:x28; op1val:0x3ffd2d6b7dc59a3a; valaddr_reg:x3; +val_offset:3*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x28, f28, 0, 0, x3, 3*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rd==x27,fs1 == 0 and fe1 == 0x400 and fm1 == 0xcf84ba749f9c5 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f27; dest:x27; op1val:0x400cf84ba749f9c5; valaddr_reg:x3; +val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x27, f27, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rd==x26,fs1 == 0 and fe1 == 0x401 and fm1 == 0x854a908ceac39 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f26; dest:x26; op1val:0x401854a908ceac39; valaddr_reg:x3; +val_offset:5*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x26, f26, 0, 0, x3, 5*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rd==x25,fs1 == 1 and fe1 == 0x0ff and fm1 == 0x137a953e8eb43 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f25; dest:x25; op1val:0x8ff137a953e8eb43; valaddr_reg:x3; +val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x25, f25, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rd==x24,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xbedc2f3ebcf12 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f24; dest:x24; op1val:0x7febedc2f3ebcf12; valaddr_reg:x3; +val_offset:7*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x24, f24, 0, 0, x3, 7*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rd==x23, +/* opcode: fmvh.x.d ; op1:f23; dest:x23; op1val:0x0; valaddr_reg:x3; +val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x23, f23, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rd==x22, +/* opcode: fmvh.x.d ; op1:f22; dest:x22; op1val:0x0; valaddr_reg:x3; +val_offset:9*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x22, f22, 0, 0, x3, 9*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rd==x21, +/* opcode: fmvh.x.d ; op1:f21; dest:x21; op1val:0x0; valaddr_reg:x3; +val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x21, f21, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rd==x20, +/* opcode: fmvh.x.d ; op1:f20; dest:x20; op1val:0x0; valaddr_reg:x3; +val_offset:11*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x20, f20, 0, 0, x3, 11*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rd==x19, +/* opcode: fmvh.x.d ; op1:f19; dest:x19; op1val:0x0; valaddr_reg:x3; +val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x19, f19, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rd==x18, +/* opcode: fmvh.x.d ; op1:f18; dest:x18; op1val:0x0; valaddr_reg:x3; +val_offset:13*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x18, f18, 0, 0, x3, 13*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rd==x17, +/* opcode: fmvh.x.d ; op1:f17; dest:x17; op1val:0x0; valaddr_reg:x3; +val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x17, f17, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rd==x16, +/* opcode: fmvh.x.d ; op1:f16; dest:x16; op1val:0x0; valaddr_reg:x3; +val_offset:15*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x16, f16, 0, 0, x3, 15*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rd==x15, +/* opcode: fmvh.x.d ; op1:f15; dest:x15; op1val:0x0; valaddr_reg:x3; +val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x15, f15, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rd==x14, +/* opcode: fmvh.x.d ; op1:f14; dest:x14; op1val:0x0; valaddr_reg:x3; +val_offset:17*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x14, f14, 0, 0, x3, 17*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rd==x13, +/* opcode: fmvh.x.d ; op1:f13; dest:x13; op1val:0x0; valaddr_reg:x3; +val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x13, f13, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rd==x12, +/* opcode: fmvh.x.d ; op1:f12; dest:x12; op1val:0x0; valaddr_reg:x3; +val_offset:19*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x12, f12, 0, 0, x3, 19*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rd==x11, +/* opcode: fmvh.x.d ; op1:f11; dest:x11; op1val:0x0; valaddr_reg:x3; +val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x11, f11, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rd==x10, +/* opcode: fmvh.x.d ; op1:f10; dest:x10; op1val:0x0; valaddr_reg:x3; +val_offset:21*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x10, f10, 0, 0, x3, 21*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rd==x9, +/* opcode: fmvh.x.d ; op1:f9; dest:x9; op1val:0x0; valaddr_reg:x3; +val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x9, f9, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_23:// rs1==f8, rd==x8, +/* opcode: fmvh.x.d ; op1:f8; dest:x8; op1val:0x0; valaddr_reg:x3; +val_offset:23*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x8, f8, 0, 0, x3, 23*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x8,test_dataset_1) + +inst_24:// rs1==f7, rd==x7, +/* opcode: fmvh.x.d ; op1:f7; dest:x7; op1val:0x0; valaddr_reg:x8; +val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x7, f7, 0, 0, x8, 0*FLEN/8, x9, x1, x2) + +inst_25:// rs1==f6, rd==x6, +/* opcode: fmvh.x.d ; op1:f6; dest:x6; op1val:0x0; valaddr_reg:x8; +val_offset:1*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x6, f6, 0, 0, x8, 1*FLEN/8, x9, x1, x2) + +inst_26:// rs1==f5, rd==x5, +/* opcode: fmvh.x.d ; op1:f5; dest:x5; op1val:0x0; valaddr_reg:x8; +val_offset:2*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x5, f5, 0, 0, x8, 2*FLEN/8, x9, x1, x6) +RVTEST_SIGBASE(x5,signature_x5_0) + +inst_27:// rs1==f4, rd==x4, +/* opcode: fmvh.x.d ; op1:f4; dest:x4; op1val:0x0; valaddr_reg:x8; +val_offset:3*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x4, f4, 0, 0, x8, 3*FLEN/8, x9, x5, x6) + +inst_28:// rs1==f3, rd==x3, +/* opcode: fmvh.x.d ; op1:f3; dest:x3; op1val:0x0; valaddr_reg:x8; +val_offset:4*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x3, f3, 0, 0, x8, 4*FLEN/8, x9, x5, x6) + +inst_29:// rs1==f2, rd==x2, +/* opcode: fmvh.x.d ; op1:f2; dest:x2; op1val:0x0; valaddr_reg:x8; +val_offset:5*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x2, f2, 0, 0, x8, 5*FLEN/8, x9, x5, x6) + +inst_30:// rs1==f1, rd==x1, +/* opcode: fmvh.x.d ; op1:f1; dest:x1; op1val:0x0; valaddr_reg:x8; +val_offset:6*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x1, f1, 0, 0, x8, 6*FLEN/8, x9, x5, x6) + +inst_31:// rs1==f0, rd==x0, +/* opcode: fmvh.x.d ; op1:f0; dest:x0; op1val:0x0; valaddr_reg:x8; +val_offset:7*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x0, f0, 0, 0, x8, 7*FLEN/8, x9, x5, x6) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(4593818368519663827,64,FLEN) +NAN_BOXED(4600778710533613932,64,FLEN) +NAN_BOXED(13828134130799532672,64,FLEN) +NAN_BOXED(4610891533192108602,64,FLEN) +NAN_BOXED(4615336721960794565,64,FLEN) +NAN_BOXED(4618534502842412089,64,FLEN) +NAN_BOXED(10372132617207737155,64,FLEN) +NAN_BOXED(9217722483915607826,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +test_dataset_1: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 54*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x5_0: + .fill 10*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b23-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b23-01.S new file mode 100644 index 000000000..310d10658 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b23-01.S @@ -0,0 +1,320 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:45 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fmvh.x.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fmvh.x.d instruction of the RISC-V RV32FD_Zicsr_Zfa extension for the fmvh.x.d_b23 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*RV32.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fmvh.x.d_b23) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1==f31, rd==x31,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffc and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f31; dest:x31; op1val:0x43dffffffffffffc; valaddr_reg:x3; +val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x31, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1==f30, rd==x30,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffd and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f30; dest:x30; op1val:0x43dffffffffffffd; valaddr_reg:x3; +val_offset:1*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x30, f30, 0, 0, x3, 1*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f29, rd==x29,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffe and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f29; dest:x29; op1val:0x43dffffffffffffe; valaddr_reg:x3; +val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rd==x28,fs1 == 0 and fe1 == 0x43d and fm1 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f28; dest:x28; op1val:0x43dfffffffffffff; valaddr_reg:x3; +val_offset:3*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x28, f28, 0, 0, x3, 3*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rd==x27,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f27; dest:x27; op1val:0x43e0000000000000; valaddr_reg:x3; +val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x27, f27, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rd==x26,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000001 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f26; dest:x26; op1val:0x43e0000000000001; valaddr_reg:x3; +val_offset:5*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x26, f26, 0, 0, x3, 5*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rd==x25,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000002 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f25; dest:x25; op1val:0x43e0000000000002; valaddr_reg:x3; +val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x25, f25, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rd==x24,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000003 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f24; dest:x24; op1val:0x43e0000000000003; valaddr_reg:x3; +val_offset:7*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x24, f24, 0, 0, x3, 7*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rd==x23,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000004 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f23; dest:x23; op1val:0x43e0000000000004; valaddr_reg:x3; +val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x23, f23, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rd==x22, +/* opcode: fmvh.x.d ; op1:f22; dest:x22; op1val:0x0; valaddr_reg:x3; +val_offset:9*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x22, f22, 0, 0, x3, 9*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rd==x21, +/* opcode: fmvh.x.d ; op1:f21; dest:x21; op1val:0x0; valaddr_reg:x3; +val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x21, f21, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rd==x20, +/* opcode: fmvh.x.d ; op1:f20; dest:x20; op1val:0x0; valaddr_reg:x3; +val_offset:11*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x20, f20, 0, 0, x3, 11*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rd==x19, +/* opcode: fmvh.x.d ; op1:f19; dest:x19; op1val:0x0; valaddr_reg:x3; +val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x19, f19, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rd==x18, +/* opcode: fmvh.x.d ; op1:f18; dest:x18; op1val:0x0; valaddr_reg:x3; +val_offset:13*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x18, f18, 0, 0, x3, 13*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rd==x17, +/* opcode: fmvh.x.d ; op1:f17; dest:x17; op1val:0x0; valaddr_reg:x3; +val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x17, f17, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rd==x16, +/* opcode: fmvh.x.d ; op1:f16; dest:x16; op1val:0x0; valaddr_reg:x3; +val_offset:15*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x16, f16, 0, 0, x3, 15*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rd==x15, +/* opcode: fmvh.x.d ; op1:f15; dest:x15; op1val:0x0; valaddr_reg:x3; +val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x15, f15, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rd==x14, +/* opcode: fmvh.x.d ; op1:f14; dest:x14; op1val:0x0; valaddr_reg:x3; +val_offset:17*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x14, f14, 0, 0, x3, 17*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rd==x13, +/* opcode: fmvh.x.d ; op1:f13; dest:x13; op1val:0x0; valaddr_reg:x3; +val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x13, f13, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rd==x12, +/* opcode: fmvh.x.d ; op1:f12; dest:x12; op1val:0x0; valaddr_reg:x3; +val_offset:19*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x12, f12, 0, 0, x3, 19*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rd==x11, +/* opcode: fmvh.x.d ; op1:f11; dest:x11; op1val:0x0; valaddr_reg:x3; +val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x11, f11, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rd==x10, +/* opcode: fmvh.x.d ; op1:f10; dest:x10; op1val:0x0; valaddr_reg:x3; +val_offset:21*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x10, f10, 0, 0, x3, 21*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rd==x9, +/* opcode: fmvh.x.d ; op1:f9; dest:x9; op1val:0x0; valaddr_reg:x3; +val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x9, f9, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_23:// rs1==f8, rd==x8, +/* opcode: fmvh.x.d ; op1:f8; dest:x8; op1val:0x0; valaddr_reg:x3; +val_offset:23*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x8, f8, 0, 0, x3, 23*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x8,test_dataset_1) + +inst_24:// rs1==f7, rd==x7, +/* opcode: fmvh.x.d ; op1:f7; dest:x7; op1val:0x0; valaddr_reg:x8; +val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x7, f7, 0, 0, x8, 0*FLEN/8, x9, x1, x2) + +inst_25:// rs1==f6, rd==x6, +/* opcode: fmvh.x.d ; op1:f6; dest:x6; op1val:0x0; valaddr_reg:x8; +val_offset:1*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x6, f6, 0, 0, x8, 1*FLEN/8, x9, x1, x2) + +inst_26:// rs1==f5, rd==x5, +/* opcode: fmvh.x.d ; op1:f5; dest:x5; op1val:0x0; valaddr_reg:x8; +val_offset:2*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x5, f5, 0, 0, x8, 2*FLEN/8, x9, x1, x6) +RVTEST_SIGBASE(x5,signature_x5_0) + +inst_27:// rs1==f4, rd==x4, +/* opcode: fmvh.x.d ; op1:f4; dest:x4; op1val:0x0; valaddr_reg:x8; +val_offset:3*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x4, f4, 0, 0, x8, 3*FLEN/8, x9, x5, x6) + +inst_28:// rs1==f3, rd==x3, +/* opcode: fmvh.x.d ; op1:f3; dest:x3; op1val:0x0; valaddr_reg:x8; +val_offset:4*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x3, f3, 0, 0, x8, 4*FLEN/8, x9, x5, x6) + +inst_29:// rs1==f2, rd==x2, +/* opcode: fmvh.x.d ; op1:f2; dest:x2; op1val:0x0; valaddr_reg:x8; +val_offset:5*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x2, f2, 0, 0, x8, 5*FLEN/8, x9, x5, x6) + +inst_30:// rs1==f1, rd==x1, +/* opcode: fmvh.x.d ; op1:f1; dest:x1; op1val:0x0; valaddr_reg:x8; +val_offset:6*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x1, f1, 0, 0, x8, 6*FLEN/8, x9, x5, x6) + +inst_31:// rs1==f0, rd==x0, +/* opcode: fmvh.x.d ; op1:f0; dest:x0; op1val:0x0; valaddr_reg:x8; +val_offset:7*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x0, f0, 0, 0, x8, 7*FLEN/8, x9, x5, x6) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(4890909195324358652,64,FLEN) +NAN_BOXED(4890909195324358653,64,FLEN) +NAN_BOXED(4890909195324358654,64,FLEN) +NAN_BOXED(4890909195324358655,64,FLEN) +NAN_BOXED(4890909195324358656,64,FLEN) +NAN_BOXED(4890909195324358657,64,FLEN) +NAN_BOXED(4890909195324358658,64,FLEN) +NAN_BOXED(4890909195324358659,64,FLEN) +NAN_BOXED(4890909195324358660,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +test_dataset_1: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 54*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x5_0: + .fill 10*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b24-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b24-01.S new file mode 100644 index 000000000..f171e791a --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b24-01.S @@ -0,0 +1,320 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:45 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fmvh.x.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fmvh.x.d instruction of the RISC-V RV32FD_Zicsr_Zfa extension for the fmvh.x.d_b24 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*RV32.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fmvh.x.d_b24) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1==f31, rd==x31,fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x47ae147ae147b and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f31; dest:x31; op1val:0xbf847ae147ae147b; valaddr_reg:x3; +val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x31, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1==f30, rd==x30,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f30; dest:x30; op1val:0x0; valaddr_reg:x3; +val_offset:1*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x30, f30, 0, 0, x3, 1*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f29, rd==x29,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f29; dest:x29; op1val:0x3ff0000000000000; valaddr_reg:x3; +val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rd==x28,fs1 == 1 and fe1 == 0x3fe and fm1 == 0xccccccccccccd and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f28; dest:x28; op1val:0xbfeccccccccccccd; valaddr_reg:x3; +val_offset:3*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x28, f28, 0, 0, x3, 3*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rd==x27,fs1 == 0 and fe1 == 0x3f8 and fm1 == 0x47ae147ae147b and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f27; dest:x27; op1val:0x3f847ae147ae147b; valaddr_reg:x3; +val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x27, f27, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rd==x26,fs1 == 0 and fe1 == 0x3fb and fm1 == 0x999999999999a and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f26; dest:x26; op1val:0x3fb999999999999a; valaddr_reg:x3; +val_offset:5*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x26, f26, 0, 0, x3, 5*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rd==x25,fs1 == 1 and fe1 == 0x3fb and fm1 == 0x999999999999a and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f25; dest:x25; op1val:0xbfb999999999999a; valaddr_reg:x3; +val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x25, f25, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rd==x24,fs1 == 1 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f24; dest:x24; op1val:0xbff1c28f5c28f5c3; valaddr_reg:x3; +val_offset:7*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x24, f24, 0, 0, x3, 7*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rd==x23,fs1 == 1 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f23; dest:x23; op1val:0xbff0000000000000; valaddr_reg:x3; +val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x23, f23, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rd==x22,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f22; dest:x22; op1val:0x3ff1c28f5c28f5c3; valaddr_reg:x3; +val_offset:9*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x22, f22, 0, 0, x3, 9*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rd==x21,fs1 == 1 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f21; dest:x21; op1val:0xbff028f5c28f5c29; valaddr_reg:x3; +val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x21, f21, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rd==x20,fs1 == 1 and fe1 == 0x3ff and fm1 == 0x199999999999a and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f20; dest:x20; op1val:0xbff199999999999a; valaddr_reg:x3; +val_offset:11*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x20, f20, 0, 0, x3, 11*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rd==x19,fs1 == 0 and fe1 == 0x3fe and fm1 == 0xccccccccccccd and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f19; dest:x19; op1val:0x3feccccccccccccd; valaddr_reg:x3; +val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x19, f19, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rd==x18,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f18; dest:x18; op1val:0x3ff028f5c28f5c29; valaddr_reg:x3; +val_offset:13*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x18, f18, 0, 0, x3, 13*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rd==x17,fs1 == 1 and fe1 == 0x3fe and fm1 == 0xfae147ae147ae and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f17; dest:x17; op1val:0xbfefae147ae147ae; valaddr_reg:x3; +val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x17, f17, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rd==x16,fs1 == 0 and fe1 == 0x3fb and fm1 == 0xc28f5c28f5c29 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f16; dest:x16; op1val:0x3fbc28f5c28f5c29; valaddr_reg:x3; +val_offset:15*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x16, f16, 0, 0, x3, 15*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rd==x15,fs1 == 0 and fe1 == 0x3fe and fm1 == 0xc7ae147ae147b and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f15; dest:x15; op1val:0x3fec7ae147ae147b; valaddr_reg:x3; +val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x15, f15, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rd==x14,fs1 == 1 and fe1 == 0x3fe and fm1 == 0xc7ae147ae147b and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f14; dest:x14; op1val:0xbfec7ae147ae147b; valaddr_reg:x3; +val_offset:17*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x14, f14, 0, 0, x3, 17*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rd==x13,fs1 == 1 and fe1 == 0x3fb and fm1 == 0xc28f5c28f5c29 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f13; dest:x13; op1val:0xbfbc28f5c28f5c29; valaddr_reg:x3; +val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x13, f13, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rd==x12,fs1 == 0 and fe1 == 0x3fe and fm1 == 0xfae147ae147ae and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f12; dest:x12; op1val:0x3fefae147ae147ae; valaddr_reg:x3; +val_offset:19*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x12, f12, 0, 0, x3, 19*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rd==x11,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x199999999999a and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f11; dest:x11; op1val:0x3ff199999999999a; valaddr_reg:x3; +val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x11, f11, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rd==x10, +/* opcode: fmvh.x.d ; op1:f10; dest:x10; op1val:0x0; valaddr_reg:x3; +val_offset:21*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x10, f10, 0, 0, x3, 21*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rd==x9, +/* opcode: fmvh.x.d ; op1:f9; dest:x9; op1val:0x0; valaddr_reg:x3; +val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x9, f9, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_23:// rs1==f8, rd==x8, +/* opcode: fmvh.x.d ; op1:f8; dest:x8; op1val:0x0; valaddr_reg:x3; +val_offset:23*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x8, f8, 0, 0, x3, 23*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x8,test_dataset_1) + +inst_24:// rs1==f7, rd==x7, +/* opcode: fmvh.x.d ; op1:f7; dest:x7; op1val:0x0; valaddr_reg:x8; +val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x7, f7, 0, 0, x8, 0*FLEN/8, x9, x1, x2) + +inst_25:// rs1==f6, rd==x6, +/* opcode: fmvh.x.d ; op1:f6; dest:x6; op1val:0x0; valaddr_reg:x8; +val_offset:1*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x6, f6, 0, 0, x8, 1*FLEN/8, x9, x1, x2) + +inst_26:// rs1==f5, rd==x5, +/* opcode: fmvh.x.d ; op1:f5; dest:x5; op1val:0x0; valaddr_reg:x8; +val_offset:2*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x5, f5, 0, 0, x8, 2*FLEN/8, x9, x1, x6) +RVTEST_SIGBASE(x5,signature_x5_0) + +inst_27:// rs1==f4, rd==x4, +/* opcode: fmvh.x.d ; op1:f4; dest:x4; op1val:0x0; valaddr_reg:x8; +val_offset:3*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x4, f4, 0, 0, x8, 3*FLEN/8, x9, x5, x6) + +inst_28:// rs1==f3, rd==x3, +/* opcode: fmvh.x.d ; op1:f3; dest:x3; op1val:0x0; valaddr_reg:x8; +val_offset:4*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x3, f3, 0, 0, x8, 4*FLEN/8, x9, x5, x6) + +inst_29:// rs1==f2, rd==x2, +/* opcode: fmvh.x.d ; op1:f2; dest:x2; op1val:0x0; valaddr_reg:x8; +val_offset:5*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x2, f2, 0, 0, x8, 5*FLEN/8, x9, x5, x6) + +inst_30:// rs1==f1, rd==x1, +/* opcode: fmvh.x.d ; op1:f1; dest:x1; op1val:0x0; valaddr_reg:x8; +val_offset:6*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x1, f1, 0, 0, x8, 6*FLEN/8, x9, x5, x6) + +inst_31:// rs1==f0, rd==x0, +/* opcode: fmvh.x.d ; op1:f0; dest:x0; op1val:0x0; valaddr_reg:x8; +val_offset:7*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x0, f0, 0, 0, x8, 7*FLEN/8, x9, x5, x6) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(13800290266158863483,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13829653735729319117,64,FLEN) +NAN_BOXED(4576918229304087675,64,FLEN) +NAN_BOXED(4591870180066957722,64,FLEN) +NAN_BOXED(13815242216921733530,64,FLEN) +NAN_BOXED(13831049851613803971,64,FLEN) +NAN_BOXED(13830554455654793216,64,FLEN) +NAN_BOXED(4607677814759028163,64,FLEN) +NAN_BOXED(13830599491651066921,64,FLEN) +NAN_BOXED(13831004815617530266,64,FLEN) +NAN_BOXED(4606281698874543309,64,FLEN) +NAN_BOXED(4607227454796291113,64,FLEN) +NAN_BOXED(13830464383662245806,64,FLEN) +NAN_BOXED(4592590756007337001,64,FLEN) +NAN_BOXED(4606191626881995899,64,FLEN) +NAN_BOXED(13829563663736771707,64,FLEN) +NAN_BOXED(13815962792862112809,64,FLEN) +NAN_BOXED(4607092346807469998,64,FLEN) +NAN_BOXED(4607632778762754458,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +test_dataset_1: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 54*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x5_0: + .fill 10*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b27-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b27-01.S new file mode 100644 index 000000000..b0fbf7b64 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b27-01.S @@ -0,0 +1,320 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:45 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fmvh.x.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fmvh.x.d instruction of the RISC-V RV32FD_Zicsr_Zfa extension for the fmvh.x.d_b27 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*RV32.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fmvh.x.d_b27) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1==f31, rd==x31,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f31; dest:x31; op1val:0x7ff0000000000001; valaddr_reg:x3; +val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x31, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1==f30, rd==x30,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f30; dest:x30; op1val:0xfff0000000000001; valaddr_reg:x3; +val_offset:1*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x30, f30, 0, 0, x3, 1*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f29, rd==x29,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x4aaaaaaaaaaaa and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f29; dest:x29; op1val:0x7ff4aaaaaaaaaaaa; valaddr_reg:x3; +val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rd==x28,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x4aaaaaaaaaaaa and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f28; dest:x28; op1val:0xfff4aaaaaaaaaaaa; valaddr_reg:x3; +val_offset:3*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x28, f28, 0, 0, x3, 3*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rd==x27,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f27; dest:x27; op1val:0x7ff8000000000001; valaddr_reg:x3; +val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x27, f27, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rd==x26,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f26; dest:x26; op1val:0xfff8000000000001; valaddr_reg:x3; +val_offset:5*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x26, f26, 0, 0, x3, 5*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rd==x25,fs1 == 0 and fe1 == 0x7ff and fm1 == 0xc000000000001 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f25; dest:x25; op1val:0x7ffc000000000001; valaddr_reg:x3; +val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x25, f25, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rd==x24,fs1 == 1 and fe1 == 0x7ff and fm1 == 0xc000000000001 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f24; dest:x24; op1val:0xfffc000000000001; valaddr_reg:x3; +val_offset:7*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x24, f24, 0, 0, x3, 7*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rd==x23, +/* opcode: fmvh.x.d ; op1:f23; dest:x23; op1val:0x0; valaddr_reg:x3; +val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x23, f23, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rd==x22, +/* opcode: fmvh.x.d ; op1:f22; dest:x22; op1val:0x0; valaddr_reg:x3; +val_offset:9*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x22, f22, 0, 0, x3, 9*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rd==x21, +/* opcode: fmvh.x.d ; op1:f21; dest:x21; op1val:0x0; valaddr_reg:x3; +val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x21, f21, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rd==x20, +/* opcode: fmvh.x.d ; op1:f20; dest:x20; op1val:0x0; valaddr_reg:x3; +val_offset:11*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x20, f20, 0, 0, x3, 11*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rd==x19, +/* opcode: fmvh.x.d ; op1:f19; dest:x19; op1val:0x0; valaddr_reg:x3; +val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x19, f19, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rd==x18, +/* opcode: fmvh.x.d ; op1:f18; dest:x18; op1val:0x0; valaddr_reg:x3; +val_offset:13*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x18, f18, 0, 0, x3, 13*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rd==x17, +/* opcode: fmvh.x.d ; op1:f17; dest:x17; op1val:0x0; valaddr_reg:x3; +val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x17, f17, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rd==x16, +/* opcode: fmvh.x.d ; op1:f16; dest:x16; op1val:0x0; valaddr_reg:x3; +val_offset:15*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x16, f16, 0, 0, x3, 15*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rd==x15, +/* opcode: fmvh.x.d ; op1:f15; dest:x15; op1val:0x0; valaddr_reg:x3; +val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x15, f15, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rd==x14, +/* opcode: fmvh.x.d ; op1:f14; dest:x14; op1val:0x0; valaddr_reg:x3; +val_offset:17*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x14, f14, 0, 0, x3, 17*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rd==x13, +/* opcode: fmvh.x.d ; op1:f13; dest:x13; op1val:0x0; valaddr_reg:x3; +val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x13, f13, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rd==x12, +/* opcode: fmvh.x.d ; op1:f12; dest:x12; op1val:0x0; valaddr_reg:x3; +val_offset:19*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x12, f12, 0, 0, x3, 19*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rd==x11, +/* opcode: fmvh.x.d ; op1:f11; dest:x11; op1val:0x0; valaddr_reg:x3; +val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x11, f11, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rd==x10, +/* opcode: fmvh.x.d ; op1:f10; dest:x10; op1val:0x0; valaddr_reg:x3; +val_offset:21*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x10, f10, 0, 0, x3, 21*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rd==x9, +/* opcode: fmvh.x.d ; op1:f9; dest:x9; op1val:0x0; valaddr_reg:x3; +val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x9, f9, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_23:// rs1==f8, rd==x8, +/* opcode: fmvh.x.d ; op1:f8; dest:x8; op1val:0x0; valaddr_reg:x3; +val_offset:23*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x8, f8, 0, 0, x3, 23*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x8,test_dataset_1) + +inst_24:// rs1==f7, rd==x7, +/* opcode: fmvh.x.d ; op1:f7; dest:x7; op1val:0x0; valaddr_reg:x8; +val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x7, f7, 0, 0, x8, 0*FLEN/8, x9, x1, x2) + +inst_25:// rs1==f6, rd==x6, +/* opcode: fmvh.x.d ; op1:f6; dest:x6; op1val:0x0; valaddr_reg:x8; +val_offset:1*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x6, f6, 0, 0, x8, 1*FLEN/8, x9, x1, x2) + +inst_26:// rs1==f5, rd==x5, +/* opcode: fmvh.x.d ; op1:f5; dest:x5; op1val:0x0; valaddr_reg:x8; +val_offset:2*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x5, f5, 0, 0, x8, 2*FLEN/8, x9, x1, x6) +RVTEST_SIGBASE(x5,signature_x5_0) + +inst_27:// rs1==f4, rd==x4, +/* opcode: fmvh.x.d ; op1:f4; dest:x4; op1val:0x0; valaddr_reg:x8; +val_offset:3*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x4, f4, 0, 0, x8, 3*FLEN/8, x9, x5, x6) + +inst_28:// rs1==f3, rd==x3, +/* opcode: fmvh.x.d ; op1:f3; dest:x3; op1val:0x0; valaddr_reg:x8; +val_offset:4*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x3, f3, 0, 0, x8, 4*FLEN/8, x9, x5, x6) + +inst_29:// rs1==f2, rd==x2, +/* opcode: fmvh.x.d ; op1:f2; dest:x2; op1val:0x0; valaddr_reg:x8; +val_offset:5*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x2, f2, 0, 0, x8, 5*FLEN/8, x9, x5, x6) + +inst_30:// rs1==f1, rd==x1, +/* opcode: fmvh.x.d ; op1:f1; dest:x1; op1val:0x0; valaddr_reg:x8; +val_offset:6*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x1, f1, 0, 0, x8, 6*FLEN/8, x9, x5, x6) + +inst_31:// rs1==f0, rd==x0, +/* opcode: fmvh.x.d ; op1:f0; dest:x0; op1val:0x0; valaddr_reg:x8; +val_offset:7*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x0, f0, 0, 0, x8, 7*FLEN/8, x9, x5, x6) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9220181987118721706,64,FLEN) +NAN_BOXED(18443554023973497514,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9222246136947933185,64,FLEN) +NAN_BOXED(18445618173802708993,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +test_dataset_1: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 54*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x5_0: + .fill 10*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b28-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b28-01.S new file mode 100644 index 000000000..a75060946 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b28-01.S @@ -0,0 +1,320 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:45 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fmvh.x.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fmvh.x.d instruction of the RISC-V RV32FD_Zicsr_Zfa extension for the fmvh.x.d_b28 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*RV32.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fmvh.x.d_b28) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1==f31, rd==x31,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f31; dest:x31; op1val:0x0; valaddr_reg:x3; +val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x31, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1==f30, rd==x30,fs1 == 0 and fe1 == 0x3fe and fm1 == 0x248ee18215dfa and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f30; dest:x30; op1val:0x3fe248ee18215dfa; valaddr_reg:x3; +val_offset:1*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x30, f30, 0, 0, x3, 1*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f29, rd==x29,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f29; dest:x29; op1val:0x3ff0000000000000; valaddr_reg:x3; +val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rd==x28,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x4000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f28; dest:x28; op1val:0x3ff4000000000000; valaddr_reg:x3; +val_offset:3*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x28, f28, 0, 0, x3, 3*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rd==x27,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x8000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f27; dest:x27; op1val:0x3ff8000000000000; valaddr_reg:x3; +val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x27, f27, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rd==x26,fs1 == 0 and fe1 == 0x3ff and fm1 == 0xc000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f26; dest:x26; op1val:0x3ffc000000000000; valaddr_reg:x3; +val_offset:5*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x26, f26, 0, 0, x3, 5*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rd==x25,fs1 == 0 and fe1 == 0x400 and fm1 == 0x0000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f25; dest:x25; op1val:0x4000000000000000; valaddr_reg:x3; +val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x25, f25, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rd==x24,fs1 == 0 and fe1 == 0x400 and fm1 == 0x2000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f24; dest:x24; op1val:0x4002000000000000; valaddr_reg:x3; +val_offset:7*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x24, f24, 0, 0, x3, 7*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rd==x23,fs1 == 0 and fe1 == 0x400 and fm1 == 0x4000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f23; dest:x23; op1val:0x4004000000000000; valaddr_reg:x3; +val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x23, f23, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rd==x22,fs1 == 0 and fe1 == 0x400 and fm1 == 0x6000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f22; dest:x22; op1val:0x4006000000000000; valaddr_reg:x3; +val_offset:9*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x22, f22, 0, 0, x3, 9*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rd==x21,fs1 == 0 and fe1 == 0x43c and fm1 == 0xb72eb13dc494a and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f21; dest:x21; op1val:0x43cb72eb13dc494a; valaddr_reg:x3; +val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x21, f21, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rd==x20,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f20; dest:x20; op1val:0x43e0000000000000; valaddr_reg:x3; +val_offset:11*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x20, f20, 0, 0, x3, 11*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rd==x19,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f19; dest:x19; op1val:0x7ff0000000000000; valaddr_reg:x3; +val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x19, f19, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rd==x18,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f18; dest:x18; op1val:0x7ff0000000000001; valaddr_reg:x3; +val_offset:13*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x18, f18, 0, 0, x3, 13*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rd==x17,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f17; dest:x17; op1val:0x7ff8000000000001; valaddr_reg:x3; +val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x17, f17, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rd==x16,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f16; dest:x16; op1val:0x8000000000000000; valaddr_reg:x3; +val_offset:15*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x16, f16, 0, 0, x3, 15*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rd==x15,fs1 == 1 and fe1 == 0x3fd and fm1 == 0xb008d57e19f88 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f15; dest:x15; op1val:0xbfdb008d57e19f88; valaddr_reg:x3; +val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x15, f15, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rd==x14,fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f14; dest:x14; op1val:0xbf80000000000000; valaddr_reg:x3; +val_offset:17*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x14, f14, 0, 0, x3, 17*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rd==x13,fs1 == 1 and fe1 == 0x400 and fm1 == 0x6000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f13; dest:x13; op1val:0xc006000000000000; valaddr_reg:x3; +val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x13, f13, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rd==x12,fs1 == 1 and fe1 == 0x400 and fm1 == 0x4000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f12; dest:x12; op1val:0xc004000000000000; valaddr_reg:x3; +val_offset:19*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x12, f12, 0, 0, x3, 19*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rd==x11,fs1 == 1 and fe1 == 0x400 and fm1 == 0x2000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f11; dest:x11; op1val:0xc002000000000000; valaddr_reg:x3; +val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x11, f11, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rd==x10,fs1 == 1 and fe1 == 0x400 and fm1 == 0x0000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f10; dest:x10; op1val:0xc000000000000000; valaddr_reg:x3; +val_offset:21*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x10, f10, 0, 0, x3, 21*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rd==x9,fs1 == 1 and fe1 == 0x3ff and fm1 == 0xc000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f9; dest:x9; op1val:0xbffc000000000000; valaddr_reg:x3; +val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x9, f9, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_23:// rs1==f8, rd==x8,fs1 == 1 and fe1 == 0x3ff and fm1 == 0x8000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f8; dest:x8; op1val:0xbff8000000000000; valaddr_reg:x3; +val_offset:23*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x8, f8, 0, 0, x3, 23*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x8,test_dataset_1) + +inst_24:// rs1==f7, rd==x7,fs1 == 1 and fe1 == 0x3ff and fm1 == 0x4000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f7; dest:x7; op1val:0xbff4000000000000; valaddr_reg:x8; +val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x7, f7, 0, 0, x8, 0*FLEN/8, x9, x1, x2) + +inst_25:// rs1==f6, rd==x6,fs1 == 1 and fe1 == 0x43d and fm1 == 0x967a4ae26514c and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f6; dest:x6; op1val:0xc3d967a4ae26514c; valaddr_reg:x8; +val_offset:1*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x6, f6, 0, 0, x8, 1*FLEN/8, x9, x1, x2) + +inst_26:// rs1==f5, rd==x5,fs1 == 1 and fe1 == 0x43e and fm1 == 0x0000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f5; dest:x5; op1val:0xc3e0000000000000; valaddr_reg:x8; +val_offset:2*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x5, f5, 0, 0, x8, 2*FLEN/8, x9, x1, x6) +RVTEST_SIGBASE(x5,signature_x5_0) + +inst_27:// rs1==f4, rd==x4,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f4; dest:x4; op1val:0xfff0000000000000; valaddr_reg:x8; +val_offset:3*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x4, f4, 0, 0, x8, 3*FLEN/8, x9, x5, x6) + +inst_28:// rs1==f3, rd==x3, +/* opcode: fmvh.x.d ; op1:f3; dest:x3; op1val:0x0; valaddr_reg:x8; +val_offset:4*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x3, f3, 0, 0, x8, 4*FLEN/8, x9, x5, x6) + +inst_29:// rs1==f2, rd==x2, +/* opcode: fmvh.x.d ; op1:f2; dest:x2; op1val:0x0; valaddr_reg:x8; +val_offset:5*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x2, f2, 0, 0, x8, 5*FLEN/8, x9, x5, x6) + +inst_30:// rs1==f1, rd==x1, +/* opcode: fmvh.x.d ; op1:f1; dest:x1; op1val:0x0; valaddr_reg:x8; +val_offset:6*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x1, f1, 0, 0, x8, 6*FLEN/8, x9, x5, x6) + +inst_31:// rs1==f0, rd==x0, +/* opcode: fmvh.x.d ; op1:f0; dest:x0; op1val:0x0; valaddr_reg:x8; +val_offset:7*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x0, f0, 0, 0, x8, 7*FLEN/8, x9, x5, x6) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4603321956570324474,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4608308318706860032,64,FLEN) +NAN_BOXED(4609434218613702656,64,FLEN) +NAN_BOXED(4610560118520545280,64,FLEN) +NAN_BOXED(4611686018427387904,64,FLEN) +NAN_BOXED(4612248968380809216,64,FLEN) +NAN_BOXED(4612811918334230528,64,FLEN) +NAN_BOXED(4613374868287651840,64,FLEN) +NAN_BOXED(4885124574789519690,64,FLEN) +NAN_BOXED(4890909195324358656,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(13824644088208662408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(13836746905142427648,64,FLEN) +NAN_BOXED(13836183955189006336,64,FLEN) +NAN_BOXED(13835621005235585024,64,FLEN) +NAN_BOXED(13835058055282163712,64,FLEN) +NAN_BOXED(13833932155375321088,64,FLEN) +NAN_BOXED(13832806255468478464,64,FLEN) +test_dataset_1: +NAN_BOXED(13831680355561635840,64,FLEN) +NAN_BOXED(14112424864336204108,64,FLEN) +NAN_BOXED(14114281232179134464,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 54*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x5_0: + .fill 10*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b29-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b29-01.S new file mode 100644 index 000000000..ee9fc2a71 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fmvh.x.d_b29-01.S @@ -0,0 +1,320 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:45 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fmvh.x.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fmvh.x.d instruction of the RISC-V RV32FD_Zicsr_Zfa extension for the fmvh.x.d_b29 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*RV32.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fmvh.x.d_b29) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1==f31, rd==x31,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b8698 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f31; dest:x31; op1val:0x3fc08574923b8698; valaddr_reg:x3; +val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x31, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1==f30, rd==x30,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b8699 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f30; dest:x30; op1val:0x3fc08574923b8699; valaddr_reg:x3; +val_offset:1*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x30, f30, 0, 0, x3, 1*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f29, rd==x29,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869a and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f29; dest:x29; op1val:0x3fc08574923b869a; valaddr_reg:x3; +val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rd==x28,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869b and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f28; dest:x28; op1val:0x3fc08574923b869b; valaddr_reg:x3; +val_offset:3*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x28, f28, 0, 0, x3, 3*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rd==x27,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869c and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f27; dest:x27; op1val:0x3fc08574923b869c; valaddr_reg:x3; +val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x27, f27, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rd==x26,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869d and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f26; dest:x26; op1val:0x3fc08574923b869d; valaddr_reg:x3; +val_offset:5*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x26, f26, 0, 0, x3, 5*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rd==x25,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f25; dest:x25; op1val:0x3fc08574923b869e; valaddr_reg:x3; +val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x25, f25, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rd==x24,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869f and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f24; dest:x24; op1val:0x3fc08574923b869f; valaddr_reg:x3; +val_offset:7*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x24, f24, 0, 0, x3, 7*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rd==x23,fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b8698 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f23; dest:x23; op1val:0xbfc08574923b8698; valaddr_reg:x3; +val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x23, f23, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rd==x22,fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b8699 and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f22; dest:x22; op1val:0xbfc08574923b8699; valaddr_reg:x3; +val_offset:9*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x22, f22, 0, 0, x3, 9*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rd==x21,fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869a and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f21; dest:x21; op1val:0xbfc08574923b869a; valaddr_reg:x3; +val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x21, f21, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rd==x20,fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869b and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f20; dest:x20; op1val:0xbfc08574923b869b; valaddr_reg:x3; +val_offset:11*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x20, f20, 0, 0, x3, 11*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rd==x19,fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869c and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f19; dest:x19; op1val:0xbfc08574923b869c; valaddr_reg:x3; +val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x19, f19, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rd==x18,fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869d and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f18; dest:x18; op1val:0xbfc08574923b869d; valaddr_reg:x3; +val_offset:13*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x18, f18, 0, 0, x3, 13*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rd==x17,fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f17; dest:x17; op1val:0xbfc08574923b869e; valaddr_reg:x3; +val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x17, f17, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rd==x16,fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869f and fcsr == 0 +/* opcode: fmvh.x.d ; op1:f16; dest:x16; op1val:0xbfc08574923b869f; valaddr_reg:x3; +val_offset:15*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x16, f16, 0, 0, x3, 15*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rd==x15, +/* opcode: fmvh.x.d ; op1:f15; dest:x15; op1val:0x0; valaddr_reg:x3; +val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x15, f15, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rd==x14, +/* opcode: fmvh.x.d ; op1:f14; dest:x14; op1val:0x0; valaddr_reg:x3; +val_offset:17*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x14, f14, 0, 0, x3, 17*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rd==x13, +/* opcode: fmvh.x.d ; op1:f13; dest:x13; op1val:0x0; valaddr_reg:x3; +val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x13, f13, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rd==x12, +/* opcode: fmvh.x.d ; op1:f12; dest:x12; op1val:0x0; valaddr_reg:x3; +val_offset:19*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x12, f12, 0, 0, x3, 19*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rd==x11, +/* opcode: fmvh.x.d ; op1:f11; dest:x11; op1val:0x0; valaddr_reg:x3; +val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x11, f11, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rd==x10, +/* opcode: fmvh.x.d ; op1:f10; dest:x10; op1val:0x0; valaddr_reg:x3; +val_offset:21*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x10, f10, 0, 0, x3, 21*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rd==x9, +/* opcode: fmvh.x.d ; op1:f9; dest:x9; op1val:0x0; valaddr_reg:x3; +val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x9, f9, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_23:// rs1==f8, rd==x8, +/* opcode: fmvh.x.d ; op1:f8; dest:x8; op1val:0x0; valaddr_reg:x3; +val_offset:23*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x8, f8, 0, 0, x3, 23*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x8,test_dataset_1) + +inst_24:// rs1==f7, rd==x7, +/* opcode: fmvh.x.d ; op1:f7; dest:x7; op1val:0x0; valaddr_reg:x8; +val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x7, f7, 0, 0, x8, 0*FLEN/8, x9, x1, x2) + +inst_25:// rs1==f6, rd==x6, +/* opcode: fmvh.x.d ; op1:f6; dest:x6; op1val:0x0; valaddr_reg:x8; +val_offset:1*FLEN/8; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x6, f6, 0, 0, x8, 1*FLEN/8, x9, x1, x2) + +inst_26:// rs1==f5, rd==x5, +/* opcode: fmvh.x.d ; op1:f5; dest:x5; op1val:0x0; valaddr_reg:x8; +val_offset:2*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x5, f5, 0, 0, x8, 2*FLEN/8, x9, x1, x6) +RVTEST_SIGBASE(x5,signature_x5_0) + +inst_27:// rs1==f4, rd==x4, +/* opcode: fmvh.x.d ; op1:f4; dest:x4; op1val:0x0; valaddr_reg:x8; +val_offset:3*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x4, f4, 0, 0, x8, 3*FLEN/8, x9, x5, x6) + +inst_28:// rs1==f3, rd==x3, +/* opcode: fmvh.x.d ; op1:f3; dest:x3; op1val:0x0; valaddr_reg:x8; +val_offset:4*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x3, f3, 0, 0, x8, 4*FLEN/8, x9, x5, x6) + +inst_29:// rs1==f2, rd==x2, +/* opcode: fmvh.x.d ; op1:f2; dest:x2; op1val:0x0; valaddr_reg:x8; +val_offset:5*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x2, f2, 0, 0, x8, 5*FLEN/8, x9, x5, x6) + +inst_30:// rs1==f1, rd==x1, +/* opcode: fmvh.x.d ; op1:f1; dest:x1; op1val:0x0; valaddr_reg:x8; +val_offset:6*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x1, f1, 0, 0, x8, 6*FLEN/8, x9, x5, x6) + +inst_31:// rs1==f0, rd==x0, +/* opcode: fmvh.x.d ; op1:f0; dest:x0; op1val:0x0; valaddr_reg:x8; +val_offset:7*FLEN/8; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP_NRM(fmvh.x.d, x0, f0, 0, 0, x8, 7*FLEN/8, x9, x5, x6) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(4593818355633981080,64,FLEN) +NAN_BOXED(4593818355633981081,64,FLEN) +NAN_BOXED(4593818355633981082,64,FLEN) +NAN_BOXED(4593818355633981083,64,FLEN) +NAN_BOXED(4593818355633981084,64,FLEN) +NAN_BOXED(4593818355633981085,64,FLEN) +NAN_BOXED(4593818355633981086,64,FLEN) +NAN_BOXED(4593818355633981087,64,FLEN) +NAN_BOXED(13817190392488756888,64,FLEN) +NAN_BOXED(13817190392488756889,64,FLEN) +NAN_BOXED(13817190392488756890,64,FLEN) +NAN_BOXED(13817190392488756891,64,FLEN) +NAN_BOXED(13817190392488756892,64,FLEN) +NAN_BOXED(13817190392488756893,64,FLEN) +NAN_BOXED(13817190392488756894,64,FLEN) +NAN_BOXED(13817190392488756895,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +test_dataset_1: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 54*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x5_0: + .fill 10*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fround.d_b1-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fround.d_b1-01.S new file mode 100644 index 000000000..2a2483359 --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fround.d_b1-01.S @@ -0,0 +1,353 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:38:58 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fround.d.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fround.d instruction of the RISC-V RV32FD_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fround.d_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IFD_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fround.d_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 == rd, rs1==f31, rd==f31,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f31; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f31, f31, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 != rd, rs1==f29, rd==f30,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f29; dest:f30; op1val:0x8000000000000000; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f30, f29, dyn, 0, 0, x3, 1*FLEN/8, x4, x1, x2) + +inst_2: +// rs1==f30, rd==f29,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f30; dest:f29; op1val:0x1; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f29, f30, dyn, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_3: +// rs1==f27, rd==f28,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f27; dest:f28; op1val:0x8000000000000001; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f28, f27, dyn, 0, 0, x3, 3*FLEN/8, x4, x1, x2) + +inst_4: +// rs1==f28, rd==f27,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f28; dest:f27; op1val:0x2; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f27, f28, dyn, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rd==f26,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f25; dest:f26; op1val:0x8000000000000002; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f26, f25, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f26, rd==f25,fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f26; dest:f25; op1val:0xfffffffffffff; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f25, f26, dyn, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f23, rd==f24,fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f23; dest:f24; op1val:0x800fffffffffffff; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f24, f23, dyn, 0, 0, x3, 7*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f24, rd==f23,fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f24; dest:f23; op1val:0x10000000000000; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f23, f24, dyn, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rd==f22,fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f21; dest:f22; op1val:0x8010000000000000; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f22, f21, dyn, 0, 0, x3, 9*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f22, rd==f21,fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f22; dest:f21; op1val:0x10000000000002; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f21, f22, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rd==f20,fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f19; dest:f20; op1val:0x8010000000000002; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f20, f19, dyn, 0, 0, x3, 11*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f20, rd==f19,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f20; dest:f19; op1val:0x7fefffffffffffff; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f19, f20, dyn, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f17, rd==f18,fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f17; dest:f18; op1val:0xffefffffffffffff; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f18, f17, dyn, 0, 0, x3, 13*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f18, rd==f17,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f18; dest:f17; op1val:0x7ff0000000000000; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f17, f18, dyn, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rd==f16,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f15; dest:f16; op1val:0xfff0000000000000; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f16, f15, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f16, rd==f15,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f16; dest:f15; op1val:0x7ff8000000000000; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f15, f16, dyn, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rd==f14,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f13; dest:f14; op1val:0xfff8000000000000; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f14, f13, dyn, 0, 0, x3, 17*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f14, rd==f13,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f14; dest:f13; op1val:0x7ff8000000000001; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f13, f14, dyn, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f11, rd==f12,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f11; dest:f12; op1val:0xfff8000000000001; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f12, f11, dyn, 0, 0, x3, 19*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f12, rd==f11,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f12; dest:f11; op1val:0x7ff0000000000001; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f11, f12, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rd==f10,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f9; dest:f10; op1val:0xfff0000000000001; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f10, f9, dyn, 0, 0, x3, 21*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f10, rd==f9,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f10; dest:f9; op1val:0x3ff0000000000000; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f9, f10, dyn, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rd==f8,fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f7; dest:f8; op1val:0xbf80000000000000; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f8, f7, dyn, 0, 0, x3, 23*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f8, rd==f7, +/* opcode: fround.d ; op1:f8; dest:f7; op1val:0x0; valaddr_reg:x3; +val_offset:24*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f7, f8, dyn, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f5, rd==f6, +/* opcode: fround.d ; op1:f5; dest:f6; op1val:0x0; valaddr_reg:x3; +val_offset:25*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f6, f5, dyn, 0, 0, x3, 25*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f6, rd==f5, +/* opcode: fround.d ; op1:f6; dest:f5; op1val:0x0; valaddr_reg:x3; +val_offset:26*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f5, f6, dyn, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rd==f4, +/* opcode: fround.d ; op1:f3; dest:f4; op1val:0x0; valaddr_reg:x3; +val_offset:27*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f4, f3, dyn, 0, 0, x3, 27*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f4, rd==f3, +/* opcode: fround.d ; op1:f4; dest:f3; op1val:0x0; valaddr_reg:x3; +val_offset:28*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f3, f4, dyn, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rd==f2, +/* opcode: fround.d ; op1:f1; dest:f2; op1val:0x0; valaddr_reg:x3; +val_offset:29*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f2, f1, dyn, 0, 0, x3, 29*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f2, rd==f1, +/* opcode: fround.d ; op1:f2; dest:f1; op1val:0x0; valaddr_reg:x3; +val_offset:30*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f1, f2, dyn, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f0, +/* opcode: fround.d ; op1:f0; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:31*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f31, f0, dyn, 0, 0, x3, 31*FLEN/8, x4, x1, x2) + +inst_32: +// rd==f0, +/* opcode: fround.d ; op1:f31; dest:f0; op1val:0x0; valaddr_reg:x3; +val_offset:32*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f0, f31, dyn, 0, 0, x3, 32*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 66*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/D_Zfa/src/fround_b1-01.S b/riscv-test-suite/rv32i_m/D_Zfa/src/fround_b1-01.S new file mode 100644 index 000000000..2fe2c4fba --- /dev/null +++ b/riscv-test-suite/rv32i_m/D_Zfa/src/fround_b1-01.S @@ -0,0 +1,353 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:38:52 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fround.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fround.s instruction of the RISC-V RV32F_Zicsr_Zfa,RV32FD_Zicsr_Zfa,RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fround_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr_Zfa,RV32IFD_Zicsr_Zfa,RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fround_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==f30, rd==f31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f30; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f31, f30, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rd, rs1==f29, rd==f29,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f29; dest:f29; op1val:0x80000000; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f29, f29, dyn, 0, 0, x3, 1*FLEN/8, x4, x1, x2) + +inst_2: +// rs1==f31, rd==f30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f31; dest:f30; op1val:0x1; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f30, f31, dyn, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_3: +// rs1==f27, rd==f28,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f27; dest:f28; op1val:0x80000001; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f28, f27, dyn, 0, 0, x3, 3*FLEN/8, x4, x1, x2) + +inst_4: +// rs1==f28, rd==f27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f28; dest:f27; op1val:0x2; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f27, f28, dyn, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rd==f26,fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f25; dest:f26; op1val:0x807ffffe; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f26, f25, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f26, rd==f25,fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f26; dest:f25; op1val:0x7fffff; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f25, f26, dyn, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f23, rd==f24,fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f23; dest:f24; op1val:0x807fffff; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f24, f23, dyn, 0, 0, x3, 7*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f24, rd==f23,fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f24; dest:f23; op1val:0x800000; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f23, f24, dyn, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rd==f22,fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f21; dest:f22; op1val:0x80800000; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f22, f21, dyn, 0, 0, x3, 9*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f22, rd==f21,fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f22; dest:f21; op1val:0x800001; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f21, f22, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rd==f20,fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f19; dest:f20; op1val:0x80855555; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f20, f19, dyn, 0, 0, x3, 11*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f20, rd==f19,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f20; dest:f19; op1val:0x7f7fffff; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f19, f20, dyn, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f17, rd==f18,fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f17; dest:f18; op1val:0xff7fffff; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f18, f17, dyn, 0, 0, x3, 13*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f18, rd==f17,fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f18; dest:f17; op1val:0x7f800000; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f17, f18, dyn, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rd==f16,fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f15; dest:f16; op1val:0xff800000; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f16, f15, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f16, rd==f15,fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f16; dest:f15; op1val:0x7fc00000; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f15, f16, dyn, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rd==f14,fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f13; dest:f14; op1val:0xffc00000; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f14, f13, dyn, 0, 0, x3, 17*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f14, rd==f13,fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f14; dest:f13; op1val:0x7fc00001; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f13, f14, dyn, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f11, rd==f12,fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f11; dest:f12; op1val:0xffc55555; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f12, f11, dyn, 0, 0, x3, 19*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f12, rd==f11,fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f12; dest:f11; op1val:0x7f800001; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f11, f12, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rd==f10,fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f9; dest:f10; op1val:0xffaaaaaa; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f10, f9, dyn, 0, 0, x3, 21*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f10, rd==f9,fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f10; dest:f9; op1val:0x3f800000; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f9, f10, dyn, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rd==f8,fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f7; dest:f8; op1val:0xbf800000; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f8, f7, dyn, 0, 0, x3, 23*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f8, rd==f7, +/* opcode: fround.s ; op1:f8; dest:f7; op1val:0x0; valaddr_reg:x3; +val_offset:24*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f7, f8, dyn, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f5, rd==f6, +/* opcode: fround.s ; op1:f5; dest:f6; op1val:0x0; valaddr_reg:x3; +val_offset:25*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f6, f5, dyn, 0, 0, x3, 25*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f6, rd==f5, +/* opcode: fround.s ; op1:f6; dest:f5; op1val:0x0; valaddr_reg:x3; +val_offset:26*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f5, f6, dyn, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rd==f4, +/* opcode: fround.s ; op1:f3; dest:f4; op1val:0x0; valaddr_reg:x3; +val_offset:27*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f4, f3, dyn, 0, 0, x3, 27*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f4, rd==f3, +/* opcode: fround.s ; op1:f4; dest:f3; op1val:0x0; valaddr_reg:x3; +val_offset:28*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f3, f4, dyn, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rd==f2, +/* opcode: fround.s ; op1:f1; dest:f2; op1val:0x0; valaddr_reg:x3; +val_offset:29*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f2, f1, dyn, 0, 0, x3, 29*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f2, rd==f1, +/* opcode: fround.s ; op1:f2; dest:f1; op1val:0x0; valaddr_reg:x3; +val_offset:30*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f1, f2, dyn, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f0, +/* opcode: fround.s ; op1:f0; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:31*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f31, f0, dyn, 0, 0, x3, 31*FLEN/8, x4, x1, x2) + +inst_32: +// rd==f0, +/* opcode: fround.s ; op1:f31; dest:f0; op1val:0x0; valaddr_reg:x3; +val_offset:32*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f0, f31, dyn, 0, 0, x3, 32*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 66*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/F_Zfa/src/fleq_b1-01.S b/riscv-test-suite/rv32i_m/F_Zfa/src/fleq_b1-01.S new file mode 100644 index 000000000..75d729ff6 --- /dev/null +++ b/riscv-test-suite/rv32i_m/F_Zfa/src/fleq_b1-01.S @@ -0,0 +1,4740 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:39:16 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fleq.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fleq.s instruction of the RISC-V RV32F_Zicsr_Zfa,RV32FD_Zicsr_Zfa,RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fleq_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr_Zfa,RV32IFD_Zicsr_Zfa,RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fleq_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 != rs2, rs1==f31, rs2==f30, rd==x31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x0; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 == rs2, rs1==f29, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f29; op2:f29; dest:x30; op1val:0x0; op2val:0x0; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x30, f29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f30, rs2==f31, rd==x29,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f30; op2:f31; dest:x29; op1val:0x0; op2val:0x1; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x29, f30, f31, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f28; op2:f27; dest:x28; op1val:0x0; op2val:0x80000001; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f27; op2:f28; dest:x27; op1val:0x0; op2val:0x2; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f26; op2:f25; dest:x26; op1val:0x0; op2val:0x807ffffe; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f25; op2:f26; dest:x25; op1val:0x0; op2val:0x7fffff; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f24; op2:f23; dest:x24; op1val:0x0; op2val:0x807fffff; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f23; op2:f24; dest:x23; op1val:0x0; op2val:0x800000; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f22; op2:f21; dest:x22; op1val:0x0; op2val:0x80800000; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f21; op2:f22; dest:x21; op1val:0x0; op2val:0x800001; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f20; op2:f19; dest:x20; op1val:0x0; op2val:0x80855555; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f19; op2:f20; dest:x19; op1val:0x0; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f18; op2:f17; dest:x18; op1val:0x0; op2val:0xff7fffff; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f17; op2:f18; dest:x17; op1val:0x0; op2val:0x7f800000; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f16; op2:f15; dest:x16; op1val:0x0; op2val:0xff800000; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f15; op2:f16; dest:x15; op1val:0x0; op2val:0x7fc00000; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f14; op2:f13; dest:x14; op1val:0x0; op2val:0xffc00000; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f13; op2:f14; dest:x13; op1val:0x0; op2val:0x7fc00001; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f12; op2:f11; dest:x12; op1val:0x0; op2val:0xffc55555; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f11; op2:f12; dest:x11; op1val:0x0; op2val:0x7f800001; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f10; op2:f9; dest:x10; op1val:0x0; op2val:0xffaaaaaa; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f9; op2:f10; dest:x9; op1val:0x0; op2val:0x3f800000; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f8; op2:f7; dest:x8; op1val:0x0; op2val:0xbf800000; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f7; op2:f8; dest:x7; op1val:0x80000000; op2val:0x0; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f6; op2:f5; dest:x6; op1val:0x80000000; op2val:0x80000000; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f5; op2:f6; dest:x5; op1val:0x80000000; op2val:0x1; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f4; op2:f3; dest:x4; op1val:0x80000000; op2val:0x80000001; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f3; op2:f4; dest:x3; op1val:0x80000000; op2val:0x2; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f2; op2:f1; dest:x2; op1val:0x80000000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f1; op2:f2; dest:x1; op1val:0x80000000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f0; op2:f31; dest:x31; op1val:0x80000000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f0; dest:x31; op1val:0x80000000; op2val:0x800000; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x0; op1val:0x80000000; op2val:0x80800000; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) + +inst_34:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x800001; +valaddr_reg:x9; val_offset:22*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 22*FLEN/8, x10, x6, x7) + +inst_35:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x80855555; +valaddr_reg:x9; val_offset:24*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 24*FLEN/8, x10, x6, x7) + +inst_36:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:26*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 26*FLEN/8, x10, x6, x7) + +inst_37:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:28*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 28*FLEN/8, x10, x6, x7) + +inst_38:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:30*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 30*FLEN/8, x10, x6, x7) + +inst_39:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xff800000; +valaddr_reg:x9; val_offset:32*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 32*FLEN/8, x10, x6, x7) + +inst_40:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:34*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 34*FLEN/8, x10, x6, x7) + +inst_41:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:36*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 36*FLEN/8, x10, x6, x7) + +inst_42:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:38*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 38*FLEN/8, x10, x6, x7) + +inst_43:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:40*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 40*FLEN/8, x10, x6, x7) + +inst_44:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:42*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 42*FLEN/8, x10, x6, x7) + +inst_45:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:44*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 44*FLEN/8, x10, x6, x7) + +inst_46:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:46*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 46*FLEN/8, x10, x6, x7) + +inst_47:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:48*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 48*FLEN/8, x10, x6, x7) + +inst_48:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x0; +valaddr_reg:x9; val_offset:50*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 50*FLEN/8, x10, x6, x7) + +inst_49:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x80000000; +valaddr_reg:x9; val_offset:52*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 52*FLEN/8, x10, x6, x7) + +inst_50:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x1; +valaddr_reg:x9; val_offset:54*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 54*FLEN/8, x10, x6, x7) + +inst_51:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x80000001; +valaddr_reg:x9; val_offset:56*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 56*FLEN/8, x10, x6, x7) + +inst_52:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x2; +valaddr_reg:x9; val_offset:58*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 58*FLEN/8, x10, x6, x7) + +inst_53:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:60*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 60*FLEN/8, x10, x6, x7) + +inst_54:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7fffff; +valaddr_reg:x9; val_offset:62*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 62*FLEN/8, x10, x6, x7) + +inst_55:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x807fffff; +valaddr_reg:x9; val_offset:64*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 64*FLEN/8, x10, x6, x7) + +inst_56:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x800000; +valaddr_reg:x9; val_offset:66*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 66*FLEN/8, x10, x6, x7) + +inst_57:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x80800000; +valaddr_reg:x9; val_offset:68*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 68*FLEN/8, x10, x6, x7) + +inst_58:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x800001; +valaddr_reg:x9; val_offset:70*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 70*FLEN/8, x10, x6, x7) + +inst_59:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x80855555; +valaddr_reg:x9; val_offset:72*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 72*FLEN/8, x10, x6, x7) + +inst_60:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:74*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 74*FLEN/8, x10, x6, x7) + +inst_61:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:76*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 76*FLEN/8, x10, x6, x7) + +inst_62:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7f800000; +valaddr_reg:x9; val_offset:78*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 78*FLEN/8, x10, x6, x7) + +inst_63:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xff800000; +valaddr_reg:x9; val_offset:80*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 80*FLEN/8, x10, x6, x7) + +inst_64:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:82*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 82*FLEN/8, x10, x6, x7) + +inst_65:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xffc00000; +valaddr_reg:x9; val_offset:84*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 84*FLEN/8, x10, x6, x7) + +inst_66:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:86*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 86*FLEN/8, x10, x6, x7) + +inst_67:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xffc55555; +valaddr_reg:x9; val_offset:88*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 88*FLEN/8, x10, x6, x7) + +inst_68:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7f800001; +valaddr_reg:x9; val_offset:90*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 90*FLEN/8, x10, x6, x7) + +inst_69:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:92*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 92*FLEN/8, x10, x6, x7) + +inst_70:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x3f800000; +valaddr_reg:x9; val_offset:94*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 94*FLEN/8, x10, x6, x7) + +inst_71:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xbf800000; +valaddr_reg:x9; val_offset:96*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 96*FLEN/8, x10, x6, x7) + +inst_72:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x0; +valaddr_reg:x9; val_offset:98*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 98*FLEN/8, x10, x6, x7) + +inst_73:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x80000000; +valaddr_reg:x9; val_offset:100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 100*FLEN/8, x10, x6, x7) + +inst_74:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x1; +valaddr_reg:x9; val_offset:102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 102*FLEN/8, x10, x6, x7) + +inst_75:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x80000001; +valaddr_reg:x9; val_offset:104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 104*FLEN/8, x10, x6, x7) + +inst_76:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x2; +valaddr_reg:x9; val_offset:106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 106*FLEN/8, x10, x6, x7) + +inst_77:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 108*FLEN/8, x10, x6, x7) + +inst_78:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7fffff; +valaddr_reg:x9; val_offset:110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 110*FLEN/8, x10, x6, x7) + +inst_79:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x807fffff; +valaddr_reg:x9; val_offset:112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 112*FLEN/8, x10, x6, x7) + +inst_80:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x800000; +valaddr_reg:x9; val_offset:114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 114*FLEN/8, x10, x6, x7) + +inst_81:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x80800000; +valaddr_reg:x9; val_offset:116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 116*FLEN/8, x10, x6, x7) + +inst_82:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x800001; +valaddr_reg:x9; val_offset:118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 118*FLEN/8, x10, x6, x7) + +inst_83:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x80855555; +valaddr_reg:x9; val_offset:120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 120*FLEN/8, x10, x6, x7) + +inst_84:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 122*FLEN/8, x10, x6, x7) + +inst_85:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 124*FLEN/8, x10, x6, x7) + +inst_86:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7f800000; +valaddr_reg:x9; val_offset:126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 126*FLEN/8, x10, x6, x7) + +inst_87:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xff800000; +valaddr_reg:x9; val_offset:128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 128*FLEN/8, x10, x6, x7) + +inst_88:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 130*FLEN/8, x10, x6, x7) + +inst_89:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xffc00000; +valaddr_reg:x9; val_offset:132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 132*FLEN/8, x10, x6, x7) + +inst_90:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 134*FLEN/8, x10, x6, x7) + +inst_91:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xffc55555; +valaddr_reg:x9; val_offset:136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 136*FLEN/8, x10, x6, x7) + +inst_92:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7f800001; +valaddr_reg:x9; val_offset:138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 138*FLEN/8, x10, x6, x7) + +inst_93:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 140*FLEN/8, x10, x6, x7) + +inst_94:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x3f800000; +valaddr_reg:x9; val_offset:142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 142*FLEN/8, x10, x6, x7) + +inst_95:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xbf800000; +valaddr_reg:x9; val_offset:144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 144*FLEN/8, x10, x6, x7) + +inst_96:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x0; +valaddr_reg:x9; val_offset:146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 146*FLEN/8, x10, x6, x7) + +inst_97:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x80000000; +valaddr_reg:x9; val_offset:148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 148*FLEN/8, x10, x6, x7) + +inst_98:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x1; +valaddr_reg:x9; val_offset:150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 150*FLEN/8, x10, x6, x7) + +inst_99:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x80000001; +valaddr_reg:x9; val_offset:152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 152*FLEN/8, x10, x6, x7) + +inst_100:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x2; +valaddr_reg:x9; val_offset:154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 154*FLEN/8, x10, x6, x7) + +inst_101:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 156*FLEN/8, x10, x6, x7) + +inst_102:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7fffff; +valaddr_reg:x9; val_offset:158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 158*FLEN/8, x10, x6, x7) + +inst_103:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x807fffff; +valaddr_reg:x9; val_offset:160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 160*FLEN/8, x10, x6, x7) + +inst_104:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x800000; +valaddr_reg:x9; val_offset:162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 162*FLEN/8, x10, x6, x7) + +inst_105:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x80800000; +valaddr_reg:x9; val_offset:164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 164*FLEN/8, x10, x6, x7) + +inst_106:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x800001; +valaddr_reg:x9; val_offset:166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 166*FLEN/8, x10, x6, x7) + +inst_107:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x80855555; +valaddr_reg:x9; val_offset:168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 168*FLEN/8, x10, x6, x7) + +inst_108:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 170*FLEN/8, x10, x6, x7) + +inst_109:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 172*FLEN/8, x10, x6, x7) + +inst_110:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7f800000; +valaddr_reg:x9; val_offset:174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 174*FLEN/8, x10, x6, x7) + +inst_111:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xff800000; +valaddr_reg:x9; val_offset:176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 176*FLEN/8, x10, x6, x7) + +inst_112:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 178*FLEN/8, x10, x6, x7) + +inst_113:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xffc00000; +valaddr_reg:x9; val_offset:180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 180*FLEN/8, x10, x6, x7) + +inst_114:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 182*FLEN/8, x10, x6, x7) + +inst_115:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xffc55555; +valaddr_reg:x9; val_offset:184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 184*FLEN/8, x10, x6, x7) + +inst_116:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7f800001; +valaddr_reg:x9; val_offset:186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 186*FLEN/8, x10, x6, x7) + +inst_117:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 188*FLEN/8, x10, x6, x7) + +inst_118:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x3f800000; +valaddr_reg:x9; val_offset:190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 190*FLEN/8, x10, x6, x7) + +inst_119:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xbf800000; +valaddr_reg:x9; val_offset:192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 192*FLEN/8, x10, x6, x7) + +inst_120:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x0; +valaddr_reg:x9; val_offset:194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 194*FLEN/8, x10, x6, x7) + +inst_121:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x80000000; +valaddr_reg:x9; val_offset:196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 196*FLEN/8, x10, x6, x7) + +inst_122:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x1; +valaddr_reg:x9; val_offset:198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 198*FLEN/8, x10, x6, x7) + +inst_123:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x80000001; +valaddr_reg:x9; val_offset:200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 200*FLEN/8, x10, x6, x7) + +inst_124:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x2; +valaddr_reg:x9; val_offset:202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 202*FLEN/8, x10, x6, x7) + +inst_125:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 204*FLEN/8, x10, x6, x7) + +inst_126:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7fffff; +valaddr_reg:x9; val_offset:206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 206*FLEN/8, x10, x6, x7) + +inst_127:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x807fffff; +valaddr_reg:x9; val_offset:208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 208*FLEN/8, x10, x6, x7) + +inst_128:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x800000; +valaddr_reg:x9; val_offset:210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 210*FLEN/8, x10, x6, x7) + +inst_129:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x80800000; +valaddr_reg:x9; val_offset:212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 212*FLEN/8, x10, x6, x7) + +inst_130:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x800001; +valaddr_reg:x9; val_offset:214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 214*FLEN/8, x10, x6, x7) + +inst_131:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x80855555; +valaddr_reg:x9; val_offset:216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 216*FLEN/8, x10, x6, x7) + +inst_132:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 218*FLEN/8, x10, x6, x7) + +inst_133:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 220*FLEN/8, x10, x6, x7) + +inst_134:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7f800000; +valaddr_reg:x9; val_offset:222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 222*FLEN/8, x10, x6, x7) + +inst_135:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xff800000; +valaddr_reg:x9; val_offset:224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 224*FLEN/8, x10, x6, x7) + +inst_136:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 226*FLEN/8, x10, x6, x7) + +inst_137:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xffc00000; +valaddr_reg:x9; val_offset:228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 228*FLEN/8, x10, x6, x7) + +inst_138:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 230*FLEN/8, x10, x6, x7) + +inst_139:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xffc55555; +valaddr_reg:x9; val_offset:232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 232*FLEN/8, x10, x6, x7) + +inst_140:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7f800001; +valaddr_reg:x9; val_offset:234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 234*FLEN/8, x10, x6, x7) + +inst_141:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 236*FLEN/8, x10, x6, x7) + +inst_142:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x3f800000; +valaddr_reg:x9; val_offset:238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 238*FLEN/8, x10, x6, x7) + +inst_143:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xbf800000; +valaddr_reg:x9; val_offset:240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 240*FLEN/8, x10, x6, x7) + +inst_144:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x0; +valaddr_reg:x9; val_offset:242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 242*FLEN/8, x10, x6, x7) + +inst_145:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x80000000; +valaddr_reg:x9; val_offset:244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 244*FLEN/8, x10, x6, x7) + +inst_146:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x1; +valaddr_reg:x9; val_offset:246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 246*FLEN/8, x10, x6, x7) + +inst_147:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x80000001; +valaddr_reg:x9; val_offset:248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 248*FLEN/8, x10, x6, x7) + +inst_148:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x2; +valaddr_reg:x9; val_offset:250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 250*FLEN/8, x10, x6, x7) + +inst_149:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 252*FLEN/8, x10, x6, x7) + +inst_150:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7fffff; +valaddr_reg:x9; val_offset:254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 254*FLEN/8, x10, x6, x7) + +inst_151:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x807fffff; +valaddr_reg:x9; val_offset:256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 256*FLEN/8, x10, x6, x7) + +inst_152:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x800000; +valaddr_reg:x9; val_offset:258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 258*FLEN/8, x10, x6, x7) + +inst_153:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x80800000; +valaddr_reg:x9; val_offset:260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 260*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_1) + +inst_154:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x800001; +valaddr_reg:x9; val_offset:262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 262*FLEN/8, x10, x6, x7) + +inst_155:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x80855555; +valaddr_reg:x9; val_offset:264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 264*FLEN/8, x10, x6, x7) + +inst_156:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 266*FLEN/8, x10, x6, x7) + +inst_157:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 268*FLEN/8, x10, x6, x7) + +inst_158:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7f800000; +valaddr_reg:x9; val_offset:270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 270*FLEN/8, x10, x6, x7) + +inst_159:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xff800000; +valaddr_reg:x9; val_offset:272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 272*FLEN/8, x10, x6, x7) + +inst_160:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 274*FLEN/8, x10, x6, x7) + +inst_161:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xffc00000; +valaddr_reg:x9; val_offset:276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 276*FLEN/8, x10, x6, x7) + +inst_162:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 278*FLEN/8, x10, x6, x7) + +inst_163:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xffc55555; +valaddr_reg:x9; val_offset:280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 280*FLEN/8, x10, x6, x7) + +inst_164:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7f800001; +valaddr_reg:x9; val_offset:282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 282*FLEN/8, x10, x6, x7) + +inst_165:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 284*FLEN/8, x10, x6, x7) + +inst_166:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x3f800000; +valaddr_reg:x9; val_offset:286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 286*FLEN/8, x10, x6, x7) + +inst_167:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xbf800000; +valaddr_reg:x9; val_offset:288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 288*FLEN/8, x10, x6, x7) + +inst_168:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x0; +valaddr_reg:x9; val_offset:290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 290*FLEN/8, x10, x6, x7) + +inst_169:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x80000000; +valaddr_reg:x9; val_offset:292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 292*FLEN/8, x10, x6, x7) + +inst_170:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x1; +valaddr_reg:x9; val_offset:294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 294*FLEN/8, x10, x6, x7) + +inst_171:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x80000001; +valaddr_reg:x9; val_offset:296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 296*FLEN/8, x10, x6, x7) + +inst_172:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x2; +valaddr_reg:x9; val_offset:298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 298*FLEN/8, x10, x6, x7) + +inst_173:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 300*FLEN/8, x10, x6, x7) + +inst_174:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7fffff; +valaddr_reg:x9; val_offset:302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 302*FLEN/8, x10, x6, x7) + +inst_175:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x807fffff; +valaddr_reg:x9; val_offset:304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 304*FLEN/8, x10, x6, x7) + +inst_176:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x800000; +valaddr_reg:x9; val_offset:306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 306*FLEN/8, x10, x6, x7) + +inst_177:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x80800000; +valaddr_reg:x9; val_offset:308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 308*FLEN/8, x10, x6, x7) + +inst_178:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x800001; +valaddr_reg:x9; val_offset:310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 310*FLEN/8, x10, x6, x7) + +inst_179:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x80855555; +valaddr_reg:x9; val_offset:312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 312*FLEN/8, x10, x6, x7) + +inst_180:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 314*FLEN/8, x10, x6, x7) + +inst_181:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 316*FLEN/8, x10, x6, x7) + +inst_182:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7f800000; +valaddr_reg:x9; val_offset:318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 318*FLEN/8, x10, x6, x7) + +inst_183:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xff800000; +valaddr_reg:x9; val_offset:320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 320*FLEN/8, x10, x6, x7) + +inst_184:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 322*FLEN/8, x10, x6, x7) + +inst_185:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xffc00000; +valaddr_reg:x9; val_offset:324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 324*FLEN/8, x10, x6, x7) + +inst_186:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 326*FLEN/8, x10, x6, x7) + +inst_187:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xffc55555; +valaddr_reg:x9; val_offset:328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 328*FLEN/8, x10, x6, x7) + +inst_188:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7f800001; +valaddr_reg:x9; val_offset:330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 330*FLEN/8, x10, x6, x7) + +inst_189:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 332*FLEN/8, x10, x6, x7) + +inst_190:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x3f800000; +valaddr_reg:x9; val_offset:334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 334*FLEN/8, x10, x6, x7) + +inst_191:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xbf800000; +valaddr_reg:x9; val_offset:336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 336*FLEN/8, x10, x6, x7) + +inst_192:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x0; +valaddr_reg:x9; val_offset:338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 338*FLEN/8, x10, x6, x7) + +inst_193:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 340*FLEN/8, x10, x6, x7) + +inst_194:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x1; +valaddr_reg:x9; val_offset:342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 342*FLEN/8, x10, x6, x7) + +inst_195:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 344*FLEN/8, x10, x6, x7) + +inst_196:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x2; +valaddr_reg:x9; val_offset:346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 346*FLEN/8, x10, x6, x7) + +inst_197:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 348*FLEN/8, x10, x6, x7) + +inst_198:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 350*FLEN/8, x10, x6, x7) + +inst_199:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 352*FLEN/8, x10, x6, x7) + +inst_200:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x800000; +valaddr_reg:x9; val_offset:354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 354*FLEN/8, x10, x6, x7) + +inst_201:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 356*FLEN/8, x10, x6, x7) + +inst_202:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x800001; +valaddr_reg:x9; val_offset:358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 358*FLEN/8, x10, x6, x7) + +inst_203:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 360*FLEN/8, x10, x6, x7) + +inst_204:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 362*FLEN/8, x10, x6, x7) + +inst_205:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 364*FLEN/8, x10, x6, x7) + +inst_206:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 366*FLEN/8, x10, x6, x7) + +inst_207:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 368*FLEN/8, x10, x6, x7) + +inst_208:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 370*FLEN/8, x10, x6, x7) + +inst_209:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 372*FLEN/8, x10, x6, x7) + +inst_210:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 374*FLEN/8, x10, x6, x7) + +inst_211:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 376*FLEN/8, x10, x6, x7) + +inst_212:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 378*FLEN/8, x10, x6, x7) + +inst_213:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 380*FLEN/8, x10, x6, x7) + +inst_214:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 382*FLEN/8, x10, x6, x7) + +inst_215:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 384*FLEN/8, x10, x6, x7) + +inst_216:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x0; +valaddr_reg:x9; val_offset:386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 386*FLEN/8, x10, x6, x7) + +inst_217:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 388*FLEN/8, x10, x6, x7) + +inst_218:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x1; +valaddr_reg:x9; val_offset:390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 390*FLEN/8, x10, x6, x7) + +inst_219:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 392*FLEN/8, x10, x6, x7) + +inst_220:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x2; +valaddr_reg:x9; val_offset:394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 394*FLEN/8, x10, x6, x7) + +inst_221:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 396*FLEN/8, x10, x6, x7) + +inst_222:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 398*FLEN/8, x10, x6, x7) + +inst_223:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 400*FLEN/8, x10, x6, x7) + +inst_224:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x800000; +valaddr_reg:x9; val_offset:402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 402*FLEN/8, x10, x6, x7) + +inst_225:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 404*FLEN/8, x10, x6, x7) + +inst_226:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x800001; +valaddr_reg:x9; val_offset:406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 406*FLEN/8, x10, x6, x7) + +inst_227:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 408*FLEN/8, x10, x6, x7) + +inst_228:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 410*FLEN/8, x10, x6, x7) + +inst_229:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 412*FLEN/8, x10, x6, x7) + +inst_230:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 414*FLEN/8, x10, x6, x7) + +inst_231:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 416*FLEN/8, x10, x6, x7) + +inst_232:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 418*FLEN/8, x10, x6, x7) + +inst_233:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 420*FLEN/8, x10, x6, x7) + +inst_234:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 422*FLEN/8, x10, x6, x7) + +inst_235:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 424*FLEN/8, x10, x6, x7) + +inst_236:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 426*FLEN/8, x10, x6, x7) + +inst_237:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 428*FLEN/8, x10, x6, x7) + +inst_238:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 430*FLEN/8, x10, x6, x7) + +inst_239:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 432*FLEN/8, x10, x6, x7) + +inst_240:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x0; +valaddr_reg:x9; val_offset:434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 434*FLEN/8, x10, x6, x7) + +inst_241:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x80000000; +valaddr_reg:x9; val_offset:436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 436*FLEN/8, x10, x6, x7) + +inst_242:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x1; +valaddr_reg:x9; val_offset:438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 438*FLEN/8, x10, x6, x7) + +inst_243:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x80000001; +valaddr_reg:x9; val_offset:440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 440*FLEN/8, x10, x6, x7) + +inst_244:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x2; +valaddr_reg:x9; val_offset:442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 442*FLEN/8, x10, x6, x7) + +inst_245:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 444*FLEN/8, x10, x6, x7) + +inst_246:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7fffff; +valaddr_reg:x9; val_offset:446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 446*FLEN/8, x10, x6, x7) + +inst_247:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x807fffff; +valaddr_reg:x9; val_offset:448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 448*FLEN/8, x10, x6, x7) + +inst_248:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x800000; +valaddr_reg:x9; val_offset:450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 450*FLEN/8, x10, x6, x7) + +inst_249:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x80800000; +valaddr_reg:x9; val_offset:452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 452*FLEN/8, x10, x6, x7) + +inst_250:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x800001; +valaddr_reg:x9; val_offset:454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 454*FLEN/8, x10, x6, x7) + +inst_251:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x80855555; +valaddr_reg:x9; val_offset:456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 456*FLEN/8, x10, x6, x7) + +inst_252:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 458*FLEN/8, x10, x6, x7) + +inst_253:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 460*FLEN/8, x10, x6, x7) + +inst_254:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7f800000; +valaddr_reg:x9; val_offset:462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 462*FLEN/8, x10, x6, x7) + +inst_255:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xff800000; +valaddr_reg:x9; val_offset:464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 464*FLEN/8, x10, x6, x7) + +inst_256:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 466*FLEN/8, x10, x6, x7) + +inst_257:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xffc00000; +valaddr_reg:x9; val_offset:468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 468*FLEN/8, x10, x6, x7) + +inst_258:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 470*FLEN/8, x10, x6, x7) + +inst_259:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xffc55555; +valaddr_reg:x9; val_offset:472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 472*FLEN/8, x10, x6, x7) + +inst_260:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7f800001; +valaddr_reg:x9; val_offset:474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 474*FLEN/8, x10, x6, x7) + +inst_261:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 476*FLEN/8, x10, x6, x7) + +inst_262:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x3f800000; +valaddr_reg:x9; val_offset:478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 478*FLEN/8, x10, x6, x7) + +inst_263:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xbf800000; +valaddr_reg:x9; val_offset:480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 480*FLEN/8, x10, x6, x7) + +inst_264:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x0; +valaddr_reg:x9; val_offset:482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 482*FLEN/8, x10, x6, x7) + +inst_265:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x80000000; +valaddr_reg:x9; val_offset:484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 484*FLEN/8, x10, x6, x7) + +inst_266:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x1; +valaddr_reg:x9; val_offset:486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 486*FLEN/8, x10, x6, x7) + +inst_267:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x80000001; +valaddr_reg:x9; val_offset:488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 488*FLEN/8, x10, x6, x7) + +inst_268:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x2; +valaddr_reg:x9; val_offset:490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 490*FLEN/8, x10, x6, x7) + +inst_269:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 492*FLEN/8, x10, x6, x7) + +inst_270:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7fffff; +valaddr_reg:x9; val_offset:494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 494*FLEN/8, x10, x6, x7) + +inst_271:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x807fffff; +valaddr_reg:x9; val_offset:496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 496*FLEN/8, x10, x6, x7) + +inst_272:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x800000; +valaddr_reg:x9; val_offset:498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 498*FLEN/8, x10, x6, x7) + +inst_273:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x80800000; +valaddr_reg:x9; val_offset:500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 500*FLEN/8, x10, x6, x7) + +inst_274:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x800001; +valaddr_reg:x9; val_offset:502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 502*FLEN/8, x10, x6, x7) + +inst_275:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x80855555; +valaddr_reg:x9; val_offset:504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 504*FLEN/8, x10, x6, x7) + +inst_276:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 506*FLEN/8, x10, x6, x7) + +inst_277:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 508*FLEN/8, x10, x6, x7) + +inst_278:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7f800000; +valaddr_reg:x9; val_offset:510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 510*FLEN/8, x10, x6, x7) + +inst_279:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xff800000; +valaddr_reg:x9; val_offset:512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 512*FLEN/8, x10, x6, x7) + +inst_280:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 514*FLEN/8, x10, x6, x7) + +inst_281:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xffc00000; +valaddr_reg:x9; val_offset:516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 516*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_2) + +inst_282:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 518*FLEN/8, x10, x6, x7) + +inst_283:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xffc55555; +valaddr_reg:x9; val_offset:520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 520*FLEN/8, x10, x6, x7) + +inst_284:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7f800001; +valaddr_reg:x9; val_offset:522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 522*FLEN/8, x10, x6, x7) + +inst_285:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 524*FLEN/8, x10, x6, x7) + +inst_286:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x3f800000; +valaddr_reg:x9; val_offset:526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 526*FLEN/8, x10, x6, x7) + +inst_287:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xbf800000; +valaddr_reg:x9; val_offset:528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 528*FLEN/8, x10, x6, x7) + +inst_288:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x0; +valaddr_reg:x9; val_offset:530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 530*FLEN/8, x10, x6, x7) + +inst_289:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x80000000; +valaddr_reg:x9; val_offset:532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 532*FLEN/8, x10, x6, x7) + +inst_290:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x1; +valaddr_reg:x9; val_offset:534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 534*FLEN/8, x10, x6, x7) + +inst_291:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x80000001; +valaddr_reg:x9; val_offset:536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 536*FLEN/8, x10, x6, x7) + +inst_292:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x2; +valaddr_reg:x9; val_offset:538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 538*FLEN/8, x10, x6, x7) + +inst_293:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 540*FLEN/8, x10, x6, x7) + +inst_294:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7fffff; +valaddr_reg:x9; val_offset:542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 542*FLEN/8, x10, x6, x7) + +inst_295:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x807fffff; +valaddr_reg:x9; val_offset:544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 544*FLEN/8, x10, x6, x7) + +inst_296:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x800000; +valaddr_reg:x9; val_offset:546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 546*FLEN/8, x10, x6, x7) + +inst_297:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x80800000; +valaddr_reg:x9; val_offset:548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 548*FLEN/8, x10, x6, x7) + +inst_298:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x800001; +valaddr_reg:x9; val_offset:550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 550*FLEN/8, x10, x6, x7) + +inst_299:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x80855555; +valaddr_reg:x9; val_offset:552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 552*FLEN/8, x10, x6, x7) + +inst_300:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 554*FLEN/8, x10, x6, x7) + +inst_301:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 556*FLEN/8, x10, x6, x7) + +inst_302:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7f800000; +valaddr_reg:x9; val_offset:558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 558*FLEN/8, x10, x6, x7) + +inst_303:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xff800000; +valaddr_reg:x9; val_offset:560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 560*FLEN/8, x10, x6, x7) + +inst_304:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 562*FLEN/8, x10, x6, x7) + +inst_305:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xffc00000; +valaddr_reg:x9; val_offset:564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 564*FLEN/8, x10, x6, x7) + +inst_306:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 566*FLEN/8, x10, x6, x7) + +inst_307:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xffc55555; +valaddr_reg:x9; val_offset:568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 568*FLEN/8, x10, x6, x7) + +inst_308:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7f800001; +valaddr_reg:x9; val_offset:570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 570*FLEN/8, x10, x6, x7) + +inst_309:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 572*FLEN/8, x10, x6, x7) + +inst_310:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x3f800000; +valaddr_reg:x9; val_offset:574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 574*FLEN/8, x10, x6, x7) + +inst_311:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xbf800000; +valaddr_reg:x9; val_offset:576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 576*FLEN/8, x10, x6, x7) + +inst_312:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x0; +valaddr_reg:x9; val_offset:578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 578*FLEN/8, x10, x6, x7) + +inst_313:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x80000000; +valaddr_reg:x9; val_offset:580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 580*FLEN/8, x10, x6, x7) + +inst_314:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x1; +valaddr_reg:x9; val_offset:582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 582*FLEN/8, x10, x6, x7) + +inst_315:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x80000001; +valaddr_reg:x9; val_offset:584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 584*FLEN/8, x10, x6, x7) + +inst_316:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x2; +valaddr_reg:x9; val_offset:586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 586*FLEN/8, x10, x6, x7) + +inst_317:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 588*FLEN/8, x10, x6, x7) + +inst_318:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7fffff; +valaddr_reg:x9; val_offset:590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 590*FLEN/8, x10, x6, x7) + +inst_319:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x807fffff; +valaddr_reg:x9; val_offset:592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 592*FLEN/8, x10, x6, x7) + +inst_320:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x800000; +valaddr_reg:x9; val_offset:594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 594*FLEN/8, x10, x6, x7) + +inst_321:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x80800000; +valaddr_reg:x9; val_offset:596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 596*FLEN/8, x10, x6, x7) + +inst_322:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x800001; +valaddr_reg:x9; val_offset:598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 598*FLEN/8, x10, x6, x7) + +inst_323:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x80855555; +valaddr_reg:x9; val_offset:600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 600*FLEN/8, x10, x6, x7) + +inst_324:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 602*FLEN/8, x10, x6, x7) + +inst_325:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 604*FLEN/8, x10, x6, x7) + +inst_326:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7f800000; +valaddr_reg:x9; val_offset:606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 606*FLEN/8, x10, x6, x7) + +inst_327:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xff800000; +valaddr_reg:x9; val_offset:608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 608*FLEN/8, x10, x6, x7) + +inst_328:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 610*FLEN/8, x10, x6, x7) + +inst_329:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xffc00000; +valaddr_reg:x9; val_offset:612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 612*FLEN/8, x10, x6, x7) + +inst_330:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 614*FLEN/8, x10, x6, x7) + +inst_331:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xffc55555; +valaddr_reg:x9; val_offset:616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 616*FLEN/8, x10, x6, x7) + +inst_332:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7f800001; +valaddr_reg:x9; val_offset:618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 618*FLEN/8, x10, x6, x7) + +inst_333:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 620*FLEN/8, x10, x6, x7) + +inst_334:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x3f800000; +valaddr_reg:x9; val_offset:622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 622*FLEN/8, x10, x6, x7) + +inst_335:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xbf800000; +valaddr_reg:x9; val_offset:624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 624*FLEN/8, x10, x6, x7) + +inst_336:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x0; +valaddr_reg:x9; val_offset:626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 626*FLEN/8, x10, x6, x7) + +inst_337:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 628*FLEN/8, x10, x6, x7) + +inst_338:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x1; +valaddr_reg:x9; val_offset:630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 630*FLEN/8, x10, x6, x7) + +inst_339:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 632*FLEN/8, x10, x6, x7) + +inst_340:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x2; +valaddr_reg:x9; val_offset:634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 634*FLEN/8, x10, x6, x7) + +inst_341:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 636*FLEN/8, x10, x6, x7) + +inst_342:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 638*FLEN/8, x10, x6, x7) + +inst_343:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 640*FLEN/8, x10, x6, x7) + +inst_344:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x800000; +valaddr_reg:x9; val_offset:642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 642*FLEN/8, x10, x6, x7) + +inst_345:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 644*FLEN/8, x10, x6, x7) + +inst_346:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x800001; +valaddr_reg:x9; val_offset:646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 646*FLEN/8, x10, x6, x7) + +inst_347:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 648*FLEN/8, x10, x6, x7) + +inst_348:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 650*FLEN/8, x10, x6, x7) + +inst_349:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 652*FLEN/8, x10, x6, x7) + +inst_350:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 654*FLEN/8, x10, x6, x7) + +inst_351:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 656*FLEN/8, x10, x6, x7) + +inst_352:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 658*FLEN/8, x10, x6, x7) + +inst_353:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 660*FLEN/8, x10, x6, x7) + +inst_354:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 662*FLEN/8, x10, x6, x7) + +inst_355:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 664*FLEN/8, x10, x6, x7) + +inst_356:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 666*FLEN/8, x10, x6, x7) + +inst_357:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 668*FLEN/8, x10, x6, x7) + +inst_358:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 670*FLEN/8, x10, x6, x7) + +inst_359:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 672*FLEN/8, x10, x6, x7) + +inst_360:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x0; +valaddr_reg:x9; val_offset:674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 674*FLEN/8, x10, x6, x7) + +inst_361:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 676*FLEN/8, x10, x6, x7) + +inst_362:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x1; +valaddr_reg:x9; val_offset:678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 678*FLEN/8, x10, x6, x7) + +inst_363:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 680*FLEN/8, x10, x6, x7) + +inst_364:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x2; +valaddr_reg:x9; val_offset:682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 682*FLEN/8, x10, x6, x7) + +inst_365:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 684*FLEN/8, x10, x6, x7) + +inst_366:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 686*FLEN/8, x10, x6, x7) + +inst_367:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 688*FLEN/8, x10, x6, x7) + +inst_368:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x800000; +valaddr_reg:x9; val_offset:690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 690*FLEN/8, x10, x6, x7) + +inst_369:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 692*FLEN/8, x10, x6, x7) + +inst_370:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x800001; +valaddr_reg:x9; val_offset:694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 694*FLEN/8, x10, x6, x7) + +inst_371:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 696*FLEN/8, x10, x6, x7) + +inst_372:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 698*FLEN/8, x10, x6, x7) + +inst_373:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 700*FLEN/8, x10, x6, x7) + +inst_374:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 702*FLEN/8, x10, x6, x7) + +inst_375:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 704*FLEN/8, x10, x6, x7) + +inst_376:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 706*FLEN/8, x10, x6, x7) + +inst_377:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 708*FLEN/8, x10, x6, x7) + +inst_378:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 710*FLEN/8, x10, x6, x7) + +inst_379:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 712*FLEN/8, x10, x6, x7) + +inst_380:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 714*FLEN/8, x10, x6, x7) + +inst_381:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 716*FLEN/8, x10, x6, x7) + +inst_382:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 718*FLEN/8, x10, x6, x7) + +inst_383:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 720*FLEN/8, x10, x6, x7) + +inst_384:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x0; +valaddr_reg:x9; val_offset:722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 722*FLEN/8, x10, x6, x7) + +inst_385:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x80000000; +valaddr_reg:x9; val_offset:724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 724*FLEN/8, x10, x6, x7) + +inst_386:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x1; +valaddr_reg:x9; val_offset:726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 726*FLEN/8, x10, x6, x7) + +inst_387:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x80000001; +valaddr_reg:x9; val_offset:728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 728*FLEN/8, x10, x6, x7) + +inst_388:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x2; +valaddr_reg:x9; val_offset:730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 730*FLEN/8, x10, x6, x7) + +inst_389:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 732*FLEN/8, x10, x6, x7) + +inst_390:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 734*FLEN/8, x10, x6, x7) + +inst_391:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 736*FLEN/8, x10, x6, x7) + +inst_392:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x800000; +valaddr_reg:x9; val_offset:738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 738*FLEN/8, x10, x6, x7) + +inst_393:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x80800000; +valaddr_reg:x9; val_offset:740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 740*FLEN/8, x10, x6, x7) + +inst_394:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x800001; +valaddr_reg:x9; val_offset:742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 742*FLEN/8, x10, x6, x7) + +inst_395:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x80855555; +valaddr_reg:x9; val_offset:744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 744*FLEN/8, x10, x6, x7) + +inst_396:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 746*FLEN/8, x10, x6, x7) + +inst_397:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 748*FLEN/8, x10, x6, x7) + +inst_398:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 750*FLEN/8, x10, x6, x7) + +inst_399:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xff800000; +valaddr_reg:x9; val_offset:752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 752*FLEN/8, x10, x6, x7) + +inst_400:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 754*FLEN/8, x10, x6, x7) + +inst_401:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 756*FLEN/8, x10, x6, x7) + +inst_402:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 758*FLEN/8, x10, x6, x7) + +inst_403:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 760*FLEN/8, x10, x6, x7) + +inst_404:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 762*FLEN/8, x10, x6, x7) + +inst_405:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 764*FLEN/8, x10, x6, x7) + +inst_406:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 766*FLEN/8, x10, x6, x7) + +inst_407:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 768*FLEN/8, x10, x6, x7) + +inst_408:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x0; +valaddr_reg:x9; val_offset:770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 770*FLEN/8, x10, x6, x7) + +inst_409:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x80000000; +valaddr_reg:x9; val_offset:772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 772*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_3) + +inst_410:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x1; +valaddr_reg:x9; val_offset:774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 774*FLEN/8, x10, x6, x7) + +inst_411:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x80000001; +valaddr_reg:x9; val_offset:776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 776*FLEN/8, x10, x6, x7) + +inst_412:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x2; +valaddr_reg:x9; val_offset:778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 778*FLEN/8, x10, x6, x7) + +inst_413:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 780*FLEN/8, x10, x6, x7) + +inst_414:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 782*FLEN/8, x10, x6, x7) + +inst_415:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 784*FLEN/8, x10, x6, x7) + +inst_416:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x800000; +valaddr_reg:x9; val_offset:786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 786*FLEN/8, x10, x6, x7) + +inst_417:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x80800000; +valaddr_reg:x9; val_offset:788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 788*FLEN/8, x10, x6, x7) + +inst_418:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x800001; +valaddr_reg:x9; val_offset:790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 790*FLEN/8, x10, x6, x7) + +inst_419:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x80855555; +valaddr_reg:x9; val_offset:792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 792*FLEN/8, x10, x6, x7) + +inst_420:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 794*FLEN/8, x10, x6, x7) + +inst_421:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 796*FLEN/8, x10, x6, x7) + +inst_422:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 798*FLEN/8, x10, x6, x7) + +inst_423:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xff800000; +valaddr_reg:x9; val_offset:800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 800*FLEN/8, x10, x6, x7) + +inst_424:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 802*FLEN/8, x10, x6, x7) + +inst_425:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 804*FLEN/8, x10, x6, x7) + +inst_426:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 806*FLEN/8, x10, x6, x7) + +inst_427:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 808*FLEN/8, x10, x6, x7) + +inst_428:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 810*FLEN/8, x10, x6, x7) + +inst_429:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 812*FLEN/8, x10, x6, x7) + +inst_430:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 814*FLEN/8, x10, x6, x7) + +inst_431:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 816*FLEN/8, x10, x6, x7) + +inst_432:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x0; +valaddr_reg:x9; val_offset:818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 818*FLEN/8, x10, x6, x7) + +inst_433:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x80000000; +valaddr_reg:x9; val_offset:820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 820*FLEN/8, x10, x6, x7) + +inst_434:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x1; +valaddr_reg:x9; val_offset:822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 822*FLEN/8, x10, x6, x7) + +inst_435:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x80000001; +valaddr_reg:x9; val_offset:824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 824*FLEN/8, x10, x6, x7) + +inst_436:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x2; +valaddr_reg:x9; val_offset:826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 826*FLEN/8, x10, x6, x7) + +inst_437:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 828*FLEN/8, x10, x6, x7) + +inst_438:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7fffff; +valaddr_reg:x9; val_offset:830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 830*FLEN/8, x10, x6, x7) + +inst_439:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x807fffff; +valaddr_reg:x9; val_offset:832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 832*FLEN/8, x10, x6, x7) + +inst_440:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x800000; +valaddr_reg:x9; val_offset:834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 834*FLEN/8, x10, x6, x7) + +inst_441:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x80800000; +valaddr_reg:x9; val_offset:836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 836*FLEN/8, x10, x6, x7) + +inst_442:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x800001; +valaddr_reg:x9; val_offset:838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 838*FLEN/8, x10, x6, x7) + +inst_443:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x80855555; +valaddr_reg:x9; val_offset:840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 840*FLEN/8, x10, x6, x7) + +inst_444:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 842*FLEN/8, x10, x6, x7) + +inst_445:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 844*FLEN/8, x10, x6, x7) + +inst_446:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7f800000; +valaddr_reg:x9; val_offset:846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 846*FLEN/8, x10, x6, x7) + +inst_447:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xff800000; +valaddr_reg:x9; val_offset:848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 848*FLEN/8, x10, x6, x7) + +inst_448:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 850*FLEN/8, x10, x6, x7) + +inst_449:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xffc00000; +valaddr_reg:x9; val_offset:852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 852*FLEN/8, x10, x6, x7) + +inst_450:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 854*FLEN/8, x10, x6, x7) + +inst_451:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xffc55555; +valaddr_reg:x9; val_offset:856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 856*FLEN/8, x10, x6, x7) + +inst_452:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7f800001; +valaddr_reg:x9; val_offset:858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 858*FLEN/8, x10, x6, x7) + +inst_453:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 860*FLEN/8, x10, x6, x7) + +inst_454:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x3f800000; +valaddr_reg:x9; val_offset:862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 862*FLEN/8, x10, x6, x7) + +inst_455:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xbf800000; +valaddr_reg:x9; val_offset:864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 864*FLEN/8, x10, x6, x7) + +inst_456:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x0; +valaddr_reg:x9; val_offset:866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 866*FLEN/8, x10, x6, x7) + +inst_457:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x80000000; +valaddr_reg:x9; val_offset:868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 868*FLEN/8, x10, x6, x7) + +inst_458:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x1; +valaddr_reg:x9; val_offset:870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 870*FLEN/8, x10, x6, x7) + +inst_459:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x80000001; +valaddr_reg:x9; val_offset:872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 872*FLEN/8, x10, x6, x7) + +inst_460:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x2; +valaddr_reg:x9; val_offset:874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 874*FLEN/8, x10, x6, x7) + +inst_461:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 876*FLEN/8, x10, x6, x7) + +inst_462:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7fffff; +valaddr_reg:x9; val_offset:878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 878*FLEN/8, x10, x6, x7) + +inst_463:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x807fffff; +valaddr_reg:x9; val_offset:880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 880*FLEN/8, x10, x6, x7) + +inst_464:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x800000; +valaddr_reg:x9; val_offset:882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 882*FLEN/8, x10, x6, x7) + +inst_465:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x80800000; +valaddr_reg:x9; val_offset:884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 884*FLEN/8, x10, x6, x7) + +inst_466:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x800001; +valaddr_reg:x9; val_offset:886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 886*FLEN/8, x10, x6, x7) + +inst_467:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x80855555; +valaddr_reg:x9; val_offset:888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 888*FLEN/8, x10, x6, x7) + +inst_468:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 890*FLEN/8, x10, x6, x7) + +inst_469:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 892*FLEN/8, x10, x6, x7) + +inst_470:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7f800000; +valaddr_reg:x9; val_offset:894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 894*FLEN/8, x10, x6, x7) + +inst_471:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xff800000; +valaddr_reg:x9; val_offset:896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 896*FLEN/8, x10, x6, x7) + +inst_472:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 898*FLEN/8, x10, x6, x7) + +inst_473:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xffc00000; +valaddr_reg:x9; val_offset:900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 900*FLEN/8, x10, x6, x7) + +inst_474:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 902*FLEN/8, x10, x6, x7) + +inst_475:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xffc55555; +valaddr_reg:x9; val_offset:904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 904*FLEN/8, x10, x6, x7) + +inst_476:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7f800001; +valaddr_reg:x9; val_offset:906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 906*FLEN/8, x10, x6, x7) + +inst_477:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 908*FLEN/8, x10, x6, x7) + +inst_478:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x3f800000; +valaddr_reg:x9; val_offset:910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 910*FLEN/8, x10, x6, x7) + +inst_479:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xbf800000; +valaddr_reg:x9; val_offset:912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 912*FLEN/8, x10, x6, x7) + +inst_480:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x0; +valaddr_reg:x9; val_offset:914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 914*FLEN/8, x10, x6, x7) + +inst_481:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x80000000; +valaddr_reg:x9; val_offset:916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 916*FLEN/8, x10, x6, x7) + +inst_482:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x1; +valaddr_reg:x9; val_offset:918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 918*FLEN/8, x10, x6, x7) + +inst_483:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x80000001; +valaddr_reg:x9; val_offset:920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 920*FLEN/8, x10, x6, x7) + +inst_484:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x2; +valaddr_reg:x9; val_offset:922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 922*FLEN/8, x10, x6, x7) + +inst_485:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 924*FLEN/8, x10, x6, x7) + +inst_486:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7fffff; +valaddr_reg:x9; val_offset:926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 926*FLEN/8, x10, x6, x7) + +inst_487:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x807fffff; +valaddr_reg:x9; val_offset:928*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 928*FLEN/8, x10, x6, x7) + +inst_488:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x800000; +valaddr_reg:x9; val_offset:930*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 930*FLEN/8, x10, x6, x7) + +inst_489:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x80800000; +valaddr_reg:x9; val_offset:932*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 932*FLEN/8, x10, x6, x7) + +inst_490:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x800001; +valaddr_reg:x9; val_offset:934*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 934*FLEN/8, x10, x6, x7) + +inst_491:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x80855555; +valaddr_reg:x9; val_offset:936*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 936*FLEN/8, x10, x6, x7) + +inst_492:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:938*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 938*FLEN/8, x10, x6, x7) + +inst_493:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:940*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 940*FLEN/8, x10, x6, x7) + +inst_494:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7f800000; +valaddr_reg:x9; val_offset:942*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 942*FLEN/8, x10, x6, x7) + +inst_495:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xff800000; +valaddr_reg:x9; val_offset:944*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 944*FLEN/8, x10, x6, x7) + +inst_496:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:946*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 946*FLEN/8, x10, x6, x7) + +inst_497:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xffc00000; +valaddr_reg:x9; val_offset:948*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 948*FLEN/8, x10, x6, x7) + +inst_498:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:950*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 950*FLEN/8, x10, x6, x7) + +inst_499:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xffc55555; +valaddr_reg:x9; val_offset:952*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 952*FLEN/8, x10, x6, x7) + +inst_500:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7f800001; +valaddr_reg:x9; val_offset:954*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 954*FLEN/8, x10, x6, x7) + +inst_501:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:956*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 956*FLEN/8, x10, x6, x7) + +inst_502:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x3f800000; +valaddr_reg:x9; val_offset:958*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 958*FLEN/8, x10, x6, x7) + +inst_503:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xbf800000; +valaddr_reg:x9; val_offset:960*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 960*FLEN/8, x10, x6, x7) + +inst_504:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x0; +valaddr_reg:x9; val_offset:962*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 962*FLEN/8, x10, x6, x7) + +inst_505:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x80000000; +valaddr_reg:x9; val_offset:964*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 964*FLEN/8, x10, x6, x7) + +inst_506:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x1; +valaddr_reg:x9; val_offset:966*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 966*FLEN/8, x10, x6, x7) + +inst_507:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x80000001; +valaddr_reg:x9; val_offset:968*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 968*FLEN/8, x10, x6, x7) + +inst_508:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x2; +valaddr_reg:x9; val_offset:970*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 970*FLEN/8, x10, x6, x7) + +inst_509:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:972*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 972*FLEN/8, x10, x6, x7) + +inst_510:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7fffff; +valaddr_reg:x9; val_offset:974*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 974*FLEN/8, x10, x6, x7) + +inst_511:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x807fffff; +valaddr_reg:x9; val_offset:976*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 976*FLEN/8, x10, x6, x7) + +inst_512:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x800000; +valaddr_reg:x9; val_offset:978*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 978*FLEN/8, x10, x6, x7) + +inst_513:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x80800000; +valaddr_reg:x9; val_offset:980*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 980*FLEN/8, x10, x6, x7) + +inst_514:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x800001; +valaddr_reg:x9; val_offset:982*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 982*FLEN/8, x10, x6, x7) + +inst_515:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x80855555; +valaddr_reg:x9; val_offset:984*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 984*FLEN/8, x10, x6, x7) + +inst_516:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:986*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 986*FLEN/8, x10, x6, x7) + +inst_517:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:988*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 988*FLEN/8, x10, x6, x7) + +inst_518:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7f800000; +valaddr_reg:x9; val_offset:990*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 990*FLEN/8, x10, x6, x7) + +inst_519:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xff800000; +valaddr_reg:x9; val_offset:992*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 992*FLEN/8, x10, x6, x7) + +inst_520:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:994*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 994*FLEN/8, x10, x6, x7) + +inst_521:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xffc00000; +valaddr_reg:x9; val_offset:996*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 996*FLEN/8, x10, x6, x7) + +inst_522:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:998*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 998*FLEN/8, x10, x6, x7) + +inst_523:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xffc55555; +valaddr_reg:x9; val_offset:1000*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1000*FLEN/8, x10, x6, x7) + +inst_524:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7f800001; +valaddr_reg:x9; val_offset:1002*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1002*FLEN/8, x10, x6, x7) + +inst_525:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:1004*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1004*FLEN/8, x10, x6, x7) + +inst_526:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x3f800000; +valaddr_reg:x9; val_offset:1006*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1006*FLEN/8, x10, x6, x7) + +inst_527:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xbf800000; +valaddr_reg:x9; val_offset:1008*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1008*FLEN/8, x10, x6, x7) + +inst_528:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x0; +valaddr_reg:x9; val_offset:1010*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1010*FLEN/8, x10, x6, x7) + +inst_529:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:1012*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1012*FLEN/8, x10, x6, x7) + +inst_530:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x1; +valaddr_reg:x9; val_offset:1014*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1014*FLEN/8, x10, x6, x7) + +inst_531:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:1016*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1016*FLEN/8, x10, x6, x7) + +inst_532:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x2; +valaddr_reg:x9; val_offset:1018*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1018*FLEN/8, x10, x6, x7) + +inst_533:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:1020*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1020*FLEN/8, x10, x6, x7) + +inst_534:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:1022*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1022*FLEN/8, x10, x6, x7) + +inst_535:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:1024*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1024*FLEN/8, x10, x6, x7) + +inst_536:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x800000; +valaddr_reg:x9; val_offset:1026*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1026*FLEN/8, x10, x6, x7) + +inst_537:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:1028*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1028*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_4) + +inst_538:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x800001; +valaddr_reg:x9; val_offset:1030*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1030*FLEN/8, x10, x6, x7) + +inst_539:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:1032*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1032*FLEN/8, x10, x6, x7) + +inst_540:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1034*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1034*FLEN/8, x10, x6, x7) + +inst_541:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1036*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1036*FLEN/8, x10, x6, x7) + +inst_542:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:1038*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1038*FLEN/8, x10, x6, x7) + +inst_543:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:1040*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1040*FLEN/8, x10, x6, x7) + +inst_544:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:1042*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1042*FLEN/8, x10, x6, x7) + +inst_545:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:1044*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1044*FLEN/8, x10, x6, x7) + +inst_546:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:1046*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1046*FLEN/8, x10, x6, x7) + +inst_547:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:1048*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1048*FLEN/8, x10, x6, x7) + +inst_548:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:1050*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1050*FLEN/8, x10, x6, x7) + +inst_549:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:1052*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1052*FLEN/8, x10, x6, x7) + +inst_550:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:1054*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1054*FLEN/8, x10, x6, x7) + +inst_551:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:1056*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1056*FLEN/8, x10, x6, x7) + +inst_552:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x0; +valaddr_reg:x9; val_offset:1058*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1058*FLEN/8, x10, x6, x7) + +inst_553:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:1060*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1060*FLEN/8, x10, x6, x7) + +inst_554:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x1; +valaddr_reg:x9; val_offset:1062*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1062*FLEN/8, x10, x6, x7) + +inst_555:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:1064*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1064*FLEN/8, x10, x6, x7) + +inst_556:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x2; +valaddr_reg:x9; val_offset:1066*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1066*FLEN/8, x10, x6, x7) + +inst_557:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:1068*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1068*FLEN/8, x10, x6, x7) + +inst_558:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:1070*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1070*FLEN/8, x10, x6, x7) + +inst_559:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:1072*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1072*FLEN/8, x10, x6, x7) + +inst_560:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x800000; +valaddr_reg:x9; val_offset:1074*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1074*FLEN/8, x10, x6, x7) + +inst_561:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:1076*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1076*FLEN/8, x10, x6, x7) + +inst_562:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x800001; +valaddr_reg:x9; val_offset:1078*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1078*FLEN/8, x10, x6, x7) + +inst_563:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:1080*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1080*FLEN/8, x10, x6, x7) + +inst_564:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1082*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1082*FLEN/8, x10, x6, x7) + +inst_565:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1084*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1084*FLEN/8, x10, x6, x7) + +inst_566:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:1086*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1086*FLEN/8, x10, x6, x7) + +inst_567:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:1088*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1088*FLEN/8, x10, x6, x7) + +inst_568:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:1090*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1090*FLEN/8, x10, x6, x7) + +inst_569:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:1092*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1092*FLEN/8, x10, x6, x7) + +inst_570:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:1094*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1094*FLEN/8, x10, x6, x7) + +inst_571:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:1096*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1096*FLEN/8, x10, x6, x7) + +inst_572:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:1098*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1098*FLEN/8, x10, x6, x7) + +inst_573:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:1100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1100*FLEN/8, x10, x6, x7) + +inst_574:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:1102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1102*FLEN/8, x10, x6, x7) + +inst_575:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:1104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1104*FLEN/8, x10, x6, x7) + +inst_576:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x80000000; +valaddr_reg:x9; val_offset:1106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1106*FLEN/8, x10, x6, x7) + +inst_577:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x80800000; +valaddr_reg:x9; val_offset:1108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1108*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +test_dataset_1: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_4: + .fill 80*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/F_Zfa/src/fleq_b19-01.S b/riscv-test-suite/rv32i_m/F_Zfa/src/fleq_b19-01.S new file mode 100644 index 000000000..0c21a4876 --- /dev/null +++ b/riscv-test-suite/rv32i_m/F_Zfa/src/fleq_b19-01.S @@ -0,0 +1,8712 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:39:16 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fleq.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fleq.s instruction of the RISC-V RV32F_Zicsr_Zfa,RV32FD_Zicsr_Zfa,RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fleq_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr_Zfa,RV32IFD_Zicsr_Zfa,RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fleq_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 != rs2, rs1==f31, rs2==f30, rd==x31,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x7e36c1bf; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 == rs2, rs1==f29, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f29; op2:f29; dest:x30; op1val:0x7e36c1bf; op2val:0x7e36c1bf; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x30, f29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f30, rs2==f31, rd==x29,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x4038a5 and fcsr == 0 +/* opcode: fleq.s ; op1:f30; op2:f31; dest:x29; op1val:0x7f7fffff; op2val:0x7d4038a5; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x29, f30, f31, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0xfa and fm1 == 0x4038a5 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f28; op2:f27; dest:x28; op1val:0x7d4038a5; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f27; op2:f28; dest:x27; op1val:0x7f7fffff; op2val:0x7ef046ce; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f26; op2:f25; dest:x26; op1val:0x7e36c1bf; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f25; op2:f26; dest:x25; op1val:0x7e36c1bf; op2val:0x7e472f12; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f24; op2:f23; dest:x24; op1val:0x7e472f12; op2val:0x7e36c1bf; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f23; op2:f24; dest:x23; op1val:0x7e36c1bf; op2val:0x7f2099c0; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x007b00 and fcsr == 0 +/* opcode: fleq.s ; op1:f22; op2:f21; dest:x22; op1val:0x7f7fffff; op2val:0x7d807b00; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0xfb and fm1 == 0x007b00 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f21; op2:f22; dest:x21; op1val:0x7d807b00; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f20; op2:f19; dest:x20; op1val:0x7f7fffff; op2val:0x7f2099c0; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f19; op2:f20; dest:x19; op1val:0x7e36c1bf; op2val:0x7ef3c956; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x430778 and fcsr == 0 +/* opcode: fleq.s ; op1:f18; op2:f17; dest:x18; op1val:0x7f7fffff; op2val:0x7d430778; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 0 and fe1 == 0xfa and fm1 == 0x430778 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f17; op2:f18; dest:x17; op1val:0x7d430778; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f16; op2:f15; dest:x16; op1val:0x7f7fffff; op2val:0x7ef3c956; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f15; op2:f16; dest:x15; op1val:0x7e36c1bf; op2val:0xfeaf0416; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x0c0345 and fcsr == 0 +/* opcode: fleq.s ; op1:f14; op2:f13; dest:x14; op1val:0x7f7fffff; op2val:0xfd0c0345; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 1 and fe1 == 0xfa and fm1 == 0x0c0345 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f13; op2:f14; dest:x13; op1val:0xfd0c0345; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f12; op2:f11; dest:x12; op1val:0x7f7fffff; op2val:0xfeaf0416; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f11; op2:f12; dest:x11; op1val:0x7e36c1bf; op2val:0xff336b1f; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfb and fm2 == 0x0f88e6 and fcsr == 0 +/* opcode: fleq.s ; op1:f10; op2:f9; dest:x10; op1val:0x7f7fffff; op2val:0xfd8f88e6; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 1 and fe1 == 0xfb and fm1 == 0x0f88e6 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f9; op2:f10; dest:x9; op1val:0xfd8f88e6; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f8; op2:f7; dest:x8; op1val:0x7f7fffff; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f7; op2:f8; dest:x7; op1val:0x7e36c1bf; op2val:0xff130229; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x6b36a9 and fcsr == 0 +/* opcode: fleq.s ; op1:f6; op2:f5; dest:x6; op1val:0x7f7fffff; op2val:0xfd6b36a9; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 1 and fe1 == 0xfa and fm1 == 0x6b36a9 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f5; op2:f6; dest:x5; op1val:0xfd6b36a9; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f4; op2:f3; dest:x4; op1val:0x7f7fffff; op2val:0xff130229; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f3; op2:f4; dest:x3; op1val:0x7e36c1bf; op2val:0xfec91492; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x20dd41 and fcsr == 0 +/* opcode: fleq.s ; op1:f2; op2:f1; dest:x2; op1val:0x7f7fffff; op2val:0xfd20dd41; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 1 and fe1 == 0xfa and fm1 == 0x20dd41 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f1; op2:f2; dest:x1; op1val:0xfd20dd41; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f0; op2:f31; dest:x31; op1val:0x7f7fffff; op2val:0xfec91492; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f0; dest:x31; op1val:0x7e36c1bf; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x0; op1val:0xfdcaaeb1; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) + +inst_34:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x17ad58; +valaddr_reg:x9; val_offset:22*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 22*FLEN/8, x10, x6, x7) + +inst_35:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 0 and fe2 == 0xfb and fm2 == 0x02ddf4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x7d82ddf4; +valaddr_reg:x9; val_offset:24*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 24*FLEN/8, x10, x6, x7) + +inst_36:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x02ddf4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x42216f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d82ddf4; op2val:0x42216f; +valaddr_reg:x9; val_offset:26*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 26*FLEN/8, x10, x6, x7) + +inst_37:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x17ad58; +valaddr_reg:x9; val_offset:28*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 28*FLEN/8, x10, x6, x7) + +inst_38:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x42216f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x42216f; +valaddr_reg:x9; val_offset:30*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 30*FLEN/8, x10, x6, x7) + +inst_39:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0xd7bf; +valaddr_reg:x9; val_offset:32*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 32*FLEN/8, x10, x6, x7) + +inst_40:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00a94b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x68e714 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xa94b; op2val:0x7e68e714; +valaddr_reg:x9; val_offset:34*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 34*FLEN/8, x10, x6, x7) + +inst_41:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x68e714 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00a94b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e68e714; op2val:0xa94b; +valaddr_reg:x9; val_offset:36*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 36*FLEN/8, x10, x6, x7) + +inst_42:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00a94b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xa94b; op2val:0xd7bf; +valaddr_reg:x9; val_offset:38*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 38*FLEN/8, x10, x6, x7) + +inst_43:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00a94b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0xa94b; +valaddr_reg:x9; val_offset:40*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 40*FLEN/8, x10, x6, x7) + +inst_44:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:42*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 42*FLEN/8, x10, x6, x7) + +inst_45:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 0 and fe2 == 0xfc and fm2 == 0x301931 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x7e301931; +valaddr_reg:x9; val_offset:44*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 44*FLEN/8, x10, x6, x7) + +inst_46:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x301931 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x42216f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e301931; op2val:0x42216f; +valaddr_reg:x9; val_offset:46*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 46*FLEN/8, x10, x6, x7) + +inst_47:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:48*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 48*FLEN/8, x10, x6, x7) + +inst_48:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x28e67d; +valaddr_reg:x9; val_offset:50*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 50*FLEN/8, x10, x6, x7) + +inst_49:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 0 and fe2 == 0xfb and fm2 == 0x620ff4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x7de20ff4; +valaddr_reg:x9; val_offset:52*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 52*FLEN/8, x10, x6, x7) + +inst_50:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x620ff4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x42216f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7de20ff4; op2val:0x42216f; +valaddr_reg:x9; val_offset:54*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 54*FLEN/8, x10, x6, x7) + +inst_51:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x28e67d; +valaddr_reg:x9; val_offset:56*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 56*FLEN/8, x10, x6, x7) + +inst_52:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x217bcd; +valaddr_reg:x9; val_offset:58*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 58*FLEN/8, x10, x6, x7) + +inst_53:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 0 and fe2 == 0xfb and fm2 == 0x39119c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x7db9119c; +valaddr_reg:x9; val_offset:60*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 60*FLEN/8, x10, x6, x7) + +inst_54:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x39119c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x42216f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7db9119c; op2val:0x42216f; +valaddr_reg:x9; val_offset:62*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 62*FLEN/8, x10, x6, x7) + +inst_55:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x217bcd; +valaddr_reg:x9; val_offset:64*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 64*FLEN/8, x10, x6, x7) + +inst_56:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x8019595f; +valaddr_reg:x9; val_offset:66*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 66*FLEN/8, x10, x6, x7) + +inst_57:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 1 and fe2 == 0xfb and fm2 == 0x0c1bbb and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0xfd8c1bbb; +valaddr_reg:x9; val_offset:68*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 68*FLEN/8, x10, x6, x7) + +inst_58:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x0c1bbb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x42216f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd8c1bbb; op2val:0x42216f; +valaddr_reg:x9; val_offset:70*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 70*FLEN/8, x10, x6, x7) + +inst_59:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x8019595f; +valaddr_reg:x9; val_offset:72*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 72*FLEN/8, x10, x6, x7) + +inst_60:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:74*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 74*FLEN/8, x10, x6, x7) + +inst_61:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x069cf1 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x0fbbb6 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x69cf1; op2val:0xfe8fbbb6; +valaddr_reg:x9; val_offset:76*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 76*FLEN/8, x10, x6, x7) + +inst_62:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x0fbbb6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x069cf1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe8fbbb6; op2val:0x69cf1; +valaddr_reg:x9; val_offset:78*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 78*FLEN/8, x10, x6, x7) + +inst_63:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x069cf1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x69cf1; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:80*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 80*FLEN/8, x10, x6, x7) + +inst_64:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x069cf1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x69cf1; +valaddr_reg:x9; val_offset:82*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 82*FLEN/8, x10, x6, x7) + +inst_65:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x8021e733; +valaddr_reg:x9; val_offset:84*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 84*FLEN/8, x10, x6, x7) + +inst_66:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 1 and fe2 == 0xfb and fm2 == 0x3b633c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0xfdbb633c; +valaddr_reg:x9; val_offset:86*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 86*FLEN/8, x10, x6, x7) + +inst_67:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x3b633c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x42216f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdbb633c; op2val:0x42216f; +valaddr_reg:x9; val_offset:88*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 88*FLEN/8, x10, x6, x7) + +inst_68:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x8021e733; +valaddr_reg:x9; val_offset:90*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 90*FLEN/8, x10, x6, x7) + +inst_69:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:92*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 92*FLEN/8, x10, x6, x7) + +inst_70:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 1 and fe2 == 0xfc and fm2 == 0x163ab8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0xfe163ab8; +valaddr_reg:x9; val_offset:94*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 94*FLEN/8, x10, x6, x7) + +inst_71:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x163ab8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x42216f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe163ab8; op2val:0x42216f; +valaddr_reg:x9; val_offset:96*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 96*FLEN/8, x10, x6, x7) + +inst_72:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:98*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 98*FLEN/8, x10, x6, x7) + +inst_73:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x80108f54; +valaddr_reg:x9; val_offset:100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 100*FLEN/8, x10, x6, x7) + +inst_74:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 1 and fe2 == 0xfa and fm2 == 0x370ed0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0xfd370ed0; +valaddr_reg:x9; val_offset:102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 102*FLEN/8, x10, x6, x7) + +inst_75:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x370ed0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x42216f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd370ed0; op2val:0x42216f; +valaddr_reg:x9; val_offset:104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 104*FLEN/8, x10, x6, x7) + +inst_76:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x80108f54; +valaddr_reg:x9; val_offset:106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 106*FLEN/8, x10, x6, x7) + +inst_77:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x7f0; +valaddr_reg:x9; val_offset:108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 108*FLEN/8, x10, x6, x7) + +inst_78:// fs1 == 0 and fe1 == 0x81 and fm1 == 0x425723 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x40c25723; op2val:0x7f0; +valaddr_reg:x9; val_offset:110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 110*FLEN/8, x10, x6, x7) + +inst_79:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x425723 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x40c25723; +valaddr_reg:x9; val_offset:112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 112*FLEN/8, x10, x6, x7) + +inst_80:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0x81 and fm2 == 0x425723 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x40c25723; +valaddr_reg:x9; val_offset:114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 114*FLEN/8, x10, x6, x7) + +inst_81:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 116*FLEN/8, x10, x6, x7) + +inst_82:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x4038a5 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d4038a5; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 118*FLEN/8, x10, x6, x7) + +inst_83:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0xfa and fm2 == 0x4038a5 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x7d4038a5; +valaddr_reg:x9; val_offset:120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 120*FLEN/8, x10, x6, x7) + +inst_84:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 122*FLEN/8, x10, x6, x7) + +inst_85:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 124*FLEN/8, x10, x6, x7) + +inst_86:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x4038a5 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d4038a5; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 126*FLEN/8, x10, x6, x7) + +inst_87:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 128*FLEN/8, x10, x6, x7) + +inst_88:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 130*FLEN/8, x10, x6, x7) + +inst_89:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 132*FLEN/8, x10, x6, x7) + +inst_90:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 134*FLEN/8, x10, x6, x7) + +inst_91:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 136*FLEN/8, x10, x6, x7) + +inst_92:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 138*FLEN/8, x10, x6, x7) + +inst_93:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 140*FLEN/8, x10, x6, x7) + +inst_94:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 142*FLEN/8, x10, x6, x7) + +inst_95:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0xff130229; +valaddr_reg:x9; val_offset:144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 144*FLEN/8, x10, x6, x7) + +inst_96:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 146*FLEN/8, x10, x6, x7) + +inst_97:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0xfec91492; +valaddr_reg:x9; val_offset:148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 148*FLEN/8, x10, x6, x7) + +inst_98:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 150*FLEN/8, x10, x6, x7) + +inst_99:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 152*FLEN/8, x10, x6, x7) + +inst_100:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x4038a5 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7d5a5e and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d4038a5; op2val:0xff7d5a5e; +valaddr_reg:x9; val_offset:154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 154*FLEN/8, x10, x6, x7) + +inst_101:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 0 and fe2 == 0xfa and fm2 == 0x4038a5 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0x7d4038a5; +valaddr_reg:x9; val_offset:156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 156*FLEN/8, x10, x6, x7) + +inst_102:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x4038a5 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d4038a5; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 158*FLEN/8, x10, x6, x7) + +inst_103:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x17ad58; +valaddr_reg:x9; val_offset:160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 160*FLEN/8, x10, x6, x7) + +inst_104:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x239571 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0x7f239571; +valaddr_reg:x9; val_offset:162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 162*FLEN/8, x10, x6, x7) + +inst_105:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11638a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0x11638a; +valaddr_reg:x9; val_offset:164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 164*FLEN/8, x10, x6, x7) + +inst_106:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0x17ad58; +valaddr_reg:x9; val_offset:166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 166*FLEN/8, x10, x6, x7) + +inst_107:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11638a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x11638a; +valaddr_reg:x9; val_offset:168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 168*FLEN/8, x10, x6, x7) + +inst_108:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0xd7bf; +valaddr_reg:x9; val_offset:170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 170*FLEN/8, x10, x6, x7) + +inst_109:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x002c83 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2c83; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 172*FLEN/8, x10, x6, x7) + +inst_110:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x002c83 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x2c83; +valaddr_reg:x9; val_offset:174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 174*FLEN/8, x10, x6, x7) + +inst_111:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x002c83 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2c83; op2val:0xd7bf; +valaddr_reg:x9; val_offset:176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 176*FLEN/8, x10, x6, x7) + +inst_112:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x002c83 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x2c83; +valaddr_reg:x9; val_offset:178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 178*FLEN/8, x10, x6, x7) + +inst_113:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 180*FLEN/8, x10, x6, x7) + +inst_114:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 182*FLEN/8, x10, x6, x7) + +inst_115:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11638a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x11638a; +valaddr_reg:x9; val_offset:184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 184*FLEN/8, x10, x6, x7) + +inst_116:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 186*FLEN/8, x10, x6, x7) + +inst_117:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x28e67d; +valaddr_reg:x9; val_offset:188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 188*FLEN/8, x10, x6, x7) + +inst_118:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0x28e67d; +valaddr_reg:x9; val_offset:190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 190*FLEN/8, x10, x6, x7) + +inst_119:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x217bcd; +valaddr_reg:x9; val_offset:192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 192*FLEN/8, x10, x6, x7) + +inst_120:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x675603 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0x7f675603; +valaddr_reg:x9; val_offset:194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 194*FLEN/8, x10, x6, x7) + +inst_121:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11638a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0x11638a; +valaddr_reg:x9; val_offset:196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 196*FLEN/8, x10, x6, x7) + +inst_122:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0x217bcd; +valaddr_reg:x9; val_offset:198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 198*FLEN/8, x10, x6, x7) + +inst_123:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x8019595f; +valaddr_reg:x9; val_offset:200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 200*FLEN/8, x10, x6, x7) + +inst_124:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 1 and fe2 == 0xfe and fm2 == 0x2f22aa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0xff2f22aa; +valaddr_reg:x9; val_offset:202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 202*FLEN/8, x10, x6, x7) + +inst_125:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11638a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0x11638a; +valaddr_reg:x9; val_offset:204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 204*FLEN/8, x10, x6, x7) + +inst_126:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0x8019595f; +valaddr_reg:x9; val_offset:206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 206*FLEN/8, x10, x6, x7) + +inst_127:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 208*FLEN/8, x10, x6, x7) + +inst_128:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x01bd27 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1bd27; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 210*FLEN/8, x10, x6, x7) + +inst_129:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x01bd27 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x1bd27; +valaddr_reg:x9; val_offset:212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 212*FLEN/8, x10, x6, x7) + +inst_130:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x01bd27 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1bd27; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 214*FLEN/8, x10, x6, x7) + +inst_131:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x01bd27 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x1bd27; +valaddr_reg:x9; val_offset:216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 216*FLEN/8, x10, x6, x7) + +inst_132:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x8021e733; +valaddr_reg:x9; val_offset:218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 218*FLEN/8, x10, x6, x7) + +inst_133:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6a3c0b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0xff6a3c0b; +valaddr_reg:x9; val_offset:220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 220*FLEN/8, x10, x6, x7) + +inst_134:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11638a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0x11638a; +valaddr_reg:x9; val_offset:222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 222*FLEN/8, x10, x6, x7) + +inst_135:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0x8021e733; +valaddr_reg:x9; val_offset:224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 224*FLEN/8, x10, x6, x7) + +inst_136:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 226*FLEN/8, x10, x6, x7) + +inst_137:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 228*FLEN/8, x10, x6, x7) + +inst_138:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11638a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x11638a; +valaddr_reg:x9; val_offset:230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 230*FLEN/8, x10, x6, x7) + +inst_139:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 232*FLEN/8, x10, x6, x7) + +inst_140:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x80108f54; +valaddr_reg:x9; val_offset:234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 234*FLEN/8, x10, x6, x7) + +inst_141:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64d284 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0xfee4d284; +valaddr_reg:x9; val_offset:236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 236*FLEN/8, x10, x6, x7) + +inst_142:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11638a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0x11638a; +valaddr_reg:x9; val_offset:238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 238*FLEN/8, x10, x6, x7) + +inst_143:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0x80108f54; +valaddr_reg:x9; val_offset:240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 240*FLEN/8, x10, x6, x7) + +inst_144:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x7f0; +valaddr_reg:x9; val_offset:242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 242*FLEN/8, x10, x6, x7) + +inst_145:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x4c679b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fcc679b; op2val:0x7f0; +valaddr_reg:x9; val_offset:244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 244*FLEN/8, x10, x6, x7) + +inst_146:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x4c679b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x3fcc679b; +valaddr_reg:x9; val_offset:246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 246*FLEN/8, x10, x6, x7) + +inst_147:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0x7f and fm2 == 0x4c679b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x3fcc679b; +valaddr_reg:x9; val_offset:248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 248*FLEN/8, x10, x6, x7) + +inst_148:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 250*FLEN/8, x10, x6, x7) + +inst_149:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 252*FLEN/8, x10, x6, x7) + +inst_150:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 254*FLEN/8, x10, x6, x7) + +inst_151:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 256*FLEN/8, x10, x6, x7) + +inst_152:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 258*FLEN/8, x10, x6, x7) + +inst_153:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 260*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_1) + +inst_154:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 262*FLEN/8, x10, x6, x7) + +inst_155:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0xff130229; +valaddr_reg:x9; val_offset:264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 264*FLEN/8, x10, x6, x7) + +inst_156:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0xfec91492; +valaddr_reg:x9; val_offset:266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 266*FLEN/8, x10, x6, x7) + +inst_157:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 268*FLEN/8, x10, x6, x7) + +inst_158:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 270*FLEN/8, x10, x6, x7) + +inst_159:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x17ad58; +valaddr_reg:x9; val_offset:272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 272*FLEN/8, x10, x6, x7) + +inst_160:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x02ddf4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x7d82ddf4; +valaddr_reg:x9; val_offset:274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 274*FLEN/8, x10, x6, x7) + +inst_161:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x02ddf4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x481322 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d82ddf4; op2val:0x481322; +valaddr_reg:x9; val_offset:276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 276*FLEN/8, x10, x6, x7) + +inst_162:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x17ad58; +valaddr_reg:x9; val_offset:278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 278*FLEN/8, x10, x6, x7) + +inst_163:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x481322 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x481322; +valaddr_reg:x9; val_offset:280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 280*FLEN/8, x10, x6, x7) + +inst_164:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0xd7bf; +valaddr_reg:x9; val_offset:282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 282*FLEN/8, x10, x6, x7) + +inst_165:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00b882 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x68e714 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xb882; op2val:0x7e68e714; +valaddr_reg:x9; val_offset:284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 284*FLEN/8, x10, x6, x7) + +inst_166:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x68e714 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00b882 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e68e714; op2val:0xb882; +valaddr_reg:x9; val_offset:286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 286*FLEN/8, x10, x6, x7) + +inst_167:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00b882 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xb882; op2val:0xd7bf; +valaddr_reg:x9; val_offset:288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 288*FLEN/8, x10, x6, x7) + +inst_168:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00b882 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0xb882; +valaddr_reg:x9; val_offset:290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 290*FLEN/8, x10, x6, x7) + +inst_169:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 292*FLEN/8, x10, x6, x7) + +inst_170:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x301931 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x7e301931; +valaddr_reg:x9; val_offset:294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 294*FLEN/8, x10, x6, x7) + +inst_171:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x301931 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x481322 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e301931; op2val:0x481322; +valaddr_reg:x9; val_offset:296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 296*FLEN/8, x10, x6, x7) + +inst_172:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 298*FLEN/8, x10, x6, x7) + +inst_173:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x28e67d; +valaddr_reg:x9; val_offset:300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 300*FLEN/8, x10, x6, x7) + +inst_174:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x620ff4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x7de20ff4; +valaddr_reg:x9; val_offset:302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 302*FLEN/8, x10, x6, x7) + +inst_175:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x620ff4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x481322 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7de20ff4; op2val:0x481322; +valaddr_reg:x9; val_offset:304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 304*FLEN/8, x10, x6, x7) + +inst_176:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x28e67d; +valaddr_reg:x9; val_offset:306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 306*FLEN/8, x10, x6, x7) + +inst_177:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x217bcd; +valaddr_reg:x9; val_offset:308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 308*FLEN/8, x10, x6, x7) + +inst_178:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x39119c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x7db9119c; +valaddr_reg:x9; val_offset:310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 310*FLEN/8, x10, x6, x7) + +inst_179:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x39119c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x481322 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7db9119c; op2val:0x481322; +valaddr_reg:x9; val_offset:312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 312*FLEN/8, x10, x6, x7) + +inst_180:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x217bcd; +valaddr_reg:x9; val_offset:314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 314*FLEN/8, x10, x6, x7) + +inst_181:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x8019595f; +valaddr_reg:x9; val_offset:316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 316*FLEN/8, x10, x6, x7) + +inst_182:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x0c1bbb and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0xfd8c1bbb; +valaddr_reg:x9; val_offset:318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 318*FLEN/8, x10, x6, x7) + +inst_183:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x0c1bbb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x481322 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd8c1bbb; op2val:0x481322; +valaddr_reg:x9; val_offset:320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 320*FLEN/8, x10, x6, x7) + +inst_184:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x8019595f; +valaddr_reg:x9; val_offset:322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 322*FLEN/8, x10, x6, x7) + +inst_185:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 324*FLEN/8, x10, x6, x7) + +inst_186:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x07351d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x0fbbb6 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7351d; op2val:0xfe8fbbb6; +valaddr_reg:x9; val_offset:326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 326*FLEN/8, x10, x6, x7) + +inst_187:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x0fbbb6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x07351d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe8fbbb6; op2val:0x7351d; +valaddr_reg:x9; val_offset:328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 328*FLEN/8, x10, x6, x7) + +inst_188:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x07351d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7351d; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 330*FLEN/8, x10, x6, x7) + +inst_189:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x07351d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x7351d; +valaddr_reg:x9; val_offset:332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 332*FLEN/8, x10, x6, x7) + +inst_190:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x8021e733; +valaddr_reg:x9; val_offset:334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 334*FLEN/8, x10, x6, x7) + +inst_191:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x3b633c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0xfdbb633c; +valaddr_reg:x9; val_offset:336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 336*FLEN/8, x10, x6, x7) + +inst_192:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x3b633c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x481322 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdbb633c; op2val:0x481322; +valaddr_reg:x9; val_offset:338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 338*FLEN/8, x10, x6, x7) + +inst_193:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x8021e733; +valaddr_reg:x9; val_offset:340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 340*FLEN/8, x10, x6, x7) + +inst_194:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 342*FLEN/8, x10, x6, x7) + +inst_195:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x163ab8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0xfe163ab8; +valaddr_reg:x9; val_offset:344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 344*FLEN/8, x10, x6, x7) + +inst_196:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x163ab8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x481322 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe163ab8; op2val:0x481322; +valaddr_reg:x9; val_offset:346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 346*FLEN/8, x10, x6, x7) + +inst_197:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 348*FLEN/8, x10, x6, x7) + +inst_198:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x80108f54; +valaddr_reg:x9; val_offset:350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 350*FLEN/8, x10, x6, x7) + +inst_199:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x370ed0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0xfd370ed0; +valaddr_reg:x9; val_offset:352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 352*FLEN/8, x10, x6, x7) + +inst_200:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x370ed0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x481322 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd370ed0; op2val:0x481322; +valaddr_reg:x9; val_offset:354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 354*FLEN/8, x10, x6, x7) + +inst_201:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x80108f54; +valaddr_reg:x9; val_offset:356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 356*FLEN/8, x10, x6, x7) + +inst_202:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x7f0; +valaddr_reg:x9; val_offset:358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 358*FLEN/8, x10, x6, x7) + +inst_203:// fs1 == 0 and fe1 == 0x81 and fm1 == 0x53cf02 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x40d3cf02; op2val:0x7f0; +valaddr_reg:x9; val_offset:360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 360*FLEN/8, x10, x6, x7) + +inst_204:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x53cf02 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x40d3cf02; +valaddr_reg:x9; val_offset:362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 362*FLEN/8, x10, x6, x7) + +inst_205:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x53cf02 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x40d3cf02; +valaddr_reg:x9; val_offset:364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 364*FLEN/8, x10, x6, x7) + +inst_206:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 366*FLEN/8, x10, x6, x7) + +inst_207:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x007b00 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d807b00; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 368*FLEN/8, x10, x6, x7) + +inst_208:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x007b00 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x7d807b00; +valaddr_reg:x9; val_offset:370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 370*FLEN/8, x10, x6, x7) + +inst_209:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 372*FLEN/8, x10, x6, x7) + +inst_210:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 374*FLEN/8, x10, x6, x7) + +inst_211:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x007b00 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d807b00; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 376*FLEN/8, x10, x6, x7) + +inst_212:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 378*FLEN/8, x10, x6, x7) + +inst_213:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 380*FLEN/8, x10, x6, x7) + +inst_214:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 382*FLEN/8, x10, x6, x7) + +inst_215:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 384*FLEN/8, x10, x6, x7) + +inst_216:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 386*FLEN/8, x10, x6, x7) + +inst_217:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 388*FLEN/8, x10, x6, x7) + +inst_218:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0xff130229; +valaddr_reg:x9; val_offset:390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 390*FLEN/8, x10, x6, x7) + +inst_219:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 392*FLEN/8, x10, x6, x7) + +inst_220:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0xfec91492; +valaddr_reg:x9; val_offset:394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 394*FLEN/8, x10, x6, x7) + +inst_221:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 396*FLEN/8, x10, x6, x7) + +inst_222:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 398*FLEN/8, x10, x6, x7) + +inst_223:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x007b00 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7d5a5e and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d807b00; op2val:0xff7d5a5e; +valaddr_reg:x9; val_offset:400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 400*FLEN/8, x10, x6, x7) + +inst_224:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 0 and fe2 == 0xfb and fm2 == 0x007b00 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0x7d807b00; +valaddr_reg:x9; val_offset:402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 402*FLEN/8, x10, x6, x7) + +inst_225:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x007b00 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d807b00; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 404*FLEN/8, x10, x6, x7) + +inst_226:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x17ad58; +valaddr_reg:x9; val_offset:406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 406*FLEN/8, x10, x6, x7) + +inst_227:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 0 and fe2 == 0xfe and fm2 == 0x239571 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0x7f239571; +valaddr_reg:x9; val_offset:408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 408*FLEN/8, x10, x6, x7) + +inst_228:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x173ecf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0x173ecf; +valaddr_reg:x9; val_offset:410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 410*FLEN/8, x10, x6, x7) + +inst_229:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0x17ad58; +valaddr_reg:x9; val_offset:412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 412*FLEN/8, x10, x6, x7) + +inst_230:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x173ecf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x173ecf; +valaddr_reg:x9; val_offset:414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 414*FLEN/8, x10, x6, x7) + +inst_231:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0xd7bf; +valaddr_reg:x9; val_offset:416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 416*FLEN/8, x10, x6, x7) + +inst_232:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x003b82 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3b82; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 418*FLEN/8, x10, x6, x7) + +inst_233:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x003b82 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x3b82; +valaddr_reg:x9; val_offset:420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 420*FLEN/8, x10, x6, x7) + +inst_234:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x003b82 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3b82; op2val:0xd7bf; +valaddr_reg:x9; val_offset:422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 422*FLEN/8, x10, x6, x7) + +inst_235:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x003b82 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x3b82; +valaddr_reg:x9; val_offset:424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 424*FLEN/8, x10, x6, x7) + +inst_236:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 426*FLEN/8, x10, x6, x7) + +inst_237:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 428*FLEN/8, x10, x6, x7) + +inst_238:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x173ecf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x173ecf; +valaddr_reg:x9; val_offset:430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 430*FLEN/8, x10, x6, x7) + +inst_239:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 432*FLEN/8, x10, x6, x7) + +inst_240:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x28e67d; +valaddr_reg:x9; val_offset:434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 434*FLEN/8, x10, x6, x7) + +inst_241:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0x28e67d; +valaddr_reg:x9; val_offset:436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 436*FLEN/8, x10, x6, x7) + +inst_242:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x217bcd; +valaddr_reg:x9; val_offset:438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 438*FLEN/8, x10, x6, x7) + +inst_243:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 0 and fe2 == 0xfe and fm2 == 0x675603 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0x7f675603; +valaddr_reg:x9; val_offset:440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 440*FLEN/8, x10, x6, x7) + +inst_244:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x173ecf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0x173ecf; +valaddr_reg:x9; val_offset:442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 442*FLEN/8, x10, x6, x7) + +inst_245:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0x217bcd; +valaddr_reg:x9; val_offset:444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 444*FLEN/8, x10, x6, x7) + +inst_246:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x8019595f; +valaddr_reg:x9; val_offset:446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 446*FLEN/8, x10, x6, x7) + +inst_247:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x2f22aa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0xff2f22aa; +valaddr_reg:x9; val_offset:448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 448*FLEN/8, x10, x6, x7) + +inst_248:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x173ecf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0x173ecf; +valaddr_reg:x9; val_offset:450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 450*FLEN/8, x10, x6, x7) + +inst_249:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0x8019595f; +valaddr_reg:x9; val_offset:452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 452*FLEN/8, x10, x6, x7) + +inst_250:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 454*FLEN/8, x10, x6, x7) + +inst_251:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x025314 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x25314; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 456*FLEN/8, x10, x6, x7) + +inst_252:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x025314 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x25314; +valaddr_reg:x9; val_offset:458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 458*FLEN/8, x10, x6, x7) + +inst_253:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x025314 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x25314; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 460*FLEN/8, x10, x6, x7) + +inst_254:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x025314 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x25314; +valaddr_reg:x9; val_offset:462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 462*FLEN/8, x10, x6, x7) + +inst_255:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x8021e733; +valaddr_reg:x9; val_offset:464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 464*FLEN/8, x10, x6, x7) + +inst_256:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6a3c0b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0xff6a3c0b; +valaddr_reg:x9; val_offset:466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 466*FLEN/8, x10, x6, x7) + +inst_257:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x173ecf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0x173ecf; +valaddr_reg:x9; val_offset:468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 468*FLEN/8, x10, x6, x7) + +inst_258:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0x8021e733; +valaddr_reg:x9; val_offset:470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 470*FLEN/8, x10, x6, x7) + +inst_259:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 472*FLEN/8, x10, x6, x7) + +inst_260:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 474*FLEN/8, x10, x6, x7) + +inst_261:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x173ecf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x173ecf; +valaddr_reg:x9; val_offset:476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 476*FLEN/8, x10, x6, x7) + +inst_262:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 478*FLEN/8, x10, x6, x7) + +inst_263:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x80108f54; +valaddr_reg:x9; val_offset:480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 480*FLEN/8, x10, x6, x7) + +inst_264:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64d284 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0xfee4d284; +valaddr_reg:x9; val_offset:482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 482*FLEN/8, x10, x6, x7) + +inst_265:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x173ecf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0x173ecf; +valaddr_reg:x9; val_offset:484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 484*FLEN/8, x10, x6, x7) + +inst_266:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0x80108f54; +valaddr_reg:x9; val_offset:486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 486*FLEN/8, x10, x6, x7) + +inst_267:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x7f0; +valaddr_reg:x9; val_offset:488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 488*FLEN/8, x10, x6, x7) + +inst_268:// fs1 == 0 and fe1 == 0x80 and fm1 == 0x089fb6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x40089fb6; op2val:0x7f0; +valaddr_reg:x9; val_offset:490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 490*FLEN/8, x10, x6, x7) + +inst_269:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x089fb6 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x40089fb6; +valaddr_reg:x9; val_offset:492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 492*FLEN/8, x10, x6, x7) + +inst_270:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x089fb6 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x40089fb6; +valaddr_reg:x9; val_offset:494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 494*FLEN/8, x10, x6, x7) + +inst_271:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 496*FLEN/8, x10, x6, x7) + +inst_272:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x430778 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d430778; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 498*FLEN/8, x10, x6, x7) + +inst_273:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0xfa and fm2 == 0x430778 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x7d430778; +valaddr_reg:x9; val_offset:500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 500*FLEN/8, x10, x6, x7) + +inst_274:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 502*FLEN/8, x10, x6, x7) + +inst_275:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 504*FLEN/8, x10, x6, x7) + +inst_276:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x430778 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d430778; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 506*FLEN/8, x10, x6, x7) + +inst_277:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 508*FLEN/8, x10, x6, x7) + +inst_278:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 510*FLEN/8, x10, x6, x7) + +inst_279:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 512*FLEN/8, x10, x6, x7) + +inst_280:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 514*FLEN/8, x10, x6, x7) + +inst_281:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0xff130229; +valaddr_reg:x9; val_offset:516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 516*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_2) + +inst_282:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 518*FLEN/8, x10, x6, x7) + +inst_283:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0xfec91492; +valaddr_reg:x9; val_offset:520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 520*FLEN/8, x10, x6, x7) + +inst_284:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 522*FLEN/8, x10, x6, x7) + +inst_285:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 524*FLEN/8, x10, x6, x7) + +inst_286:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x430778 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7d5a5e and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d430778; op2val:0xff7d5a5e; +valaddr_reg:x9; val_offset:526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 526*FLEN/8, x10, x6, x7) + +inst_287:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 0 and fe2 == 0xfa and fm2 == 0x430778 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0x7d430778; +valaddr_reg:x9; val_offset:528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 528*FLEN/8, x10, x6, x7) + +inst_288:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x430778 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d430778; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 530*FLEN/8, x10, x6, x7) + +inst_289:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x17ad58; +valaddr_reg:x9; val_offset:532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 532*FLEN/8, x10, x6, x7) + +inst_290:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x239571 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0x7f239571; +valaddr_reg:x9; val_offset:534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 534*FLEN/8, x10, x6, x7) + +inst_291:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11a491 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0x11a491; +valaddr_reg:x9; val_offset:536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 536*FLEN/8, x10, x6, x7) + +inst_292:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0x17ad58; +valaddr_reg:x9; val_offset:538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 538*FLEN/8, x10, x6, x7) + +inst_293:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11a491 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x11a491; +valaddr_reg:x9; val_offset:540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 540*FLEN/8, x10, x6, x7) + +inst_294:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0xd7bf; +valaddr_reg:x9; val_offset:542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 542*FLEN/8, x10, x6, x7) + +inst_295:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x002d2a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2d2a; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 544*FLEN/8, x10, x6, x7) + +inst_296:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x002d2a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x2d2a; +valaddr_reg:x9; val_offset:546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 546*FLEN/8, x10, x6, x7) + +inst_297:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x002d2a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2d2a; op2val:0xd7bf; +valaddr_reg:x9; val_offset:548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 548*FLEN/8, x10, x6, x7) + +inst_298:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x002d2a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x2d2a; +valaddr_reg:x9; val_offset:550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 550*FLEN/8, x10, x6, x7) + +inst_299:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 552*FLEN/8, x10, x6, x7) + +inst_300:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 554*FLEN/8, x10, x6, x7) + +inst_301:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11a491 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x11a491; +valaddr_reg:x9; val_offset:556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 556*FLEN/8, x10, x6, x7) + +inst_302:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 558*FLEN/8, x10, x6, x7) + +inst_303:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x28e67d; +valaddr_reg:x9; val_offset:560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 560*FLEN/8, x10, x6, x7) + +inst_304:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0x28e67d; +valaddr_reg:x9; val_offset:562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 562*FLEN/8, x10, x6, x7) + +inst_305:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x217bcd; +valaddr_reg:x9; val_offset:564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 564*FLEN/8, x10, x6, x7) + +inst_306:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x675603 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0x7f675603; +valaddr_reg:x9; val_offset:566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 566*FLEN/8, x10, x6, x7) + +inst_307:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11a491 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0x11a491; +valaddr_reg:x9; val_offset:568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 568*FLEN/8, x10, x6, x7) + +inst_308:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0x217bcd; +valaddr_reg:x9; val_offset:570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 570*FLEN/8, x10, x6, x7) + +inst_309:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x8019595f; +valaddr_reg:x9; val_offset:572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 572*FLEN/8, x10, x6, x7) + +inst_310:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x2f22aa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0xff2f22aa; +valaddr_reg:x9; val_offset:574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 574*FLEN/8, x10, x6, x7) + +inst_311:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11a491 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0x11a491; +valaddr_reg:x9; val_offset:576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 576*FLEN/8, x10, x6, x7) + +inst_312:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0x8019595f; +valaddr_reg:x9; val_offset:578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 578*FLEN/8, x10, x6, x7) + +inst_313:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 580*FLEN/8, x10, x6, x7) + +inst_314:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x01c3a8 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c3a8; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 582*FLEN/8, x10, x6, x7) + +inst_315:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x01c3a8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x1c3a8; +valaddr_reg:x9; val_offset:584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 584*FLEN/8, x10, x6, x7) + +inst_316:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x01c3a8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c3a8; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 586*FLEN/8, x10, x6, x7) + +inst_317:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x01c3a8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x1c3a8; +valaddr_reg:x9; val_offset:588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 588*FLEN/8, x10, x6, x7) + +inst_318:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x8021e733; +valaddr_reg:x9; val_offset:590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 590*FLEN/8, x10, x6, x7) + +inst_319:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6a3c0b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0xff6a3c0b; +valaddr_reg:x9; val_offset:592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 592*FLEN/8, x10, x6, x7) + +inst_320:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11a491 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0x11a491; +valaddr_reg:x9; val_offset:594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 594*FLEN/8, x10, x6, x7) + +inst_321:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0x8021e733; +valaddr_reg:x9; val_offset:596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 596*FLEN/8, x10, x6, x7) + +inst_322:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 598*FLEN/8, x10, x6, x7) + +inst_323:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 600*FLEN/8, x10, x6, x7) + +inst_324:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11a491 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x11a491; +valaddr_reg:x9; val_offset:602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 602*FLEN/8, x10, x6, x7) + +inst_325:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 604*FLEN/8, x10, x6, x7) + +inst_326:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x80108f54; +valaddr_reg:x9; val_offset:606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 606*FLEN/8, x10, x6, x7) + +inst_327:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64d284 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0xfee4d284; +valaddr_reg:x9; val_offset:608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 608*FLEN/8, x10, x6, x7) + +inst_328:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11a491 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0x11a491; +valaddr_reg:x9; val_offset:610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 610*FLEN/8, x10, x6, x7) + +inst_329:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0x80108f54; +valaddr_reg:x9; val_offset:612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 612*FLEN/8, x10, x6, x7) + +inst_330:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x7f0; +valaddr_reg:x9; val_offset:614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 614*FLEN/8, x10, x6, x7) + +inst_331:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x4f63fe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fcf63fe; op2val:0x7f0; +valaddr_reg:x9; val_offset:616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 616*FLEN/8, x10, x6, x7) + +inst_332:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x4f63fe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x3fcf63fe; +valaddr_reg:x9; val_offset:618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 618*FLEN/8, x10, x6, x7) + +inst_333:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x4f63fe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x3fcf63fe; +valaddr_reg:x9; val_offset:620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 620*FLEN/8, x10, x6, x7) + +inst_334:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 622*FLEN/8, x10, x6, x7) + +inst_335:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x0c0345 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd0c0345; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 624*FLEN/8, x10, x6, x7) + +inst_336:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x0c0345 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0xfd0c0345; +valaddr_reg:x9; val_offset:626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 626*FLEN/8, x10, x6, x7) + +inst_337:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 628*FLEN/8, x10, x6, x7) + +inst_338:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 630*FLEN/8, x10, x6, x7) + +inst_339:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x0c0345 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd0c0345; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 632*FLEN/8, x10, x6, x7) + +inst_340:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 634*FLEN/8, x10, x6, x7) + +inst_341:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 636*FLEN/8, x10, x6, x7) + +inst_342:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0xff130229; +valaddr_reg:x9; val_offset:638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 638*FLEN/8, x10, x6, x7) + +inst_343:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 640*FLEN/8, x10, x6, x7) + +inst_344:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0xfec91492; +valaddr_reg:x9; val_offset:642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 642*FLEN/8, x10, x6, x7) + +inst_345:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 644*FLEN/8, x10, x6, x7) + +inst_346:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 646*FLEN/8, x10, x6, x7) + +inst_347:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x0c0345 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7d5a5e and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd0c0345; op2val:0xff7d5a5e; +valaddr_reg:x9; val_offset:648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 648*FLEN/8, x10, x6, x7) + +inst_348:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 1 and fe2 == 0xfa and fm2 == 0x0c0345 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0xfd0c0345; +valaddr_reg:x9; val_offset:650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 650*FLEN/8, x10, x6, x7) + +inst_349:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x0c0345 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd0c0345; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 652*FLEN/8, x10, x6, x7) + +inst_350:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x17ad58; +valaddr_reg:x9; val_offset:654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 654*FLEN/8, x10, x6, x7) + +inst_351:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x239571 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0x7f239571; +valaddr_reg:x9; val_offset:656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 656*FLEN/8, x10, x6, x7) + +inst_352:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caa79 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0x800caa79; +valaddr_reg:x9; val_offset:658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 658*FLEN/8, x10, x6, x7) + +inst_353:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0x17ad58; +valaddr_reg:x9; val_offset:660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 660*FLEN/8, x10, x6, x7) + +inst_354:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caa79 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x800caa79; +valaddr_reg:x9; val_offset:662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 662*FLEN/8, x10, x6, x7) + +inst_355:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0xd7bf; +valaddr_reg:x9; val_offset:664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 664*FLEN/8, x10, x6, x7) + +inst_356:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00206c and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000206c; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 666*FLEN/8, x10, x6, x7) + +inst_357:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00206c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x8000206c; +valaddr_reg:x9; val_offset:668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 668*FLEN/8, x10, x6, x7) + +inst_358:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00206c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000206c; op2val:0xd7bf; +valaddr_reg:x9; val_offset:670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 670*FLEN/8, x10, x6, x7) + +inst_359:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00206c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x8000206c; +valaddr_reg:x9; val_offset:672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 672*FLEN/8, x10, x6, x7) + +inst_360:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 674*FLEN/8, x10, x6, x7) + +inst_361:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 676*FLEN/8, x10, x6, x7) + +inst_362:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caa79 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x800caa79; +valaddr_reg:x9; val_offset:678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 678*FLEN/8, x10, x6, x7) + +inst_363:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 680*FLEN/8, x10, x6, x7) + +inst_364:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x28e67d; +valaddr_reg:x9; val_offset:682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 682*FLEN/8, x10, x6, x7) + +inst_365:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0x28e67d; +valaddr_reg:x9; val_offset:684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 684*FLEN/8, x10, x6, x7) + +inst_366:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x217bcd; +valaddr_reg:x9; val_offset:686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 686*FLEN/8, x10, x6, x7) + +inst_367:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x675603 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0x7f675603; +valaddr_reg:x9; val_offset:688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 688*FLEN/8, x10, x6, x7) + +inst_368:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caa79 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0x800caa79; +valaddr_reg:x9; val_offset:690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 690*FLEN/8, x10, x6, x7) + +inst_369:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0x217bcd; +valaddr_reg:x9; val_offset:692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 692*FLEN/8, x10, x6, x7) + +inst_370:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x8019595f; +valaddr_reg:x9; val_offset:694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 694*FLEN/8, x10, x6, x7) + +inst_371:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x2f22aa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0xff2f22aa; +valaddr_reg:x9; val_offset:696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 696*FLEN/8, x10, x6, x7) + +inst_372:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caa79 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0x800caa79; +valaddr_reg:x9; val_offset:698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 698*FLEN/8, x10, x6, x7) + +inst_373:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0x8019595f; +valaddr_reg:x9; val_offset:700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 700*FLEN/8, x10, x6, x7) + +inst_374:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 702*FLEN/8, x10, x6, x7) + +inst_375:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x01443f and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8001443f; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 704*FLEN/8, x10, x6, x7) + +inst_376:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x01443f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x8001443f; +valaddr_reg:x9; val_offset:706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 706*FLEN/8, x10, x6, x7) + +inst_377:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x01443f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8001443f; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 708*FLEN/8, x10, x6, x7) + +inst_378:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x01443f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x8001443f; +valaddr_reg:x9; val_offset:710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 710*FLEN/8, x10, x6, x7) + +inst_379:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x8021e733; +valaddr_reg:x9; val_offset:712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 712*FLEN/8, x10, x6, x7) + +inst_380:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6a3c0b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0xff6a3c0b; +valaddr_reg:x9; val_offset:714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 714*FLEN/8, x10, x6, x7) + +inst_381:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caa79 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0x800caa79; +valaddr_reg:x9; val_offset:716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 716*FLEN/8, x10, x6, x7) + +inst_382:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0x8021e733; +valaddr_reg:x9; val_offset:718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 718*FLEN/8, x10, x6, x7) + +inst_383:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 720*FLEN/8, x10, x6, x7) + +inst_384:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 722*FLEN/8, x10, x6, x7) + +inst_385:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caa79 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x800caa79; +valaddr_reg:x9; val_offset:724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 724*FLEN/8, x10, x6, x7) + +inst_386:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 726*FLEN/8, x10, x6, x7) + +inst_387:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x80108f54; +valaddr_reg:x9; val_offset:728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 728*FLEN/8, x10, x6, x7) + +inst_388:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64d284 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0xfee4d284; +valaddr_reg:x9; val_offset:730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 730*FLEN/8, x10, x6, x7) + +inst_389:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caa79 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0x800caa79; +valaddr_reg:x9; val_offset:732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 732*FLEN/8, x10, x6, x7) + +inst_390:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0x80108f54; +valaddr_reg:x9; val_offset:734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 734*FLEN/8, x10, x6, x7) + +inst_391:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x7f0; +valaddr_reg:x9; val_offset:736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 736*FLEN/8, x10, x6, x7) + +inst_392:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x14e31a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf94e31a; op2val:0x7f0; +valaddr_reg:x9; val_offset:738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 738*FLEN/8, x10, x6, x7) + +inst_393:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x14e31a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xbf94e31a; +valaddr_reg:x9; val_offset:740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 740*FLEN/8, x10, x6, x7) + +inst_394:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x14e31a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0xbf94e31a; +valaddr_reg:x9; val_offset:742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 742*FLEN/8, x10, x6, x7) + +inst_395:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 744*FLEN/8, x10, x6, x7) + +inst_396:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x0f88e6 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd8f88e6; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 746*FLEN/8, x10, x6, x7) + +inst_397:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0xfb and fm2 == 0x0f88e6 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0xfd8f88e6; +valaddr_reg:x9; val_offset:748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 748*FLEN/8, x10, x6, x7) + +inst_398:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 750*FLEN/8, x10, x6, x7) + +inst_399:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 752*FLEN/8, x10, x6, x7) + +inst_400:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x0f88e6 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd8f88e6; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 754*FLEN/8, x10, x6, x7) + +inst_401:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0xff130229; +valaddr_reg:x9; val_offset:756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 756*FLEN/8, x10, x6, x7) + +inst_402:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 758*FLEN/8, x10, x6, x7) + +inst_403:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0xfec91492; +valaddr_reg:x9; val_offset:760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 760*FLEN/8, x10, x6, x7) + +inst_404:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 762*FLEN/8, x10, x6, x7) + +inst_405:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 764*FLEN/8, x10, x6, x7) + +inst_406:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x0f88e6 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7d5a5e and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd8f88e6; op2val:0xff7d5a5e; +valaddr_reg:x9; val_offset:766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 766*FLEN/8, x10, x6, x7) + +inst_407:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 1 and fe2 == 0xfb and fm2 == 0x0f88e6 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0xfd8f88e6; +valaddr_reg:x9; val_offset:768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 768*FLEN/8, x10, x6, x7) + +inst_408:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x0f88e6 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd8f88e6; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 770*FLEN/8, x10, x6, x7) + +inst_409:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x17ad58; +valaddr_reg:x9; val_offset:772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 772*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_3) + +inst_410:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x239571 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0x7f239571; +valaddr_reg:x9; val_offset:774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 774*FLEN/8, x10, x6, x7) + +inst_411:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19f813 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0x8019f813; +valaddr_reg:x9; val_offset:776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 776*FLEN/8, x10, x6, x7) + +inst_412:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0x17ad58; +valaddr_reg:x9; val_offset:778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 778*FLEN/8, x10, x6, x7) + +inst_413:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19f813 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x8019f813; +valaddr_reg:x9; val_offset:780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 780*FLEN/8, x10, x6, x7) + +inst_414:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0xd7bf; +valaddr_reg:x9; val_offset:782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 782*FLEN/8, x10, x6, x7) + +inst_415:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00427b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000427b; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 784*FLEN/8, x10, x6, x7) + +inst_416:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00427b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x8000427b; +valaddr_reg:x9; val_offset:786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 786*FLEN/8, x10, x6, x7) + +inst_417:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00427b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000427b; op2val:0xd7bf; +valaddr_reg:x9; val_offset:788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 788*FLEN/8, x10, x6, x7) + +inst_418:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00427b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x8000427b; +valaddr_reg:x9; val_offset:790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 790*FLEN/8, x10, x6, x7) + +inst_419:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 792*FLEN/8, x10, x6, x7) + +inst_420:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 794*FLEN/8, x10, x6, x7) + +inst_421:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19f813 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x8019f813; +valaddr_reg:x9; val_offset:796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 796*FLEN/8, x10, x6, x7) + +inst_422:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 798*FLEN/8, x10, x6, x7) + +inst_423:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x28e67d; +valaddr_reg:x9; val_offset:800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 800*FLEN/8, x10, x6, x7) + +inst_424:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0x28e67d; +valaddr_reg:x9; val_offset:802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 802*FLEN/8, x10, x6, x7) + +inst_425:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x217bcd; +valaddr_reg:x9; val_offset:804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 804*FLEN/8, x10, x6, x7) + +inst_426:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x675603 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0x7f675603; +valaddr_reg:x9; val_offset:806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 806*FLEN/8, x10, x6, x7) + +inst_427:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19f813 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0x8019f813; +valaddr_reg:x9; val_offset:808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 808*FLEN/8, x10, x6, x7) + +inst_428:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0x217bcd; +valaddr_reg:x9; val_offset:810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 810*FLEN/8, x10, x6, x7) + +inst_429:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x8019595f; +valaddr_reg:x9; val_offset:812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 812*FLEN/8, x10, x6, x7) + +inst_430:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x2f22aa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0xff2f22aa; +valaddr_reg:x9; val_offset:814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 814*FLEN/8, x10, x6, x7) + +inst_431:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19f813 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0x8019f813; +valaddr_reg:x9; val_offset:816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 816*FLEN/8, x10, x6, x7) + +inst_432:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0x8019595f; +valaddr_reg:x9; val_offset:818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 818*FLEN/8, x10, x6, x7) + +inst_433:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 820*FLEN/8, x10, x6, x7) + +inst_434:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0298ce and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800298ce; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 822*FLEN/8, x10, x6, x7) + +inst_435:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0298ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x800298ce; +valaddr_reg:x9; val_offset:824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 824*FLEN/8, x10, x6, x7) + +inst_436:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0298ce and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800298ce; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 826*FLEN/8, x10, x6, x7) + +inst_437:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0298ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x800298ce; +valaddr_reg:x9; val_offset:828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 828*FLEN/8, x10, x6, x7) + +inst_438:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x8021e733; +valaddr_reg:x9; val_offset:830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 830*FLEN/8, x10, x6, x7) + +inst_439:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6a3c0b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0xff6a3c0b; +valaddr_reg:x9; val_offset:832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 832*FLEN/8, x10, x6, x7) + +inst_440:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19f813 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0x8019f813; +valaddr_reg:x9; val_offset:834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 834*FLEN/8, x10, x6, x7) + +inst_441:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0x8021e733; +valaddr_reg:x9; val_offset:836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 836*FLEN/8, x10, x6, x7) + +inst_442:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 838*FLEN/8, x10, x6, x7) + +inst_443:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 840*FLEN/8, x10, x6, x7) + +inst_444:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19f813 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x8019f813; +valaddr_reg:x9; val_offset:842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 842*FLEN/8, x10, x6, x7) + +inst_445:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 844*FLEN/8, x10, x6, x7) + +inst_446:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x80108f54; +valaddr_reg:x9; val_offset:846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 846*FLEN/8, x10, x6, x7) + +inst_447:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64d284 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0xfee4d284; +valaddr_reg:x9; val_offset:848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 848*FLEN/8, x10, x6, x7) + +inst_448:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19f813 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0x8019f813; +valaddr_reg:x9; val_offset:850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 850*FLEN/8, x10, x6, x7) + +inst_449:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0x80108f54; +valaddr_reg:x9; val_offset:852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 852*FLEN/8, x10, x6, x7) + +inst_450:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x7f0; +valaddr_reg:x9; val_offset:854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 854*FLEN/8, x10, x6, x7) + +inst_451:// fs1 == 1 and fe1 == 0x80 and fm1 == 0x18a1e0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc018a1e0; op2val:0x7f0; +valaddr_reg:x9; val_offset:856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 856*FLEN/8, x10, x6, x7) + +inst_452:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x18a1e0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc018a1e0; +valaddr_reg:x9; val_offset:858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 858*FLEN/8, x10, x6, x7) + +inst_453:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0x80 and fm2 == 0x18a1e0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0xc018a1e0; +valaddr_reg:x9; val_offset:860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 860*FLEN/8, x10, x6, x7) + +inst_454:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 862*FLEN/8, x10, x6, x7) + +inst_455:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x6b36a9 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd6b36a9; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 864*FLEN/8, x10, x6, x7) + +inst_456:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x6b36a9 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0xfd6b36a9; +valaddr_reg:x9; val_offset:866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 866*FLEN/8, x10, x6, x7) + +inst_457:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0xff130229; +valaddr_reg:x9; val_offset:868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 868*FLEN/8, x10, x6, x7) + +inst_458:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 870*FLEN/8, x10, x6, x7) + +inst_459:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x6b36a9 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd6b36a9; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 872*FLEN/8, x10, x6, x7) + +inst_460:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0xfec91492; +valaddr_reg:x9; val_offset:874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 874*FLEN/8, x10, x6, x7) + +inst_461:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0xff130229; +valaddr_reg:x9; val_offset:876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 876*FLEN/8, x10, x6, x7) + +inst_462:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 878*FLEN/8, x10, x6, x7) + +inst_463:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x6b36a9 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7d5a5e and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd6b36a9; op2val:0xff7d5a5e; +valaddr_reg:x9; val_offset:880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 880*FLEN/8, x10, x6, x7) + +inst_464:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 1 and fe2 == 0xfa and fm2 == 0x6b36a9 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0xfd6b36a9; +valaddr_reg:x9; val_offset:882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 882*FLEN/8, x10, x6, x7) + +inst_465:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x6b36a9 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd6b36a9; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 884*FLEN/8, x10, x6, x7) + +inst_466:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x17ad58; +valaddr_reg:x9; val_offset:886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 886*FLEN/8, x10, x6, x7) + +inst_467:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 0 and fe2 == 0xfe and fm2 == 0x239571 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0x7f239571; +valaddr_reg:x9; val_offset:888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 888*FLEN/8, x10, x6, x7) + +inst_468:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x15472c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0x8015472c; +valaddr_reg:x9; val_offset:890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 890*FLEN/8, x10, x6, x7) + +inst_469:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0x17ad58; +valaddr_reg:x9; val_offset:892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 892*FLEN/8, x10, x6, x7) + +inst_470:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x15472c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x8015472c; +valaddr_reg:x9; val_offset:894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 894*FLEN/8, x10, x6, x7) + +inst_471:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0xd7bf; +valaddr_reg:x9; val_offset:896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 896*FLEN/8, x10, x6, x7) + +inst_472:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x003678 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80003678; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 898*FLEN/8, x10, x6, x7) + +inst_473:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x003678 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x80003678; +valaddr_reg:x9; val_offset:900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 900*FLEN/8, x10, x6, x7) + +inst_474:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x003678 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80003678; op2val:0xd7bf; +valaddr_reg:x9; val_offset:902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 902*FLEN/8, x10, x6, x7) + +inst_475:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x003678 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x80003678; +valaddr_reg:x9; val_offset:904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 904*FLEN/8, x10, x6, x7) + +inst_476:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 906*FLEN/8, x10, x6, x7) + +inst_477:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 908*FLEN/8, x10, x6, x7) + +inst_478:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x15472c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x8015472c; +valaddr_reg:x9; val_offset:910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 910*FLEN/8, x10, x6, x7) + +inst_479:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 912*FLEN/8, x10, x6, x7) + +inst_480:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x28e67d; +valaddr_reg:x9; val_offset:914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 914*FLEN/8, x10, x6, x7) + +inst_481:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0x28e67d; +valaddr_reg:x9; val_offset:916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 916*FLEN/8, x10, x6, x7) + +inst_482:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x217bcd; +valaddr_reg:x9; val_offset:918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 918*FLEN/8, x10, x6, x7) + +inst_483:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 0 and fe2 == 0xfe and fm2 == 0x675603 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0x7f675603; +valaddr_reg:x9; val_offset:920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 920*FLEN/8, x10, x6, x7) + +inst_484:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x15472c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0x8015472c; +valaddr_reg:x9; val_offset:922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 922*FLEN/8, x10, x6, x7) + +inst_485:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0x217bcd; +valaddr_reg:x9; val_offset:924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 924*FLEN/8, x10, x6, x7) + +inst_486:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x8019595f; +valaddr_reg:x9; val_offset:926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 926*FLEN/8, x10, x6, x7) + +inst_487:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x2f22aa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0xff2f22aa; +valaddr_reg:x9; val_offset:928*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 928*FLEN/8, x10, x6, x7) + +inst_488:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x15472c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0x8015472c; +valaddr_reg:x9; val_offset:930*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 930*FLEN/8, x10, x6, x7) + +inst_489:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0x8019595f; +valaddr_reg:x9; val_offset:932*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 932*FLEN/8, x10, x6, x7) + +inst_490:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:934*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 934*FLEN/8, x10, x6, x7) + +inst_491:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0220b7 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800220b7; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:936*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 936*FLEN/8, x10, x6, x7) + +inst_492:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0220b7 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x800220b7; +valaddr_reg:x9; val_offset:938*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 938*FLEN/8, x10, x6, x7) + +inst_493:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0220b7 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800220b7; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:940*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 940*FLEN/8, x10, x6, x7) + +inst_494:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0220b7 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x800220b7; +valaddr_reg:x9; val_offset:942*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 942*FLEN/8, x10, x6, x7) + +inst_495:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x8021e733; +valaddr_reg:x9; val_offset:944*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 944*FLEN/8, x10, x6, x7) + +inst_496:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6a3c0b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0xff6a3c0b; +valaddr_reg:x9; val_offset:946*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 946*FLEN/8, x10, x6, x7) + +inst_497:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x15472c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0x8015472c; +valaddr_reg:x9; val_offset:948*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 948*FLEN/8, x10, x6, x7) + +inst_498:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0x8021e733; +valaddr_reg:x9; val_offset:950*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 950*FLEN/8, x10, x6, x7) + +inst_499:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:952*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 952*FLEN/8, x10, x6, x7) + +inst_500:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:954*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 954*FLEN/8, x10, x6, x7) + +inst_501:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x15472c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x8015472c; +valaddr_reg:x9; val_offset:956*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 956*FLEN/8, x10, x6, x7) + +inst_502:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:958*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 958*FLEN/8, x10, x6, x7) + +inst_503:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x80108f54; +valaddr_reg:x9; val_offset:960*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 960*FLEN/8, x10, x6, x7) + +inst_504:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64d284 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0xfee4d284; +valaddr_reg:x9; val_offset:962*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 962*FLEN/8, x10, x6, x7) + +inst_505:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x15472c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0x8015472c; +valaddr_reg:x9; val_offset:964*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 964*FLEN/8, x10, x6, x7) + +inst_506:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0x80108f54; +valaddr_reg:x9; val_offset:966*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 966*FLEN/8, x10, x6, x7) + +inst_507:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x7f0; +valaddr_reg:x9; val_offset:968*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 968*FLEN/8, x10, x6, x7) + +inst_508:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x7a1f35 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbffa1f35; op2val:0x7f0; +valaddr_reg:x9; val_offset:970*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 970*FLEN/8, x10, x6, x7) + +inst_509:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x7a1f35 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xbffa1f35; +valaddr_reg:x9; val_offset:972*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 972*FLEN/8, x10, x6, x7) + +inst_510:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x7a1f35 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0xbffa1f35; +valaddr_reg:x9; val_offset:974*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 974*FLEN/8, x10, x6, x7) + +inst_511:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:976*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 976*FLEN/8, x10, x6, x7) + +inst_512:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x20dd41 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd20dd41; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:978*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 978*FLEN/8, x10, x6, x7) + +inst_513:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x20dd41 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0xfd20dd41; +valaddr_reg:x9; val_offset:980*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 980*FLEN/8, x10, x6, x7) + +inst_514:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0xfec91492; +valaddr_reg:x9; val_offset:982*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 982*FLEN/8, x10, x6, x7) + +inst_515:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:984*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 984*FLEN/8, x10, x6, x7) + +inst_516:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x20dd41 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd20dd41; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:986*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 986*FLEN/8, x10, x6, x7) + +inst_517:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:988*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 988*FLEN/8, x10, x6, x7) + +inst_518:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x20dd41 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7d5a5e and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd20dd41; op2val:0xff7d5a5e; +valaddr_reg:x9; val_offset:990*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 990*FLEN/8, x10, x6, x7) + +inst_519:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 1 and fe2 == 0xfa and fm2 == 0x20dd41 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0xfd20dd41; +valaddr_reg:x9; val_offset:992*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 992*FLEN/8, x10, x6, x7) + +inst_520:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x20dd41 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd20dd41; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:994*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 994*FLEN/8, x10, x6, x7) + +inst_521:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x17ad58; +valaddr_reg:x9; val_offset:996*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 996*FLEN/8, x10, x6, x7) + +inst_522:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 0 and fe2 == 0xfe and fm2 == 0x239571 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0x7f239571; +valaddr_reg:x9; val_offset:998*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 998*FLEN/8, x10, x6, x7) + +inst_523:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e8d5c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0x800e8d5c; +valaddr_reg:x9; val_offset:1000*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1000*FLEN/8, x10, x6, x7) + +inst_524:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1002*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1002*FLEN/8, x10, x6, x7) + +inst_525:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e8d5c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x800e8d5c; +valaddr_reg:x9; val_offset:1004*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1004*FLEN/8, x10, x6, x7) + +inst_526:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1006*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1006*FLEN/8, x10, x6, x7) + +inst_527:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x002540 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80002540; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1008*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1008*FLEN/8, x10, x6, x7) + +inst_528:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x002540 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x80002540; +valaddr_reg:x9; val_offset:1010*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1010*FLEN/8, x10, x6, x7) + +inst_529:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x002540 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80002540; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1012*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1012*FLEN/8, x10, x6, x7) + +inst_530:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x002540 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x80002540; +valaddr_reg:x9; val_offset:1014*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1014*FLEN/8, x10, x6, x7) + +inst_531:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1016*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1016*FLEN/8, x10, x6, x7) + +inst_532:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1018*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1018*FLEN/8, x10, x6, x7) + +inst_533:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e8d5c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x800e8d5c; +valaddr_reg:x9; val_offset:1020*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1020*FLEN/8, x10, x6, x7) + +inst_534:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1022*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1022*FLEN/8, x10, x6, x7) + +inst_535:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1024*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1024*FLEN/8, x10, x6, x7) + +inst_536:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1026*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1026*FLEN/8, x10, x6, x7) + +inst_537:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1028*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1028*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_4) + +inst_538:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 0 and fe2 == 0xfe and fm2 == 0x675603 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0x7f675603; +valaddr_reg:x9; val_offset:1030*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1030*FLEN/8, x10, x6, x7) + +inst_539:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e8d5c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0x800e8d5c; +valaddr_reg:x9; val_offset:1032*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1032*FLEN/8, x10, x6, x7) + +inst_540:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1034*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1034*FLEN/8, x10, x6, x7) + +inst_541:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1036*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1036*FLEN/8, x10, x6, x7) + +inst_542:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x2f22aa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0xff2f22aa; +valaddr_reg:x9; val_offset:1038*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1038*FLEN/8, x10, x6, x7) + +inst_543:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e8d5c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0x800e8d5c; +valaddr_reg:x9; val_offset:1040*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1040*FLEN/8, x10, x6, x7) + +inst_544:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1042*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1042*FLEN/8, x10, x6, x7) + +inst_545:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1044*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1044*FLEN/8, x10, x6, x7) + +inst_546:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x017489 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80017489; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1046*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1046*FLEN/8, x10, x6, x7) + +inst_547:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x017489 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x80017489; +valaddr_reg:x9; val_offset:1048*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1048*FLEN/8, x10, x6, x7) + +inst_548:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x017489 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80017489; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1050*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1050*FLEN/8, x10, x6, x7) + +inst_549:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x017489 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x80017489; +valaddr_reg:x9; val_offset:1052*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1052*FLEN/8, x10, x6, x7) + +inst_550:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1054*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1054*FLEN/8, x10, x6, x7) + +inst_551:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6a3c0b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0xff6a3c0b; +valaddr_reg:x9; val_offset:1056*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1056*FLEN/8, x10, x6, x7) + +inst_552:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e8d5c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0x800e8d5c; +valaddr_reg:x9; val_offset:1058*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1058*FLEN/8, x10, x6, x7) + +inst_553:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1060*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1060*FLEN/8, x10, x6, x7) + +inst_554:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1062*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1062*FLEN/8, x10, x6, x7) + +inst_555:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1064*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1064*FLEN/8, x10, x6, x7) + +inst_556:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e8d5c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x800e8d5c; +valaddr_reg:x9; val_offset:1066*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1066*FLEN/8, x10, x6, x7) + +inst_557:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1068*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1068*FLEN/8, x10, x6, x7) + +inst_558:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1070*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1070*FLEN/8, x10, x6, x7) + +inst_559:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64d284 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0xfee4d284; +valaddr_reg:x9; val_offset:1072*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1072*FLEN/8, x10, x6, x7) + +inst_560:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e8d5c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0x800e8d5c; +valaddr_reg:x9; val_offset:1074*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1074*FLEN/8, x10, x6, x7) + +inst_561:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1076*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1076*FLEN/8, x10, x6, x7) + +inst_562:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x7f0; +valaddr_reg:x9; val_offset:1078*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1078*FLEN/8, x10, x6, x7) + +inst_563:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x2b0f6c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbfab0f6c; op2val:0x7f0; +valaddr_reg:x9; val_offset:1080*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1080*FLEN/8, x10, x6, x7) + +inst_564:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x2b0f6c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xbfab0f6c; +valaddr_reg:x9; val_offset:1082*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1082*FLEN/8, x10, x6, x7) + +inst_565:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x2b0f6c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0xbfab0f6c; +valaddr_reg:x9; val_offset:1084*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1084*FLEN/8, x10, x6, x7) + +inst_566:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1086*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1086*FLEN/8, x10, x6, x7) + +inst_567:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1088*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1088*FLEN/8, x10, x6, x7) + +inst_568:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1090*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1090*FLEN/8, x10, x6, x7) + +inst_569:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7d5a5e and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0xff7d5a5e; +valaddr_reg:x9; val_offset:1092*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1092*FLEN/8, x10, x6, x7) + +inst_570:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1094*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1094*FLEN/8, x10, x6, x7) + +inst_571:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1096*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1096*FLEN/8, x10, x6, x7) + +inst_572:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1098*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1098*FLEN/8, x10, x6, x7) + +inst_573:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1100*FLEN/8, x10, x6, x7) + +inst_574:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1102*FLEN/8, x10, x6, x7) + +inst_575:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1104*FLEN/8, x10, x6, x7) + +inst_576:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1106*FLEN/8, x10, x6, x7) + +inst_577:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1108*FLEN/8, x10, x6, x7) + +inst_578:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0xff130229; +valaddr_reg:x9; val_offset:1110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1110*FLEN/8, x10, x6, x7) + +inst_579:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0xff130229; +valaddr_reg:x9; val_offset:1112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1112*FLEN/8, x10, x6, x7) + +inst_580:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1114*FLEN/8, x10, x6, x7) + +inst_581:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1116*FLEN/8, x10, x6, x7) + +inst_582:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1118*FLEN/8, x10, x6, x7) + +inst_583:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x02ddf4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x7d82ddf4; +valaddr_reg:x9; val_offset:1120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1120*FLEN/8, x10, x6, x7) + +inst_584:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x02ddf4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x24ab9b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d82ddf4; op2val:0x8024ab9b; +valaddr_reg:x9; val_offset:1122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1122*FLEN/8, x10, x6, x7) + +inst_585:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1124*FLEN/8, x10, x6, x7) + +inst_586:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x24ab9b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x8024ab9b; +valaddr_reg:x9; val_offset:1126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1126*FLEN/8, x10, x6, x7) + +inst_587:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1128*FLEN/8, x10, x6, x7) + +inst_588:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x005de0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x68e714 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80005de0; op2val:0x7e68e714; +valaddr_reg:x9; val_offset:1130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1130*FLEN/8, x10, x6, x7) + +inst_589:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x68e714 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x005de0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e68e714; op2val:0x80005de0; +valaddr_reg:x9; val_offset:1132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1132*FLEN/8, x10, x6, x7) + +inst_590:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x005de0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80005de0; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1134*FLEN/8, x10, x6, x7) + +inst_591:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x005de0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x80005de0; +valaddr_reg:x9; val_offset:1136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1136*FLEN/8, x10, x6, x7) + +inst_592:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1138*FLEN/8, x10, x6, x7) + +inst_593:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x301931 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x7e301931; +valaddr_reg:x9; val_offset:1140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1140*FLEN/8, x10, x6, x7) + +inst_594:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x301931 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x24ab9b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e301931; op2val:0x8024ab9b; +valaddr_reg:x9; val_offset:1142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1142*FLEN/8, x10, x6, x7) + +inst_595:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1144*FLEN/8, x10, x6, x7) + +inst_596:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1146*FLEN/8, x10, x6, x7) + +inst_597:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x620ff4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x7de20ff4; +valaddr_reg:x9; val_offset:1148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1148*FLEN/8, x10, x6, x7) + +inst_598:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x620ff4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x24ab9b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7de20ff4; op2val:0x8024ab9b; +valaddr_reg:x9; val_offset:1150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1150*FLEN/8, x10, x6, x7) + +inst_599:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1152*FLEN/8, x10, x6, x7) + +inst_600:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1154*FLEN/8, x10, x6, x7) + +inst_601:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x39119c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x7db9119c; +valaddr_reg:x9; val_offset:1156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1156*FLEN/8, x10, x6, x7) + +inst_602:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x39119c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x24ab9b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7db9119c; op2val:0x8024ab9b; +valaddr_reg:x9; val_offset:1158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1158*FLEN/8, x10, x6, x7) + +inst_603:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1160*FLEN/8, x10, x6, x7) + +inst_604:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1162*FLEN/8, x10, x6, x7) + +inst_605:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 1 and fe2 == 0xfb and fm2 == 0x0c1bbb and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0xfd8c1bbb; +valaddr_reg:x9; val_offset:1164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1164*FLEN/8, x10, x6, x7) + +inst_606:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x0c1bbb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x24ab9b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd8c1bbb; op2val:0x8024ab9b; +valaddr_reg:x9; val_offset:1166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1166*FLEN/8, x10, x6, x7) + +inst_607:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1168*FLEN/8, x10, x6, x7) + +inst_608:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1170*FLEN/8, x10, x6, x7) + +inst_609:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x03aac2 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x0fbbb6 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8003aac2; op2val:0xfe8fbbb6; +valaddr_reg:x9; val_offset:1172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1172*FLEN/8, x10, x6, x7) + +inst_610:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x0fbbb6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x03aac2 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe8fbbb6; op2val:0x8003aac2; +valaddr_reg:x9; val_offset:1174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1174*FLEN/8, x10, x6, x7) + +inst_611:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x03aac2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8003aac2; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1176*FLEN/8, x10, x6, x7) + +inst_612:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x03aac2 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x8003aac2; +valaddr_reg:x9; val_offset:1178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1178*FLEN/8, x10, x6, x7) + +inst_613:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1180*FLEN/8, x10, x6, x7) + +inst_614:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 1 and fe2 == 0xfb and fm2 == 0x3b633c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0xfdbb633c; +valaddr_reg:x9; val_offset:1182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1182*FLEN/8, x10, x6, x7) + +inst_615:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x3b633c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x24ab9b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdbb633c; op2val:0x8024ab9b; +valaddr_reg:x9; val_offset:1184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1184*FLEN/8, x10, x6, x7) + +inst_616:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1186*FLEN/8, x10, x6, x7) + +inst_617:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1188*FLEN/8, x10, x6, x7) + +inst_618:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 1 and fe2 == 0xfc and fm2 == 0x163ab8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0xfe163ab8; +valaddr_reg:x9; val_offset:1190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1190*FLEN/8, x10, x6, x7) + +inst_619:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x163ab8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x24ab9b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe163ab8; op2val:0x8024ab9b; +valaddr_reg:x9; val_offset:1192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1192*FLEN/8, x10, x6, x7) + +inst_620:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1194*FLEN/8, x10, x6, x7) + +inst_621:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1196*FLEN/8, x10, x6, x7) + +inst_622:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 1 and fe2 == 0xfa and fm2 == 0x370ed0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0xfd370ed0; +valaddr_reg:x9; val_offset:1198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1198*FLEN/8, x10, x6, x7) + +inst_623:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x370ed0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x24ab9b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd370ed0; op2val:0x8024ab9b; +valaddr_reg:x9; val_offset:1200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1200*FLEN/8, x10, x6, x7) + +inst_624:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1202*FLEN/8, x10, x6, x7) + +inst_625:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x7f0; +valaddr_reg:x9; val_offset:1204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1204*FLEN/8, x10, x6, x7) + +inst_626:// fs1 == 1 and fe1 == 0x80 and fm1 == 0x578765 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc0578765; op2val:0x7f0; +valaddr_reg:x9; val_offset:1206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1206*FLEN/8, x10, x6, x7) + +inst_627:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x578765 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc0578765; +valaddr_reg:x9; val_offset:1208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1208*FLEN/8, x10, x6, x7) + +inst_628:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x578765 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0xc0578765; +valaddr_reg:x9; val_offset:1210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1210*FLEN/8, x10, x6, x7) + +inst_629:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1212*FLEN/8, x10, x6, x7) + +inst_630:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x02ddf4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d82ddf4; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1214*FLEN/8, x10, x6, x7) + +inst_631:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x02ddf4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x7d82ddf4; +valaddr_reg:x9; val_offset:1216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1216*FLEN/8, x10, x6, x7) + +inst_632:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1218*FLEN/8, x10, x6, x7) + +inst_633:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1220*FLEN/8, x10, x6, x7) + +inst_634:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1222*FLEN/8, x10, x6, x7) + +inst_635:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x239571 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x7f239571; +valaddr_reg:x9; val_offset:1224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1224*FLEN/8, x10, x6, x7) + +inst_636:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1226*FLEN/8, x10, x6, x7) + +inst_637:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x02ddf4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d82ddf4; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1228*FLEN/8, x10, x6, x7) + +inst_638:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1230*FLEN/8, x10, x6, x7) + +inst_639:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1232*FLEN/8, x10, x6, x7) + +inst_640:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1234*FLEN/8, x10, x6, x7) + +inst_641:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1236*FLEN/8, x10, x6, x7) + +inst_642:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1238*FLEN/8, x10, x6, x7) + +inst_643:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1240*FLEN/8, x10, x6, x7) + +inst_644:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1242*FLEN/8, x10, x6, x7) + +inst_645:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1244*FLEN/8, x10, x6, x7) + +inst_646:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0xff130229; +valaddr_reg:x9; val_offset:1246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1246*FLEN/8, x10, x6, x7) + +inst_647:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0xff130229; +valaddr_reg:x9; val_offset:1248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1248*FLEN/8, x10, x6, x7) + +inst_648:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1250*FLEN/8, x10, x6, x7) + +inst_649:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1252*FLEN/8, x10, x6, x7) + +inst_650:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1254*FLEN/8, x10, x6, x7) + +inst_651:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x02ddf4 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d82ddf4; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1256*FLEN/8, x10, x6, x7) + +inst_652:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1258*FLEN/8, x10, x6, x7) + +inst_653:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x003c9d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x5446a0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3c9d; op2val:0x5446a0; +valaddr_reg:x9; val_offset:1260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1260*FLEN/8, x10, x6, x7) + +inst_654:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x003c9d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x3c9d; +valaddr_reg:x9; val_offset:1262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1262*FLEN/8, x10, x6, x7) + +inst_655:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x003c9d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3c9d; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1264*FLEN/8, x10, x6, x7) + +inst_656:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x003c9d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x3c9d; +valaddr_reg:x9; val_offset:1266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1266*FLEN/8, x10, x6, x7) + +inst_657:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1268*FLEN/8, x10, x6, x7) + +inst_658:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1270*FLEN/8, x10, x6, x7) + +inst_659:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1272*FLEN/8, x10, x6, x7) + +inst_660:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1274*FLEN/8, x10, x6, x7) + +inst_661:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1276*FLEN/8, x10, x6, x7) + +inst_662:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1278*FLEN/8, x10, x6, x7) + +inst_663:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1280*FLEN/8, x10, x6, x7) + +inst_664:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1282*FLEN/8, x10, x6, x7) + +inst_665:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1284*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_5) + +inst_666:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x025e22 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x680514 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x25e22; op2val:0x80680514; +valaddr_reg:x9; val_offset:1286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1286*FLEN/8, x10, x6, x7) + +inst_667:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x025e22 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x25e22; +valaddr_reg:x9; val_offset:1288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1288*FLEN/8, x10, x6, x7) + +inst_668:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x025e22 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x25e22; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1290*FLEN/8, x10, x6, x7) + +inst_669:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x025e22 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x25e22; +valaddr_reg:x9; val_offset:1292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1292*FLEN/8, x10, x6, x7) + +inst_670:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1294*FLEN/8, x10, x6, x7) + +inst_671:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1296*FLEN/8, x10, x6, x7) + +inst_672:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1298*FLEN/8, x10, x6, x7) + +inst_673:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1300*FLEN/8, x10, x6, x7) + +inst_674:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1302*FLEN/8, x10, x6, x7) + +inst_675:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1304*FLEN/8, x10, x6, x7) + +inst_676:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x7f0; +valaddr_reg:x9; val_offset:1306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1306*FLEN/8, x10, x6, x7) + +inst_677:// fs1 == 0 and fe1 == 0x80 and fm1 == 0x0b2963 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x400b2963; op2val:0x7f0; +valaddr_reg:x9; val_offset:1308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1308*FLEN/8, x10, x6, x7) + +inst_678:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x0b2963 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x400b2963; +valaddr_reg:x9; val_offset:1310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1310*FLEN/8, x10, x6, x7) + +inst_679:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x0b2963 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x400b2963; +valaddr_reg:x9; val_offset:1312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1312*FLEN/8, x10, x6, x7) + +inst_680:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1314*FLEN/8, x10, x6, x7) + +inst_681:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x68e714 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e68e714; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1316*FLEN/8, x10, x6, x7) + +inst_682:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0xfc and fm2 == 0x68e714 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x7e68e714; +valaddr_reg:x9; val_offset:1318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1318*FLEN/8, x10, x6, x7) + +inst_683:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1320*FLEN/8, x10, x6, x7) + +inst_684:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1322*FLEN/8, x10, x6, x7) + +inst_685:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1324*FLEN/8, x10, x6, x7) + +inst_686:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1326*FLEN/8, x10, x6, x7) + +inst_687:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x68e714 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e68e714; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1328*FLEN/8, x10, x6, x7) + +inst_688:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1330*FLEN/8, x10, x6, x7) + +inst_689:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1332*FLEN/8, x10, x6, x7) + +inst_690:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1334*FLEN/8, x10, x6, x7) + +inst_691:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1336*FLEN/8, x10, x6, x7) + +inst_692:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0xff130229; +valaddr_reg:x9; val_offset:1338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1338*FLEN/8, x10, x6, x7) + +inst_693:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1340*FLEN/8, x10, x6, x7) + +inst_694:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1342*FLEN/8, x10, x6, x7) + +inst_695:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x68e714 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e68e714; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1344*FLEN/8, x10, x6, x7) + +inst_696:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1346*FLEN/8, x10, x6, x7) + +inst_697:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1348*FLEN/8, x10, x6, x7) + +inst_698:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x5446a0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x5446a0; +valaddr_reg:x9; val_offset:1350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1350*FLEN/8, x10, x6, x7) + +inst_699:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1352*FLEN/8, x10, x6, x7) + +inst_700:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00a320 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0xa320; +valaddr_reg:x9; val_offset:1354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1354*FLEN/8, x10, x6, x7) + +inst_701:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00a320 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x5446a0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xa320; op2val:0x5446a0; +valaddr_reg:x9; val_offset:1356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1356*FLEN/8, x10, x6, x7) + +inst_702:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1358*FLEN/8, x10, x6, x7) + +inst_703:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1360*FLEN/8, x10, x6, x7) + +inst_704:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0068b4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x68b4; +valaddr_reg:x9; val_offset:1362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1362*FLEN/8, x10, x6, x7) + +inst_705:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0068b4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x5446a0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x68b4; op2val:0x5446a0; +valaddr_reg:x9; val_offset:1364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1364*FLEN/8, x10, x6, x7) + +inst_706:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1366*FLEN/8, x10, x6, x7) + +inst_707:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1368*FLEN/8, x10, x6, x7) + +inst_708:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0055b7 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x55b7; +valaddr_reg:x9; val_offset:1370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1370*FLEN/8, x10, x6, x7) + +inst_709:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0055b7 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x5446a0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x55b7; op2val:0x5446a0; +valaddr_reg:x9; val_offset:1372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1372*FLEN/8, x10, x6, x7) + +inst_710:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1374*FLEN/8, x10, x6, x7) + +inst_711:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1376*FLEN/8, x10, x6, x7) + +inst_712:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0040e4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x800040e4; +valaddr_reg:x9; val_offset:1378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1378*FLEN/8, x10, x6, x7) + +inst_713:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0040e4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x5446a0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800040e4; op2val:0x5446a0; +valaddr_reg:x9; val_offset:1380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1380*FLEN/8, x10, x6, x7) + +inst_714:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1382*FLEN/8, x10, x6, x7) + +inst_715:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1384*FLEN/8, x10, x6, x7) + +inst_716:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x086d76 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x010a4a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x86d76; op2val:0x80010a4a; +valaddr_reg:x9; val_offset:1386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1386*FLEN/8, x10, x6, x7) + +inst_717:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x010a4a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x086d76 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80010a4a; op2val:0x86d76; +valaddr_reg:x9; val_offset:1388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1388*FLEN/8, x10, x6, x7) + +inst_718:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x086d76 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x86d76; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1390*FLEN/8, x10, x6, x7) + +inst_719:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x086d76 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x86d76; +valaddr_reg:x9; val_offset:1392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1392*FLEN/8, x10, x6, x7) + +inst_720:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1394*FLEN/8, x10, x6, x7) + +inst_721:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0056ca and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x800056ca; +valaddr_reg:x9; val_offset:1396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1396*FLEN/8, x10, x6, x7) + +inst_722:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0056ca and fs2 == 0 and fe2 == 0x00 and fm2 == 0x5446a0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800056ca; op2val:0x5446a0; +valaddr_reg:x9; val_offset:1398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1398*FLEN/8, x10, x6, x7) + +inst_723:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1400*FLEN/8, x10, x6, x7) + +inst_724:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1402*FLEN/8, x10, x6, x7) + +inst_725:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x008b29 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x80008b29; +valaddr_reg:x9; val_offset:1404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1404*FLEN/8, x10, x6, x7) + +inst_726:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x008b29 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x5446a0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80008b29; op2val:0x5446a0; +valaddr_reg:x9; val_offset:1406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1406*FLEN/8, x10, x6, x7) + +inst_727:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1408*FLEN/8, x10, x6, x7) + +inst_728:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1410*FLEN/8, x10, x6, x7) + +inst_729:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x002a64 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x80002a64; +valaddr_reg:x9; val_offset:1412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1412*FLEN/8, x10, x6, x7) + +inst_730:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x002a64 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x5446a0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80002a64; op2val:0x5446a0; +valaddr_reg:x9; val_offset:1414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1414*FLEN/8, x10, x6, x7) + +inst_731:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1416*FLEN/8, x10, x6, x7) + +inst_732:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x7f0; +valaddr_reg:x9; val_offset:1418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1418*FLEN/8, x10, x6, x7) + +inst_733:// fs1 == 0 and fe1 == 0x81 and fm1 == 0x77aa21 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x40f7aa21; op2val:0x7f0; +valaddr_reg:x9; val_offset:1420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1420*FLEN/8, x10, x6, x7) + +inst_734:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x77aa21 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x40f7aa21; +valaddr_reg:x9; val_offset:1422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1422*FLEN/8, x10, x6, x7) + +inst_735:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0x81 and fm2 == 0x77aa21 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x40f7aa21; +valaddr_reg:x9; val_offset:1424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1424*FLEN/8, x10, x6, x7) + +inst_736:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1426*FLEN/8, x10, x6, x7) + +inst_737:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x301931 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e301931; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1428*FLEN/8, x10, x6, x7) + +inst_738:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x301931 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x7e301931; +valaddr_reg:x9; val_offset:1430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1430*FLEN/8, x10, x6, x7) + +inst_739:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1432*FLEN/8, x10, x6, x7) + +inst_740:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1434*FLEN/8, x10, x6, x7) + +inst_741:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1436*FLEN/8, x10, x6, x7) + +inst_742:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1438*FLEN/8, x10, x6, x7) + +inst_743:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x301931 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e301931; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1440*FLEN/8, x10, x6, x7) + +inst_744:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1442*FLEN/8, x10, x6, x7) + +inst_745:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1444*FLEN/8, x10, x6, x7) + +inst_746:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1446*FLEN/8, x10, x6, x7) + +inst_747:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1448*FLEN/8, x10, x6, x7) + +inst_748:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0xff130229; +valaddr_reg:x9; val_offset:1450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1450*FLEN/8, x10, x6, x7) + +inst_749:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1452*FLEN/8, x10, x6, x7) + +inst_750:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1454*FLEN/8, x10, x6, x7) + +inst_751:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x301931 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e301931; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1456*FLEN/8, x10, x6, x7) + +inst_752:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1458*FLEN/8, x10, x6, x7) + +inst_753:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00a320 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xa320; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1460*FLEN/8, x10, x6, x7) + +inst_754:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00a320 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0xa320; +valaddr_reg:x9; val_offset:1462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1462*FLEN/8, x10, x6, x7) + +inst_755:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1464*FLEN/8, x10, x6, x7) + +inst_756:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1466*FLEN/8, x10, x6, x7) + +inst_757:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1468*FLEN/8, x10, x6, x7) + +inst_758:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1470*FLEN/8, x10, x6, x7) + +inst_759:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1472*FLEN/8, x10, x6, x7) + +inst_760:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1474*FLEN/8, x10, x6, x7) + +inst_761:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1476*FLEN/8, x10, x6, x7) + +inst_762:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x065f43 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x680514 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x65f43; op2val:0x80680514; +valaddr_reg:x9; val_offset:1478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1478*FLEN/8, x10, x6, x7) + +inst_763:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x065f43 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x65f43; +valaddr_reg:x9; val_offset:1480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1480*FLEN/8, x10, x6, x7) + +inst_764:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x065f43 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x65f43; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1482*FLEN/8, x10, x6, x7) + +inst_765:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x065f43 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x65f43; +valaddr_reg:x9; val_offset:1484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1484*FLEN/8, x10, x6, x7) + +inst_766:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1486*FLEN/8, x10, x6, x7) + +inst_767:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1488*FLEN/8, x10, x6, x7) + +inst_768:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1490*FLEN/8, x10, x6, x7) + +inst_769:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1492*FLEN/8, x10, x6, x7) + +inst_770:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1494*FLEN/8, x10, x6, x7) + +inst_771:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1496*FLEN/8, x10, x6, x7) + +inst_772:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x7f0; +valaddr_reg:x9; val_offset:1498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1498*FLEN/8, x10, x6, x7) + +inst_773:// fs1 == 0 and fe1 == 0x81 and fm1 == 0x3b428c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x40bb428c; op2val:0x7f0; +valaddr_reg:x9; val_offset:1500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1500*FLEN/8, x10, x6, x7) + +inst_774:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x3b428c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x40bb428c; +valaddr_reg:x9; val_offset:1502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1502*FLEN/8, x10, x6, x7) + +inst_775:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x3b428c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x40bb428c; +valaddr_reg:x9; val_offset:1504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1504*FLEN/8, x10, x6, x7) + +inst_776:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1506*FLEN/8, x10, x6, x7) + +inst_777:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x620ff4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7de20ff4; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1508*FLEN/8, x10, x6, x7) + +inst_778:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0xfb and fm2 == 0x620ff4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x7de20ff4; +valaddr_reg:x9; val_offset:1510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1510*FLEN/8, x10, x6, x7) + +inst_779:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1512*FLEN/8, x10, x6, x7) + +inst_780:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1514*FLEN/8, x10, x6, x7) + +inst_781:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1516*FLEN/8, x10, x6, x7) + +inst_782:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1518*FLEN/8, x10, x6, x7) + +inst_783:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x620ff4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7de20ff4; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1520*FLEN/8, x10, x6, x7) + +inst_784:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1522*FLEN/8, x10, x6, x7) + +inst_785:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1524*FLEN/8, x10, x6, x7) + +inst_786:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1526*FLEN/8, x10, x6, x7) + +inst_787:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1528*FLEN/8, x10, x6, x7) + +inst_788:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0xff130229; +valaddr_reg:x9; val_offset:1530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1530*FLEN/8, x10, x6, x7) + +inst_789:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1532*FLEN/8, x10, x6, x7) + +inst_790:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1534*FLEN/8, x10, x6, x7) + +inst_791:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x620ff4 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7de20ff4; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1536*FLEN/8, x10, x6, x7) + +inst_792:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1538*FLEN/8, x10, x6, x7) + +inst_793:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0068b4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x68b4; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1540*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_6) + +inst_794:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0068b4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x68b4; +valaddr_reg:x9; val_offset:1542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1542*FLEN/8, x10, x6, x7) + +inst_795:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1544*FLEN/8, x10, x6, x7) + +inst_796:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1546*FLEN/8, x10, x6, x7) + +inst_797:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1548*FLEN/8, x10, x6, x7) + +inst_798:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1550*FLEN/8, x10, x6, x7) + +inst_799:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1552*FLEN/8, x10, x6, x7) + +inst_800:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x04170c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x680514 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x4170c; op2val:0x80680514; +valaddr_reg:x9; val_offset:1554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1554*FLEN/8, x10, x6, x7) + +inst_801:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x04170c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x4170c; +valaddr_reg:x9; val_offset:1556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1556*FLEN/8, x10, x6, x7) + +inst_802:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x04170c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x4170c; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1558*FLEN/8, x10, x6, x7) + +inst_803:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x04170c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x4170c; +valaddr_reg:x9; val_offset:1560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1560*FLEN/8, x10, x6, x7) + +inst_804:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1562*FLEN/8, x10, x6, x7) + +inst_805:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1564*FLEN/8, x10, x6, x7) + +inst_806:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1566*FLEN/8, x10, x6, x7) + +inst_807:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1568*FLEN/8, x10, x6, x7) + +inst_808:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1570*FLEN/8, x10, x6, x7) + +inst_809:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1572*FLEN/8, x10, x6, x7) + +inst_810:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x7f0; +valaddr_reg:x9; val_offset:1574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1574*FLEN/8, x10, x6, x7) + +inst_811:// fs1 == 0 and fe1 == 0x80 and fm1 == 0x706405 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x40706405; op2val:0x7f0; +valaddr_reg:x9; val_offset:1576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1576*FLEN/8, x10, x6, x7) + +inst_812:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x706405 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x40706405; +valaddr_reg:x9; val_offset:1578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1578*FLEN/8, x10, x6, x7) + +inst_813:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0x80 and fm2 == 0x706405 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x40706405; +valaddr_reg:x9; val_offset:1580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1580*FLEN/8, x10, x6, x7) + +inst_814:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1582*FLEN/8, x10, x6, x7) + +inst_815:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x39119c and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7db9119c; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1584*FLEN/8, x10, x6, x7) + +inst_816:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0xfb and fm2 == 0x39119c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x7db9119c; +valaddr_reg:x9; val_offset:1586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1586*FLEN/8, x10, x6, x7) + +inst_817:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1588*FLEN/8, x10, x6, x7) + +inst_818:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1590*FLEN/8, x10, x6, x7) + +inst_819:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1592*FLEN/8, x10, x6, x7) + +inst_820:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0xfe and fm2 == 0x675603 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x7f675603; +valaddr_reg:x9; val_offset:1594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1594*FLEN/8, x10, x6, x7) + +inst_821:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1596*FLEN/8, x10, x6, x7) + +inst_822:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x39119c and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7db9119c; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1598*FLEN/8, x10, x6, x7) + +inst_823:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1600*FLEN/8, x10, x6, x7) + +inst_824:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1602*FLEN/8, x10, x6, x7) + +inst_825:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1604*FLEN/8, x10, x6, x7) + +inst_826:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1606*FLEN/8, x10, x6, x7) + +inst_827:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1608*FLEN/8, x10, x6, x7) + +inst_828:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1610*FLEN/8, x10, x6, x7) + +inst_829:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1612*FLEN/8, x10, x6, x7) + +inst_830:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1614*FLEN/8, x10, x6, x7) + +inst_831:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0xff130229; +valaddr_reg:x9; val_offset:1616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1616*FLEN/8, x10, x6, x7) + +inst_832:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0xff130229; +valaddr_reg:x9; val_offset:1618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1618*FLEN/8, x10, x6, x7) + +inst_833:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1620*FLEN/8, x10, x6, x7) + +inst_834:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1622*FLEN/8, x10, x6, x7) + +inst_835:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1624*FLEN/8, x10, x6, x7) + +inst_836:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x39119c and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7db9119c; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1626*FLEN/8, x10, x6, x7) + +inst_837:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1628*FLEN/8, x10, x6, x7) + +inst_838:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0055b7 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x55b7; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1630*FLEN/8, x10, x6, x7) + +inst_839:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0055b7 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x55b7; +valaddr_reg:x9; val_offset:1632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1632*FLEN/8, x10, x6, x7) + +inst_840:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1634*FLEN/8, x10, x6, x7) + +inst_841:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1636*FLEN/8, x10, x6, x7) + +inst_842:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1638*FLEN/8, x10, x6, x7) + +inst_843:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x03592e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x680514 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3592e; op2val:0x80680514; +valaddr_reg:x9; val_offset:1640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1640*FLEN/8, x10, x6, x7) + +inst_844:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x03592e and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x3592e; +valaddr_reg:x9; val_offset:1642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1642*FLEN/8, x10, x6, x7) + +inst_845:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x03592e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3592e; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1644*FLEN/8, x10, x6, x7) + +inst_846:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0x00 and fm2 == 0x03592e and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x3592e; +valaddr_reg:x9; val_offset:1646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1646*FLEN/8, x10, x6, x7) + +inst_847:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1648*FLEN/8, x10, x6, x7) + +inst_848:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1650*FLEN/8, x10, x6, x7) + +inst_849:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1652*FLEN/8, x10, x6, x7) + +inst_850:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1654*FLEN/8, x10, x6, x7) + +inst_851:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1656*FLEN/8, x10, x6, x7) + +inst_852:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1658*FLEN/8, x10, x6, x7) + +inst_853:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x7f0; +valaddr_reg:x9; val_offset:1660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1660*FLEN/8, x10, x6, x7) + +inst_854:// fs1 == 0 and fe1 == 0x80 and fm1 == 0x44cc84 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x4044cc84; op2val:0x7f0; +valaddr_reg:x9; val_offset:1662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1662*FLEN/8, x10, x6, x7) + +inst_855:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x44cc84 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x4044cc84; +valaddr_reg:x9; val_offset:1664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1664*FLEN/8, x10, x6, x7) + +inst_856:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0x80 and fm2 == 0x44cc84 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x4044cc84; +valaddr_reg:x9; val_offset:1666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1666*FLEN/8, x10, x6, x7) + +inst_857:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1668*FLEN/8, x10, x6, x7) + +inst_858:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x0c1bbb and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd8c1bbb; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1670*FLEN/8, x10, x6, x7) + +inst_859:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0xfb and fm2 == 0x0c1bbb and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0xfd8c1bbb; +valaddr_reg:x9; val_offset:1672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1672*FLEN/8, x10, x6, x7) + +inst_860:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1674*FLEN/8, x10, x6, x7) + +inst_861:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1676*FLEN/8, x10, x6, x7) + +inst_862:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1678*FLEN/8, x10, x6, x7) + +inst_863:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0xfe and fm2 == 0x2f22aa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0xff2f22aa; +valaddr_reg:x9; val_offset:1680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1680*FLEN/8, x10, x6, x7) + +inst_864:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1682*FLEN/8, x10, x6, x7) + +inst_865:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x0c1bbb and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd8c1bbb; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1684*FLEN/8, x10, x6, x7) + +inst_866:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1686*FLEN/8, x10, x6, x7) + +inst_867:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1688*FLEN/8, x10, x6, x7) + +inst_868:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1690*FLEN/8, x10, x6, x7) + +inst_869:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1692*FLEN/8, x10, x6, x7) + +inst_870:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1694*FLEN/8, x10, x6, x7) + +inst_871:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1696*FLEN/8, x10, x6, x7) + +inst_872:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1698*FLEN/8, x10, x6, x7) + +inst_873:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1700*FLEN/8, x10, x6, x7) + +inst_874:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0xff130229; +valaddr_reg:x9; val_offset:1702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1702*FLEN/8, x10, x6, x7) + +inst_875:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0xff130229; +valaddr_reg:x9; val_offset:1704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1704*FLEN/8, x10, x6, x7) + +inst_876:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1706*FLEN/8, x10, x6, x7) + +inst_877:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1708*FLEN/8, x10, x6, x7) + +inst_878:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1710*FLEN/8, x10, x6, x7) + +inst_879:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x0c1bbb and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd8c1bbb; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1712*FLEN/8, x10, x6, x7) + +inst_880:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1714*FLEN/8, x10, x6, x7) + +inst_881:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0040e4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800040e4; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1716*FLEN/8, x10, x6, x7) + +inst_882:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0040e4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x800040e4; +valaddr_reg:x9; val_offset:1718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1718*FLEN/8, x10, x6, x7) + +inst_883:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1720*FLEN/8, x10, x6, x7) + +inst_884:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0288ef and fs2 == 1 and fe2 == 0x00 and fm2 == 0x680514 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800288ef; op2val:0x80680514; +valaddr_reg:x9; val_offset:1722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1722*FLEN/8, x10, x6, x7) + +inst_885:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0288ef and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x800288ef; +valaddr_reg:x9; val_offset:1724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1724*FLEN/8, x10, x6, x7) + +inst_886:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0288ef and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800288ef; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1726*FLEN/8, x10, x6, x7) + +inst_887:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0288ef and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x800288ef; +valaddr_reg:x9; val_offset:1728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1728*FLEN/8, x10, x6, x7) + +inst_888:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1730*FLEN/8, x10, x6, x7) + +inst_889:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1732*FLEN/8, x10, x6, x7) + +inst_890:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1734*FLEN/8, x10, x6, x7) + +inst_891:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1736*FLEN/8, x10, x6, x7) + +inst_892:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1738*FLEN/8, x10, x6, x7) + +inst_893:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1740*FLEN/8, x10, x6, x7) + +inst_894:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x7f0; +valaddr_reg:x9; val_offset:1742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1742*FLEN/8, x10, x6, x7) + +inst_895:// fs1 == 1 and fe1 == 0x80 and fm1 == 0x14fd1d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc014fd1d; op2val:0x7f0; +valaddr_reg:x9; val_offset:1744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1744*FLEN/8, x10, x6, x7) + +inst_896:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x14fd1d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc014fd1d; +valaddr_reg:x9; val_offset:1746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1746*FLEN/8, x10, x6, x7) + +inst_897:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0x80 and fm2 == 0x14fd1d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0xc014fd1d; +valaddr_reg:x9; val_offset:1748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1748*FLEN/8, x10, x6, x7) + +inst_898:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1750*FLEN/8, x10, x6, x7) + +inst_899:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x0fbbb6 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe8fbbb6; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1752*FLEN/8, x10, x6, x7) + +inst_900:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x0fbbb6 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0xfe8fbbb6; +valaddr_reg:x9; val_offset:1754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1754*FLEN/8, x10, x6, x7) + +inst_901:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1756*FLEN/8, x10, x6, x7) + +inst_902:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1758*FLEN/8, x10, x6, x7) + +inst_903:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1760*FLEN/8, x10, x6, x7) + +inst_904:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1762*FLEN/8, x10, x6, x7) + +inst_905:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1764*FLEN/8, x10, x6, x7) + +inst_906:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x0fbbb6 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe8fbbb6; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1766*FLEN/8, x10, x6, x7) + +inst_907:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1768*FLEN/8, x10, x6, x7) + +inst_908:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1770*FLEN/8, x10, x6, x7) + +inst_909:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1772*FLEN/8, x10, x6, x7) + +inst_910:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1774*FLEN/8, x10, x6, x7) + +inst_911:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1776*FLEN/8, x10, x6, x7) + +inst_912:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1778*FLEN/8, x10, x6, x7) + +inst_913:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1780*FLEN/8, x10, x6, x7) + +inst_914:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1782*FLEN/8, x10, x6, x7) + +inst_915:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0xff130229; +valaddr_reg:x9; val_offset:1784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1784*FLEN/8, x10, x6, x7) + +inst_916:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xff130229; +valaddr_reg:x9; val_offset:1786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1786*FLEN/8, x10, x6, x7) + +inst_917:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1788*FLEN/8, x10, x6, x7) + +inst_918:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1790*FLEN/8, x10, x6, x7) + +inst_919:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1792*FLEN/8, x10, x6, x7) + +inst_920:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x0fbbb6 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe8fbbb6; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1794*FLEN/8, x10, x6, x7) + +inst_921:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1796*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_7) + +inst_922:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1798*FLEN/8, x10, x6, x7) + +inst_923:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x680514 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x80680514; +valaddr_reg:x9; val_offset:1800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1800*FLEN/8, x10, x6, x7) + +inst_924:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1802*FLEN/8, x10, x6, x7) + +inst_925:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x010a4a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80010a4a; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1804*FLEN/8, x10, x6, x7) + +inst_926:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x010a4a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x80010a4a; +valaddr_reg:x9; val_offset:1806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1806*FLEN/8, x10, x6, x7) + +inst_927:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1808*FLEN/8, x10, x6, x7) + +inst_928:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1810*FLEN/8, x10, x6, x7) + +inst_929:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1812*FLEN/8, x10, x6, x7) + +inst_930:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1814*FLEN/8, x10, x6, x7) + +inst_931:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1816*FLEN/8, x10, x6, x7) + +inst_932:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1818*FLEN/8, x10, x6, x7) + +inst_933:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1820*FLEN/8, x10, x6, x7) + +inst_934:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1822*FLEN/8, x10, x6, x7) + +inst_935:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1824*FLEN/8, x10, x6, x7) + +inst_936:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0363eb and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x800363eb; +valaddr_reg:x9; val_offset:1826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1826*FLEN/8, x10, x6, x7) + +inst_937:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0363eb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x680514 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800363eb; op2val:0x80680514; +valaddr_reg:x9; val_offset:1828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1828*FLEN/8, x10, x6, x7) + +inst_938:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1830*FLEN/8, x10, x6, x7) + +inst_939:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1832*FLEN/8, x10, x6, x7) + +inst_940:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x056fa1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x80056fa1; +valaddr_reg:x9; val_offset:1834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1834*FLEN/8, x10, x6, x7) + +inst_941:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x056fa1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x680514 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80056fa1; op2val:0x80680514; +valaddr_reg:x9; val_offset:1836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1836*FLEN/8, x10, x6, x7) + +inst_942:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1838*FLEN/8, x10, x6, x7) + +inst_943:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1840*FLEN/8, x10, x6, x7) + +inst_944:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x01a7ee and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x8001a7ee; +valaddr_reg:x9; val_offset:1842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1842*FLEN/8, x10, x6, x7) + +inst_945:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x01a7ee and fs2 == 1 and fe2 == 0x00 and fm2 == 0x680514 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8001a7ee; op2val:0x80680514; +valaddr_reg:x9; val_offset:1844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1844*FLEN/8, x10, x6, x7) + +inst_946:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1846*FLEN/8, x10, x6, x7) + +inst_947:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x7f0; +valaddr_reg:x9; val_offset:1848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1848*FLEN/8, x10, x6, x7) + +inst_948:// fs1 == 1 and fe1 == 0x82 and fm1 == 0x18d7ea and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc118d7ea; op2val:0x7f0; +valaddr_reg:x9; val_offset:1850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1850*FLEN/8, x10, x6, x7) + +inst_949:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x82 and fm2 == 0x18d7ea and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc118d7ea; +valaddr_reg:x9; val_offset:1852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1852*FLEN/8, x10, x6, x7) + +inst_950:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0x82 and fm2 == 0x18d7ea and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0xc118d7ea; +valaddr_reg:x9; val_offset:1854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1854*FLEN/8, x10, x6, x7) + +inst_951:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1856*FLEN/8, x10, x6, x7) + +inst_952:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x3b633c and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdbb633c; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1858*FLEN/8, x10, x6, x7) + +inst_953:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x3b633c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0xfdbb633c; +valaddr_reg:x9; val_offset:1860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1860*FLEN/8, x10, x6, x7) + +inst_954:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1862*FLEN/8, x10, x6, x7) + +inst_955:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1864*FLEN/8, x10, x6, x7) + +inst_956:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1866*FLEN/8, x10, x6, x7) + +inst_957:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6a3c0b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0xff6a3c0b; +valaddr_reg:x9; val_offset:1868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1868*FLEN/8, x10, x6, x7) + +inst_958:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1870*FLEN/8, x10, x6, x7) + +inst_959:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x3b633c and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdbb633c; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1872*FLEN/8, x10, x6, x7) + +inst_960:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1874*FLEN/8, x10, x6, x7) + +inst_961:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1876*FLEN/8, x10, x6, x7) + +inst_962:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1878*FLEN/8, x10, x6, x7) + +inst_963:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1880*FLEN/8, x10, x6, x7) + +inst_964:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1882*FLEN/8, x10, x6, x7) + +inst_965:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1884*FLEN/8, x10, x6, x7) + +inst_966:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1886*FLEN/8, x10, x6, x7) + +inst_967:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1888*FLEN/8, x10, x6, x7) + +inst_968:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0xff130229; +valaddr_reg:x9; val_offset:1890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1890*FLEN/8, x10, x6, x7) + +inst_969:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0xff130229; +valaddr_reg:x9; val_offset:1892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1892*FLEN/8, x10, x6, x7) + +inst_970:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1894*FLEN/8, x10, x6, x7) + +inst_971:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1896*FLEN/8, x10, x6, x7) + +inst_972:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1898*FLEN/8, x10, x6, x7) + +inst_973:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x3b633c and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdbb633c; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1900*FLEN/8, x10, x6, x7) + +inst_974:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1902*FLEN/8, x10, x6, x7) + +inst_975:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0056ca and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800056ca; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1904*FLEN/8, x10, x6, x7) + +inst_976:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0056ca and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x800056ca; +valaddr_reg:x9; val_offset:1906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1906*FLEN/8, x10, x6, x7) + +inst_977:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1908*FLEN/8, x10, x6, x7) + +inst_978:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0363eb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800363eb; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1910*FLEN/8, x10, x6, x7) + +inst_979:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0363eb and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x800363eb; +valaddr_reg:x9; val_offset:1912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1912*FLEN/8, x10, x6, x7) + +inst_980:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1914*FLEN/8, x10, x6, x7) + +inst_981:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1916*FLEN/8, x10, x6, x7) + +inst_982:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1918*FLEN/8, x10, x6, x7) + +inst_983:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1920*FLEN/8, x10, x6, x7) + +inst_984:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x7f0; +valaddr_reg:x9; val_offset:1922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1922*FLEN/8, x10, x6, x7) + +inst_985:// fs1 == 1 and fe1 == 0x80 and fm1 == 0x4743c4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc04743c4; op2val:0x7f0; +valaddr_reg:x9; val_offset:1924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1924*FLEN/8, x10, x6, x7) + +inst_986:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x4743c4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc04743c4; +valaddr_reg:x9; val_offset:1926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1926*FLEN/8, x10, x6, x7) + +inst_987:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x4743c4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0xc04743c4; +valaddr_reg:x9; val_offset:1928*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1928*FLEN/8, x10, x6, x7) + +inst_988:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1930*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1930*FLEN/8, x10, x6, x7) + +inst_989:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x163ab8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe163ab8; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1932*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1932*FLEN/8, x10, x6, x7) + +inst_990:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0xfc and fm2 == 0x163ab8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0xfe163ab8; +valaddr_reg:x9; val_offset:1934*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1934*FLEN/8, x10, x6, x7) + +inst_991:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1936*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1936*FLEN/8, x10, x6, x7) + +inst_992:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1938*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1938*FLEN/8, x10, x6, x7) + +inst_993:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1940*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1940*FLEN/8, x10, x6, x7) + +inst_994:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1942*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1942*FLEN/8, x10, x6, x7) + +inst_995:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x163ab8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe163ab8; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1944*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1944*FLEN/8, x10, x6, x7) + +inst_996:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1946*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1946*FLEN/8, x10, x6, x7) + +inst_997:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1948*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1948*FLEN/8, x10, x6, x7) + +inst_998:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1950*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1950*FLEN/8, x10, x6, x7) + +inst_999:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1952*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1952*FLEN/8, x10, x6, x7) + +inst_1000:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0xff130229; +valaddr_reg:x9; val_offset:1954*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1954*FLEN/8, x10, x6, x7) + +inst_1001:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1956*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1956*FLEN/8, x10, x6, x7) + +inst_1002:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1958*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1958*FLEN/8, x10, x6, x7) + +inst_1003:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x163ab8 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe163ab8; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1960*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1960*FLEN/8, x10, x6, x7) + +inst_1004:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1962*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1962*FLEN/8, x10, x6, x7) + +inst_1005:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x008b29 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80008b29; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1964*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1964*FLEN/8, x10, x6, x7) + +inst_1006:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x008b29 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x80008b29; +valaddr_reg:x9; val_offset:1966*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1966*FLEN/8, x10, x6, x7) + +inst_1007:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1968*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1968*FLEN/8, x10, x6, x7) + +inst_1008:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x056fa1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80056fa1; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1970*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1970*FLEN/8, x10, x6, x7) + +inst_1009:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x056fa1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x80056fa1; +valaddr_reg:x9; val_offset:1972*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1972*FLEN/8, x10, x6, x7) + +inst_1010:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1974*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1974*FLEN/8, x10, x6, x7) + +inst_1011:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1976*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1976*FLEN/8, x10, x6, x7) + +inst_1012:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x7f0; +valaddr_reg:x9; val_offset:1978*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1978*FLEN/8, x10, x6, x7) + +inst_1013:// fs1 == 1 and fe1 == 0x81 and fm1 == 0x1fc053 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc09fc053; op2val:0x7f0; +valaddr_reg:x9; val_offset:1980*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1980*FLEN/8, x10, x6, x7) + +inst_1014:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x1fc053 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc09fc053; +valaddr_reg:x9; val_offset:1982*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1982*FLEN/8, x10, x6, x7) + +inst_1015:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0x81 and fm2 == 0x1fc053 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0xc09fc053; +valaddr_reg:x9; val_offset:1984*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1984*FLEN/8, x10, x6, x7) + +inst_1016:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1986*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1986*FLEN/8, x10, x6, x7) + +inst_1017:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x370ed0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd370ed0; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1988*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1988*FLEN/8, x10, x6, x7) + +inst_1018:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x370ed0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0xfd370ed0; +valaddr_reg:x9; val_offset:1990*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1990*FLEN/8, x10, x6, x7) + +inst_1019:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1992*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1992*FLEN/8, x10, x6, x7) + +inst_1020:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1994*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1994*FLEN/8, x10, x6, x7) + +inst_1021:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1996*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1996*FLEN/8, x10, x6, x7) + +inst_1022:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64d284 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0xfee4d284; +valaddr_reg:x9; val_offset:1998*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1998*FLEN/8, x10, x6, x7) + +inst_1023:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:2000*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2000*FLEN/8, x10, x6, x7) + +inst_1024:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x370ed0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd370ed0; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:2002*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2002*FLEN/8, x10, x6, x7) + +inst_1025:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:2004*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2004*FLEN/8, x10, x6, x7) + +inst_1026:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:2006*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2006*FLEN/8, x10, x6, x7) + +inst_1027:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:2008*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2008*FLEN/8, x10, x6, x7) + +inst_1028:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:2010*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2010*FLEN/8, x10, x6, x7) + +inst_1029:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:2012*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2012*FLEN/8, x10, x6, x7) + +inst_1030:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:2014*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2014*FLEN/8, x10, x6, x7) + +inst_1031:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:2016*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2016*FLEN/8, x10, x6, x7) + +inst_1032:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:2018*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2018*FLEN/8, x10, x6, x7) + +inst_1033:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0xff130229; +valaddr_reg:x9; val_offset:2020*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2020*FLEN/8, x10, x6, x7) + +inst_1034:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0xff130229; +valaddr_reg:x9; val_offset:2022*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2022*FLEN/8, x10, x6, x7) + +inst_1035:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0xfec91492; +valaddr_reg:x9; val_offset:2024*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2024*FLEN/8, x10, x6, x7) + +inst_1036:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0xfec91492; +valaddr_reg:x9; val_offset:2026*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2026*FLEN/8, x10, x6, x7) + +inst_1037:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:2028*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2028*FLEN/8, x10, x6, x7) + +inst_1038:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x370ed0 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd370ed0; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:2030*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2030*FLEN/8, x10, x6, x7) + +inst_1039:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0xd7bf; +valaddr_reg:x9; val_offset:2032*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2032*FLEN/8, x10, x6, x7) + +inst_1040:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x002a64 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80002a64; op2val:0xd7bf; +valaddr_reg:x9; val_offset:2034*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2034*FLEN/8, x10, x6, x7) + +inst_1041:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x002a64 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x80002a64; +valaddr_reg:x9; val_offset:2036*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2036*FLEN/8, x10, x6, x7) + +inst_1042:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:2038*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2038*FLEN/8, x10, x6, x7) + +inst_1043:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x01a7ee and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8001a7ee; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:2040*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2040*FLEN/8, x10, x6, x7) + +inst_1044:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x01a7ee and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x8001a7ee; +valaddr_reg:x9; val_offset:2042*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2042*FLEN/8, x10, x6, x7) + +inst_1045:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x7f0; +valaddr_reg:x9; val_offset:2044*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2044*FLEN/8, x10, x6, x7) + +inst_1046:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x42a917 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbfc2a917; op2val:0x7f0; +valaddr_reg:x9; val_offset:2046*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2046*FLEN/8, x10, x6, x7) + +inst_1047:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x42a917 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xbfc2a917; +valaddr_reg:x9; val_offset:2048*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2048*FLEN/8, x10, x6, x7) + +inst_1048:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x42a917 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0xbfc2a917; +valaddr_reg:x9; val_offset:2050*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2050*FLEN/8, x10, x6, x7) + +inst_1049:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:2052*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2052*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_8) + +inst_1050:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7f0; +valaddr_reg:x9; val_offset:2054*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2054*FLEN/8, x10, x6, x7) + +inst_1051:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:2056*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2056*FLEN/8, x10, x6, x7) + +inst_1052:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:2058*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2058*FLEN/8, x10, x6, x7) + +inst_1053:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:2060*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2060*FLEN/8, x10, x6, x7) + +inst_1054:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:2062*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2062*FLEN/8, x10, x6, x7) + +inst_1055:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:2064*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2064*FLEN/8, x10, x6, x7) + +inst_1056:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:2066*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2066*FLEN/8, x10, x6, x7) + +inst_1057:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xff130229; +valaddr_reg:x9; val_offset:2068*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2068*FLEN/8, x10, x6, x7) + +inst_1058:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xfec91492; +valaddr_reg:x9; val_offset:2070*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2070*FLEN/8, x10, x6, x7) + +inst_1059:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:2072*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2072*FLEN/8, x10, x6, x7) + +inst_1060:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x17ad58; +valaddr_reg:x9; val_offset:2074*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2074*FLEN/8, x10, x6, x7) + +inst_1061:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xd7bf; +valaddr_reg:x9; val_offset:2076*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2076*FLEN/8, x10, x6, x7) + +inst_1062:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:2078*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2078*FLEN/8, x10, x6, x7) + +inst_1063:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x28e67d; +valaddr_reg:x9; val_offset:2080*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2080*FLEN/8, x10, x6, x7) + +inst_1064:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x217bcd; +valaddr_reg:x9; val_offset:2082*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2082*FLEN/8, x10, x6, x7) + +inst_1065:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x8019595f; +valaddr_reg:x9; val_offset:2084*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2084*FLEN/8, x10, x6, x7) + +inst_1066:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:2086*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2086*FLEN/8, x10, x6, x7) + +inst_1067:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x8021e733; +valaddr_reg:x9; val_offset:2088*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2088*FLEN/8, x10, x6, x7) + +inst_1068:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:2090*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2090*FLEN/8, x10, x6, x7) + +inst_1069:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x80108f54; +valaddr_reg:x9; val_offset:2092*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2092*FLEN/8, x10, x6, x7) + +inst_1070:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:2094*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2094*FLEN/8, x10, x6, x7) + +inst_1071:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:2096*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2096*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2101360805,32,FLEN) +NAN_BOXED(2101360805,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2105572096,32,FLEN) +NAN_BOXED(2105572096,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2101544824,32,FLEN) +NAN_BOXED(2101544824,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4245422917,32,FLEN) +NAN_BOXED(4245422917,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4254042342,32,FLEN) +NAN_BOXED(4254042342,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +test_dataset_1: +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4251661993,32,FLEN) +NAN_BOXED(4251661993,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4246789441,32,FLEN) +NAN_BOXED(4246789441,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(2105728500,32,FLEN) +NAN_BOXED(2105728500,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(43339,32,FLEN) +NAN_BOXED(2120804116,32,FLEN) +NAN_BOXED(2120804116,32,FLEN) +NAN_BOXED(43339,32,FLEN) +NAN_BOXED(43339,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(43339,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(2117081393,32,FLEN) +NAN_BOXED(2117081393,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(2111967220,32,FLEN) +NAN_BOXED(2111967220,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(2109280668,32,FLEN) +NAN_BOXED(2109280668,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4253817787,32,FLEN) +NAN_BOXED(4253817787,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(433393,32,FLEN) +NAN_BOXED(4270832566,32,FLEN) +NAN_BOXED(4270832566,32,FLEN) +NAN_BOXED(433393,32,FLEN) +NAN_BOXED(433393,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(433393,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4256916284,32,FLEN) +NAN_BOXED(4256916284,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4262869688,32,FLEN) +NAN_BOXED(4262869688,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4248243920,32,FLEN) +NAN_BOXED(4248243920,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1086478115,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1086478115,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(1086478115,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2101360805,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2101360805,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2101360805,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2101360805,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(2101360805,32,FLEN) +NAN_BOXED(2101360805,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(11395,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(11395,32,FLEN) +NAN_BOXED(11395,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(11395,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(113959,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(113959,32,FLEN) +NAN_BOXED(113959,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(113959,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1070360475,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1070360475,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(1070360475,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(2105728500,32,FLEN) +NAN_BOXED(2105728500,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(47234,32,FLEN) +NAN_BOXED(2120804116,32,FLEN) +NAN_BOXED(2120804116,32,FLEN) +NAN_BOXED(47234,32,FLEN) +NAN_BOXED(47234,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(47234,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(2117081393,32,FLEN) +NAN_BOXED(2117081393,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(2111967220,32,FLEN) +NAN_BOXED(2111967220,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(2109280668,32,FLEN) +NAN_BOXED(2109280668,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4253817787,32,FLEN) +NAN_BOXED(4253817787,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(472349,32,FLEN) +NAN_BOXED(4270832566,32,FLEN) +NAN_BOXED(4270832566,32,FLEN) +NAN_BOXED(472349,32,FLEN) +NAN_BOXED(472349,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(472349,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4256916284,32,FLEN) +NAN_BOXED(4256916284,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4262869688,32,FLEN) +NAN_BOXED(4262869688,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4248243920,32,FLEN) +NAN_BOXED(4248243920,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1087622914,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1087622914,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(1087622914,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2105572096,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2105572096,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2105572096,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2105572096,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(2105572096,32,FLEN) +NAN_BOXED(2105572096,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(15234,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(15234,32,FLEN) +NAN_BOXED(15234,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(15234,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(152340,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(152340,32,FLEN) +NAN_BOXED(152340,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(152340,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1074306998,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1074306998,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(1074306998,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2101544824,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2101544824,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2101544824,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2101544824,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(2101544824,32,FLEN) +NAN_BOXED(2101544824,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(11562,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(11562,32,FLEN) +NAN_BOXED(11562,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(11562,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(115624,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(115624,32,FLEN) +NAN_BOXED(115624,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(115624,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1070556158,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1070556158,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(1070556158,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4245422917,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4245422917,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4245422917,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4245422917,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4245422917,32,FLEN) +NAN_BOXED(4245422917,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2147491948,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147491948,32,FLEN) +NAN_BOXED(2147491948,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2147491948,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2147566655,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147566655,32,FLEN) +NAN_BOXED(2147566655,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2147566655,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3214205722,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3214205722,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(3214205722,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4254042342,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4254042342,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4254042342,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4254042342,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4254042342,32,FLEN) +NAN_BOXED(4254042342,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2147500667,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147500667,32,FLEN) +NAN_BOXED(2147500667,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2147500667,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2147653838,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147653838,32,FLEN) +NAN_BOXED(2147653838,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2147653838,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3222839776,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3222839776,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(3222839776,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4251661993,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4251661993,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4251661993,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4251661993,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4251661993,32,FLEN) +NAN_BOXED(4251661993,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2147497592,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147497592,32,FLEN) +NAN_BOXED(2147497592,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2147497592,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2147623095,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147623095,32,FLEN) +NAN_BOXED(2147623095,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2147623095,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3220840245,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3220840245,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(3220840245,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4246789441,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4246789441,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4246789441,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4246789441,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4246789441,32,FLEN) +NAN_BOXED(4246789441,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2147493184,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147493184,32,FLEN) +NAN_BOXED(2147493184,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2147493184,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2147579017,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147579017,32,FLEN) +NAN_BOXED(2147579017,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2147579017,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3215658860,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3215658860,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(3215658860,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2105728500,32,FLEN) +NAN_BOXED(2105728500,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2147507680,32,FLEN) +NAN_BOXED(2120804116,32,FLEN) +NAN_BOXED(2120804116,32,FLEN) +NAN_BOXED(2147507680,32,FLEN) +NAN_BOXED(2147507680,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2147507680,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2117081393,32,FLEN) +NAN_BOXED(2117081393,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2111967220,32,FLEN) +NAN_BOXED(2111967220,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2109280668,32,FLEN) +NAN_BOXED(2109280668,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(4253817787,32,FLEN) +NAN_BOXED(4253817787,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2147723970,32,FLEN) +NAN_BOXED(4270832566,32,FLEN) +NAN_BOXED(4270832566,32,FLEN) +NAN_BOXED(2147723970,32,FLEN) +NAN_BOXED(2147723970,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2147723970,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(4256916284,32,FLEN) +NAN_BOXED(4256916284,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(4262869688,32,FLEN) +NAN_BOXED(4262869688,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(4248243920,32,FLEN) +NAN_BOXED(4248243920,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3226961765,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3226961765,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(3226961765,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2105728500,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2105728500,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2105728500,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2105728500,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(15517,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(15517,32,FLEN) +NAN_BOXED(15517,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(15517,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(155170,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(155170,32,FLEN) +NAN_BOXED(155170,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(155170,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1074473315,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1074473315,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1074473315,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2120804116,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2120804116,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2120804116,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2120804116,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(41760,32,FLEN) +NAN_BOXED(41760,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(26804,32,FLEN) +NAN_BOXED(26804,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(21943,32,FLEN) +NAN_BOXED(21943,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(2147500260,32,FLEN) +NAN_BOXED(2147500260,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(552310,32,FLEN) +NAN_BOXED(2147551818,32,FLEN) +NAN_BOXED(2147551818,32,FLEN) +NAN_BOXED(552310,32,FLEN) +NAN_BOXED(552310,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(552310,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(2147505866,32,FLEN) +NAN_BOXED(2147505866,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(2147519273,32,FLEN) +NAN_BOXED(2147519273,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(2147494500,32,FLEN) +NAN_BOXED(2147494500,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1089972769,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1089972769,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(1089972769,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2117081393,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2117081393,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2117081393,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2117081393,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(41760,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(41760,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(417603,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(417603,32,FLEN) +NAN_BOXED(417603,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(417603,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1086014092,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1086014092,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(1086014092,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2111967220,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2111967220,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2111967220,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2111967220,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(26804,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(26804,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(268044,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(268044,32,FLEN) +NAN_BOXED(268044,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(268044,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1081107461,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1081107461,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(1081107461,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2109280668,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2109280668,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2109280668,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2109280668,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(21943,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(21943,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(219438,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(219438,32,FLEN) +NAN_BOXED(219438,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(219438,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1078250628,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1078250628,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(1078250628,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4253817787,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4253817787,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4253817787,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4253817787,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2147500260,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2147500260,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2147649775,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2147649775,32,FLEN) +NAN_BOXED(2147649775,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2147649775,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3222600989,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3222600989,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(3222600989,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4270832566,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4270832566,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4270832566,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4270832566,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2147551818,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2147551818,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2147705835,32,FLEN) +NAN_BOXED(2147705835,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2147839905,32,FLEN) +NAN_BOXED(2147839905,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2147592174,32,FLEN) +NAN_BOXED(2147592174,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3239630826,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3239630826,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(3239630826,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4256916284,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4256916284,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4256916284,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4256916284,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2147505866,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2147505866,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2147705835,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2147705835,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3225895876,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3225895876,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(3225895876,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4262869688,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4262869688,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4262869688,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4262869688,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2147519273,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2147519273,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2147839905,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2147839905,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3231694931,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3231694931,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(3231694931,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4248243920,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4248243920,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4248243920,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4248243920,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2147494500,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2147494500,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2147592174,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2147592174,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3217205527,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3217205527,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(3217205527,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_5: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_6: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_7: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_8: + .fill 44*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/F_Zfa/src/fli.s-01.S b/riscv-test-suite/rv32i_m/F_Zfa/src/fli.s-01.S new file mode 100644 index 000000000..1558be873 --- /dev/null +++ b/riscv-test-suite/rv32i_m/F_Zfa/src/fli.s-01.S @@ -0,0 +1,204 @@ +// Copyright (c) 2023. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fli.s instruction +// for the following ISA configurations: +// * RV32IF_Zfa +// * RV64IF_Zfa + +#include "model_test.h" +#include "arch_test.h" + +RVTEST_ISA("RV32IF_Zfa,RV64IF_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: + +RVMODEL_BOOT + +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*);def TEST_CASE_1=True;",fli.s) + +// Registers with a special purpose +#define SIG_BASEREG x1 +#define FCSR_REG x2 +#define DATA_BASEREG x3 + +// Initialize the FPU +RVTEST_FP_ENABLE() +// Prepare the DATA_BASEREG register +RVTEST_VALBASEUPD(DATA_BASEREG, dataset_tc1) +// Prepare the SIG_BASEREG register +RVTEST_SIGBASE(SIG_BASEREG, signature_tc1) + +// FLI.S loads a pre-defined constant into a FP register. +// FLI.S has the following inputs and outputs: +// - input rs1: 5-bit immediate holding the constants ID +// - output fld: FP register + +// TEST_CASE_FLI_S executes a FLI.S insn and stores the result in the sig +// 1) the FCSR_OLD value will be store into FCSR using FCSR_REG +// 2) fli.s is executed using FLD as dest register and FLI_CONST as constant +// 3) The constents of FLD and FCSR are stored in the signature +#define TEST_CASE_FLI_S(fld, fli_const, fcsr_old, fcsr_reg) \ + li fcsr_reg, fcsr_old ;\ + csrw fcsr, fcsr_reg ;\ + fli.s fld, fli_const ;\ + csrr fcsr_reg, fcsr ;\ + RVTEST_SIGUPD_F(SIG_BASEREG, fld, fcsr_reg) ;\ + +// Below we have one instruction test per constant + +inst_0: +TEST_CASE_FLI_S(f16, -0x1p+0, 0, FCSR_REG) + +inst_1: +TEST_CASE_FLI_S(f17, min, 0, FCSR_REG) + +inst_2: +TEST_CASE_FLI_S(f18, 0x1p-16, 0, FCSR_REG) + +inst_3: +TEST_CASE_FLI_S(f19, 0x1p-15, 0, FCSR_REG) + +inst_4: +TEST_CASE_FLI_S(f20, 0x1p-8, 0, FCSR_REG) + +inst_5: +TEST_CASE_FLI_S(f21, 0x1p-7, 0, FCSR_REG) + +inst_6: +TEST_CASE_FLI_S(f22, 0x1p-4, 0, FCSR_REG) + +inst_7: +TEST_CASE_FLI_S(f23, 0x1p-3, 0, FCSR_REG) + +inst_8: +TEST_CASE_FLI_S(f24, 0x1p-2, 0, FCSR_REG) + +inst_9: +TEST_CASE_FLI_S(f25, 0x1.4p-2, 0, FCSR_REG) + +inst_10: +TEST_CASE_FLI_S(f26, 0x1.8p-2, 0, FCSR_REG) + +inst_11: +TEST_CASE_FLI_S(f27, 0x1.cp-2, 0, FCSR_REG) + +inst_12: +TEST_CASE_FLI_S(f28, 0x1p-1, 0, FCSR_REG) + +inst_13: +TEST_CASE_FLI_S(f29, 0x1.4p-1, 0, FCSR_REG) + +inst_14: +TEST_CASE_FLI_S(f30, 0x1.8p-1, 0, FCSR_REG) + +inst_15: +TEST_CASE_FLI_S(f31, 0x1.cp-1, 0, FCSR_REG) + +inst_16: +TEST_CASE_FLI_S(f0, 0x1p0, 0, FCSR_REG) + +inst_17: +TEST_CASE_FLI_S(f1, 0x1.4p+0, 0, FCSR_REG) + +inst_18: +TEST_CASE_FLI_S(f2, 0x1.8p+0, 0, FCSR_REG) + +inst_19: +TEST_CASE_FLI_S(f3, 0x1.cp+0, 0, FCSR_REG) + +inst_20: +TEST_CASE_FLI_S(f4, 0x1p+1, 0, FCSR_REG) + +inst_21: +TEST_CASE_FLI_S(f5, 0x1.4p+1, 0, FCSR_REG) + +inst_22: +TEST_CASE_FLI_S(f6, 0x1.8p+1, 0, FCSR_REG) + +inst_23: +TEST_CASE_FLI_S(f7, 0x1p+2, 0, FCSR_REG) + +inst_24: +TEST_CASE_FLI_S(f8, 0x1p+3, 0, FCSR_REG) + +inst_25: +TEST_CASE_FLI_S(f9, 0x1p+4, 0, FCSR_REG) + +inst_26: +TEST_CASE_FLI_S(f10, 0x1p+7, 0, FCSR_REG) + +inst_27: +TEST_CASE_FLI_S(f11, 0x1p+8, 0, FCSR_REG) + +inst_28: +TEST_CASE_FLI_S(f12, 0x1p+15, 0, FCSR_REG) + +inst_29: +TEST_CASE_FLI_S(f13, 0x1p+16, 0, FCSR_REG) + +inst_30: +TEST_CASE_FLI_S(f14, inf, 0, FCSR_REG) + +inst_31: +TEST_CASE_FLI_S(f15, nan, 0, FCSR_REG) + +#endif // TEST_CASE_1 + +RVTEST_CODE_END + +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.word 0xbabecafe // trapreg_sv +.word 0xabecafeb // tramptbl_sv +.word 0xbecafeba // mtvec_save +.word 0xecafebab // mscratch_save +dataset_tc1: +/* empty */ +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + +signature_tc1: +// We have 32 test cases and store for each test case: +// - 32-bit FP register (fld) +// - 32-bit FCSR content after the instruction + .fill 64*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +tsig_begin_canary: +CANARY; +tsig_begin_canary: +CANARY; +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef +tsig_end_canary: +CANARY; +tsig_end_canary: +CANARY; + +#endif // rvtest_mtrap_routine + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif // rvtest_gpr_save + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/F_Zfa/src/fltq_b1-01.S b/riscv-test-suite/rv32i_m/F_Zfa/src/fltq_b1-01.S new file mode 100644 index 000000000..dcb6660be --- /dev/null +++ b/riscv-test-suite/rv32i_m/F_Zfa/src/fltq_b1-01.S @@ -0,0 +1,4740 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:42:35 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fltq.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fltq.s instruction of the RISC-V RV32F_Zicsr_Zfa,RV32FD_Zicsr_Zfa,RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fltq_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr_Zfa,RV32IFD_Zicsr_Zfa,RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fltq_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 != rs2, rs1==f31, rs2==f30, rd==x31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x0; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 == rs2, rs1==f29, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f29; op2:f29; dest:x30; op1val:0x0; op2val:0x0; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x30, f29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f30, rs2==f31, rd==x29,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f30; op2:f31; dest:x29; op1val:0x0; op2val:0x1; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x29, f30, f31, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f28; op2:f27; dest:x28; op1val:0x0; op2val:0x80000001; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f27; op2:f28; dest:x27; op1val:0x0; op2val:0x2; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f26; op2:f25; dest:x26; op1val:0x0; op2val:0x807ffffe; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f25; op2:f26; dest:x25; op1val:0x0; op2val:0x7fffff; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f24; op2:f23; dest:x24; op1val:0x0; op2val:0x807fffff; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f23; op2:f24; dest:x23; op1val:0x0; op2val:0x800000; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f22; op2:f21; dest:x22; op1val:0x0; op2val:0x80800000; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f21; op2:f22; dest:x21; op1val:0x0; op2val:0x800001; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f20; op2:f19; dest:x20; op1val:0x0; op2val:0x80855555; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f19; op2:f20; dest:x19; op1val:0x0; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f18; op2:f17; dest:x18; op1val:0x0; op2val:0xff7fffff; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f17; op2:f18; dest:x17; op1val:0x0; op2val:0x7f800000; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f16; op2:f15; dest:x16; op1val:0x0; op2val:0xff800000; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f15; op2:f16; dest:x15; op1val:0x0; op2val:0x7fc00000; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f14; op2:f13; dest:x14; op1val:0x0; op2val:0xffc00000; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f13; op2:f14; dest:x13; op1val:0x0; op2val:0x7fc00001; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f12; op2:f11; dest:x12; op1val:0x0; op2val:0xffc55555; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f11; op2:f12; dest:x11; op1val:0x0; op2val:0x7f800001; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f10; op2:f9; dest:x10; op1val:0x0; op2val:0xffaaaaaa; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f9; op2:f10; dest:x9; op1val:0x0; op2val:0x3f800000; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f8; op2:f7; dest:x8; op1val:0x0; op2val:0xbf800000; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f7; op2:f8; dest:x7; op1val:0x80000000; op2val:0x0; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f6; op2:f5; dest:x6; op1val:0x80000000; op2val:0x80000000; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f5; op2:f6; dest:x5; op1val:0x80000000; op2val:0x1; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f4; op2:f3; dest:x4; op1val:0x80000000; op2val:0x80000001; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f3; op2:f4; dest:x3; op1val:0x80000000; op2val:0x2; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f2; op2:f1; dest:x2; op1val:0x80000000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f1; op2:f2; dest:x1; op1val:0x80000000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f0; op2:f31; dest:x31; op1val:0x80000000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f0; dest:x31; op1val:0x80000000; op2val:0x800000; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x0; op1val:0x80000000; op2val:0x80800000; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) + +inst_34:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x800001; +valaddr_reg:x9; val_offset:22*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 22*FLEN/8, x10, x6, x7) + +inst_35:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x80855555; +valaddr_reg:x9; val_offset:24*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 24*FLEN/8, x10, x6, x7) + +inst_36:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:26*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 26*FLEN/8, x10, x6, x7) + +inst_37:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:28*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 28*FLEN/8, x10, x6, x7) + +inst_38:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:30*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 30*FLEN/8, x10, x6, x7) + +inst_39:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xff800000; +valaddr_reg:x9; val_offset:32*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 32*FLEN/8, x10, x6, x7) + +inst_40:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:34*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 34*FLEN/8, x10, x6, x7) + +inst_41:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:36*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 36*FLEN/8, x10, x6, x7) + +inst_42:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:38*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 38*FLEN/8, x10, x6, x7) + +inst_43:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:40*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 40*FLEN/8, x10, x6, x7) + +inst_44:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:42*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 42*FLEN/8, x10, x6, x7) + +inst_45:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:44*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 44*FLEN/8, x10, x6, x7) + +inst_46:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:46*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 46*FLEN/8, x10, x6, x7) + +inst_47:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:48*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 48*FLEN/8, x10, x6, x7) + +inst_48:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x0; +valaddr_reg:x9; val_offset:50*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 50*FLEN/8, x10, x6, x7) + +inst_49:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x80000000; +valaddr_reg:x9; val_offset:52*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 52*FLEN/8, x10, x6, x7) + +inst_50:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x1; +valaddr_reg:x9; val_offset:54*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 54*FLEN/8, x10, x6, x7) + +inst_51:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x80000001; +valaddr_reg:x9; val_offset:56*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 56*FLEN/8, x10, x6, x7) + +inst_52:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x2; +valaddr_reg:x9; val_offset:58*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 58*FLEN/8, x10, x6, x7) + +inst_53:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:60*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 60*FLEN/8, x10, x6, x7) + +inst_54:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7fffff; +valaddr_reg:x9; val_offset:62*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 62*FLEN/8, x10, x6, x7) + +inst_55:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x807fffff; +valaddr_reg:x9; val_offset:64*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 64*FLEN/8, x10, x6, x7) + +inst_56:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x800000; +valaddr_reg:x9; val_offset:66*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 66*FLEN/8, x10, x6, x7) + +inst_57:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x80800000; +valaddr_reg:x9; val_offset:68*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 68*FLEN/8, x10, x6, x7) + +inst_58:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x800001; +valaddr_reg:x9; val_offset:70*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 70*FLEN/8, x10, x6, x7) + +inst_59:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x80855555; +valaddr_reg:x9; val_offset:72*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 72*FLEN/8, x10, x6, x7) + +inst_60:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:74*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 74*FLEN/8, x10, x6, x7) + +inst_61:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:76*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 76*FLEN/8, x10, x6, x7) + +inst_62:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7f800000; +valaddr_reg:x9; val_offset:78*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 78*FLEN/8, x10, x6, x7) + +inst_63:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xff800000; +valaddr_reg:x9; val_offset:80*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 80*FLEN/8, x10, x6, x7) + +inst_64:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:82*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 82*FLEN/8, x10, x6, x7) + +inst_65:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xffc00000; +valaddr_reg:x9; val_offset:84*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 84*FLEN/8, x10, x6, x7) + +inst_66:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:86*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 86*FLEN/8, x10, x6, x7) + +inst_67:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xffc55555; +valaddr_reg:x9; val_offset:88*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 88*FLEN/8, x10, x6, x7) + +inst_68:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7f800001; +valaddr_reg:x9; val_offset:90*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 90*FLEN/8, x10, x6, x7) + +inst_69:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:92*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 92*FLEN/8, x10, x6, x7) + +inst_70:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x3f800000; +valaddr_reg:x9; val_offset:94*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 94*FLEN/8, x10, x6, x7) + +inst_71:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xbf800000; +valaddr_reg:x9; val_offset:96*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 96*FLEN/8, x10, x6, x7) + +inst_72:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x0; +valaddr_reg:x9; val_offset:98*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 98*FLEN/8, x10, x6, x7) + +inst_73:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x80000000; +valaddr_reg:x9; val_offset:100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 100*FLEN/8, x10, x6, x7) + +inst_74:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x1; +valaddr_reg:x9; val_offset:102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 102*FLEN/8, x10, x6, x7) + +inst_75:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x80000001; +valaddr_reg:x9; val_offset:104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 104*FLEN/8, x10, x6, x7) + +inst_76:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x2; +valaddr_reg:x9; val_offset:106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 106*FLEN/8, x10, x6, x7) + +inst_77:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 108*FLEN/8, x10, x6, x7) + +inst_78:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7fffff; +valaddr_reg:x9; val_offset:110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 110*FLEN/8, x10, x6, x7) + +inst_79:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x807fffff; +valaddr_reg:x9; val_offset:112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 112*FLEN/8, x10, x6, x7) + +inst_80:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x800000; +valaddr_reg:x9; val_offset:114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 114*FLEN/8, x10, x6, x7) + +inst_81:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x80800000; +valaddr_reg:x9; val_offset:116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 116*FLEN/8, x10, x6, x7) + +inst_82:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x800001; +valaddr_reg:x9; val_offset:118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 118*FLEN/8, x10, x6, x7) + +inst_83:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x80855555; +valaddr_reg:x9; val_offset:120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 120*FLEN/8, x10, x6, x7) + +inst_84:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 122*FLEN/8, x10, x6, x7) + +inst_85:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 124*FLEN/8, x10, x6, x7) + +inst_86:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7f800000; +valaddr_reg:x9; val_offset:126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 126*FLEN/8, x10, x6, x7) + +inst_87:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xff800000; +valaddr_reg:x9; val_offset:128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 128*FLEN/8, x10, x6, x7) + +inst_88:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 130*FLEN/8, x10, x6, x7) + +inst_89:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xffc00000; +valaddr_reg:x9; val_offset:132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 132*FLEN/8, x10, x6, x7) + +inst_90:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 134*FLEN/8, x10, x6, x7) + +inst_91:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xffc55555; +valaddr_reg:x9; val_offset:136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 136*FLEN/8, x10, x6, x7) + +inst_92:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7f800001; +valaddr_reg:x9; val_offset:138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 138*FLEN/8, x10, x6, x7) + +inst_93:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 140*FLEN/8, x10, x6, x7) + +inst_94:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x3f800000; +valaddr_reg:x9; val_offset:142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 142*FLEN/8, x10, x6, x7) + +inst_95:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xbf800000; +valaddr_reg:x9; val_offset:144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 144*FLEN/8, x10, x6, x7) + +inst_96:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x0; +valaddr_reg:x9; val_offset:146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 146*FLEN/8, x10, x6, x7) + +inst_97:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x80000000; +valaddr_reg:x9; val_offset:148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 148*FLEN/8, x10, x6, x7) + +inst_98:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x1; +valaddr_reg:x9; val_offset:150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 150*FLEN/8, x10, x6, x7) + +inst_99:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x80000001; +valaddr_reg:x9; val_offset:152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 152*FLEN/8, x10, x6, x7) + +inst_100:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x2; +valaddr_reg:x9; val_offset:154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 154*FLEN/8, x10, x6, x7) + +inst_101:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 156*FLEN/8, x10, x6, x7) + +inst_102:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7fffff; +valaddr_reg:x9; val_offset:158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 158*FLEN/8, x10, x6, x7) + +inst_103:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x807fffff; +valaddr_reg:x9; val_offset:160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 160*FLEN/8, x10, x6, x7) + +inst_104:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x800000; +valaddr_reg:x9; val_offset:162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 162*FLEN/8, x10, x6, x7) + +inst_105:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x80800000; +valaddr_reg:x9; val_offset:164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 164*FLEN/8, x10, x6, x7) + +inst_106:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x800001; +valaddr_reg:x9; val_offset:166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 166*FLEN/8, x10, x6, x7) + +inst_107:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x80855555; +valaddr_reg:x9; val_offset:168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 168*FLEN/8, x10, x6, x7) + +inst_108:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 170*FLEN/8, x10, x6, x7) + +inst_109:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 172*FLEN/8, x10, x6, x7) + +inst_110:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7f800000; +valaddr_reg:x9; val_offset:174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 174*FLEN/8, x10, x6, x7) + +inst_111:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xff800000; +valaddr_reg:x9; val_offset:176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 176*FLEN/8, x10, x6, x7) + +inst_112:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 178*FLEN/8, x10, x6, x7) + +inst_113:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xffc00000; +valaddr_reg:x9; val_offset:180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 180*FLEN/8, x10, x6, x7) + +inst_114:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 182*FLEN/8, x10, x6, x7) + +inst_115:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xffc55555; +valaddr_reg:x9; val_offset:184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 184*FLEN/8, x10, x6, x7) + +inst_116:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7f800001; +valaddr_reg:x9; val_offset:186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 186*FLEN/8, x10, x6, x7) + +inst_117:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 188*FLEN/8, x10, x6, x7) + +inst_118:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x3f800000; +valaddr_reg:x9; val_offset:190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 190*FLEN/8, x10, x6, x7) + +inst_119:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xbf800000; +valaddr_reg:x9; val_offset:192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 192*FLEN/8, x10, x6, x7) + +inst_120:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x0; +valaddr_reg:x9; val_offset:194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 194*FLEN/8, x10, x6, x7) + +inst_121:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x80000000; +valaddr_reg:x9; val_offset:196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 196*FLEN/8, x10, x6, x7) + +inst_122:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x1; +valaddr_reg:x9; val_offset:198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 198*FLEN/8, x10, x6, x7) + +inst_123:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x80000001; +valaddr_reg:x9; val_offset:200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 200*FLEN/8, x10, x6, x7) + +inst_124:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x2; +valaddr_reg:x9; val_offset:202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 202*FLEN/8, x10, x6, x7) + +inst_125:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 204*FLEN/8, x10, x6, x7) + +inst_126:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7fffff; +valaddr_reg:x9; val_offset:206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 206*FLEN/8, x10, x6, x7) + +inst_127:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x807fffff; +valaddr_reg:x9; val_offset:208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 208*FLEN/8, x10, x6, x7) + +inst_128:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x800000; +valaddr_reg:x9; val_offset:210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 210*FLEN/8, x10, x6, x7) + +inst_129:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x80800000; +valaddr_reg:x9; val_offset:212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 212*FLEN/8, x10, x6, x7) + +inst_130:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x800001; +valaddr_reg:x9; val_offset:214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 214*FLEN/8, x10, x6, x7) + +inst_131:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x80855555; +valaddr_reg:x9; val_offset:216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 216*FLEN/8, x10, x6, x7) + +inst_132:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 218*FLEN/8, x10, x6, x7) + +inst_133:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 220*FLEN/8, x10, x6, x7) + +inst_134:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7f800000; +valaddr_reg:x9; val_offset:222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 222*FLEN/8, x10, x6, x7) + +inst_135:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xff800000; +valaddr_reg:x9; val_offset:224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 224*FLEN/8, x10, x6, x7) + +inst_136:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 226*FLEN/8, x10, x6, x7) + +inst_137:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xffc00000; +valaddr_reg:x9; val_offset:228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 228*FLEN/8, x10, x6, x7) + +inst_138:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 230*FLEN/8, x10, x6, x7) + +inst_139:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xffc55555; +valaddr_reg:x9; val_offset:232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 232*FLEN/8, x10, x6, x7) + +inst_140:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7f800001; +valaddr_reg:x9; val_offset:234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 234*FLEN/8, x10, x6, x7) + +inst_141:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 236*FLEN/8, x10, x6, x7) + +inst_142:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x3f800000; +valaddr_reg:x9; val_offset:238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 238*FLEN/8, x10, x6, x7) + +inst_143:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xbf800000; +valaddr_reg:x9; val_offset:240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 240*FLEN/8, x10, x6, x7) + +inst_144:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x0; +valaddr_reg:x9; val_offset:242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 242*FLEN/8, x10, x6, x7) + +inst_145:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x80000000; +valaddr_reg:x9; val_offset:244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 244*FLEN/8, x10, x6, x7) + +inst_146:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x1; +valaddr_reg:x9; val_offset:246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 246*FLEN/8, x10, x6, x7) + +inst_147:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x80000001; +valaddr_reg:x9; val_offset:248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 248*FLEN/8, x10, x6, x7) + +inst_148:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x2; +valaddr_reg:x9; val_offset:250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 250*FLEN/8, x10, x6, x7) + +inst_149:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 252*FLEN/8, x10, x6, x7) + +inst_150:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7fffff; +valaddr_reg:x9; val_offset:254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 254*FLEN/8, x10, x6, x7) + +inst_151:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x807fffff; +valaddr_reg:x9; val_offset:256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 256*FLEN/8, x10, x6, x7) + +inst_152:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x800000; +valaddr_reg:x9; val_offset:258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 258*FLEN/8, x10, x6, x7) + +inst_153:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x80800000; +valaddr_reg:x9; val_offset:260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 260*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_1) + +inst_154:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x800001; +valaddr_reg:x9; val_offset:262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 262*FLEN/8, x10, x6, x7) + +inst_155:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x80855555; +valaddr_reg:x9; val_offset:264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 264*FLEN/8, x10, x6, x7) + +inst_156:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 266*FLEN/8, x10, x6, x7) + +inst_157:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 268*FLEN/8, x10, x6, x7) + +inst_158:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7f800000; +valaddr_reg:x9; val_offset:270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 270*FLEN/8, x10, x6, x7) + +inst_159:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xff800000; +valaddr_reg:x9; val_offset:272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 272*FLEN/8, x10, x6, x7) + +inst_160:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 274*FLEN/8, x10, x6, x7) + +inst_161:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xffc00000; +valaddr_reg:x9; val_offset:276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 276*FLEN/8, x10, x6, x7) + +inst_162:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 278*FLEN/8, x10, x6, x7) + +inst_163:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xffc55555; +valaddr_reg:x9; val_offset:280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 280*FLEN/8, x10, x6, x7) + +inst_164:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7f800001; +valaddr_reg:x9; val_offset:282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 282*FLEN/8, x10, x6, x7) + +inst_165:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 284*FLEN/8, x10, x6, x7) + +inst_166:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x3f800000; +valaddr_reg:x9; val_offset:286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 286*FLEN/8, x10, x6, x7) + +inst_167:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xbf800000; +valaddr_reg:x9; val_offset:288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 288*FLEN/8, x10, x6, x7) + +inst_168:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x0; +valaddr_reg:x9; val_offset:290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 290*FLEN/8, x10, x6, x7) + +inst_169:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x80000000; +valaddr_reg:x9; val_offset:292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 292*FLEN/8, x10, x6, x7) + +inst_170:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x1; +valaddr_reg:x9; val_offset:294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 294*FLEN/8, x10, x6, x7) + +inst_171:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x80000001; +valaddr_reg:x9; val_offset:296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 296*FLEN/8, x10, x6, x7) + +inst_172:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x2; +valaddr_reg:x9; val_offset:298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 298*FLEN/8, x10, x6, x7) + +inst_173:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 300*FLEN/8, x10, x6, x7) + +inst_174:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7fffff; +valaddr_reg:x9; val_offset:302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 302*FLEN/8, x10, x6, x7) + +inst_175:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x807fffff; +valaddr_reg:x9; val_offset:304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 304*FLEN/8, x10, x6, x7) + +inst_176:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x800000; +valaddr_reg:x9; val_offset:306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 306*FLEN/8, x10, x6, x7) + +inst_177:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x80800000; +valaddr_reg:x9; val_offset:308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 308*FLEN/8, x10, x6, x7) + +inst_178:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x800001; +valaddr_reg:x9; val_offset:310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 310*FLEN/8, x10, x6, x7) + +inst_179:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x80855555; +valaddr_reg:x9; val_offset:312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 312*FLEN/8, x10, x6, x7) + +inst_180:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 314*FLEN/8, x10, x6, x7) + +inst_181:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 316*FLEN/8, x10, x6, x7) + +inst_182:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7f800000; +valaddr_reg:x9; val_offset:318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 318*FLEN/8, x10, x6, x7) + +inst_183:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xff800000; +valaddr_reg:x9; val_offset:320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 320*FLEN/8, x10, x6, x7) + +inst_184:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 322*FLEN/8, x10, x6, x7) + +inst_185:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xffc00000; +valaddr_reg:x9; val_offset:324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 324*FLEN/8, x10, x6, x7) + +inst_186:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 326*FLEN/8, x10, x6, x7) + +inst_187:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xffc55555; +valaddr_reg:x9; val_offset:328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 328*FLEN/8, x10, x6, x7) + +inst_188:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7f800001; +valaddr_reg:x9; val_offset:330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 330*FLEN/8, x10, x6, x7) + +inst_189:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 332*FLEN/8, x10, x6, x7) + +inst_190:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x3f800000; +valaddr_reg:x9; val_offset:334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 334*FLEN/8, x10, x6, x7) + +inst_191:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xbf800000; +valaddr_reg:x9; val_offset:336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 336*FLEN/8, x10, x6, x7) + +inst_192:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x0; +valaddr_reg:x9; val_offset:338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 338*FLEN/8, x10, x6, x7) + +inst_193:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 340*FLEN/8, x10, x6, x7) + +inst_194:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x1; +valaddr_reg:x9; val_offset:342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 342*FLEN/8, x10, x6, x7) + +inst_195:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 344*FLEN/8, x10, x6, x7) + +inst_196:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x2; +valaddr_reg:x9; val_offset:346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 346*FLEN/8, x10, x6, x7) + +inst_197:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 348*FLEN/8, x10, x6, x7) + +inst_198:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 350*FLEN/8, x10, x6, x7) + +inst_199:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 352*FLEN/8, x10, x6, x7) + +inst_200:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x800000; +valaddr_reg:x9; val_offset:354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 354*FLEN/8, x10, x6, x7) + +inst_201:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 356*FLEN/8, x10, x6, x7) + +inst_202:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x800001; +valaddr_reg:x9; val_offset:358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 358*FLEN/8, x10, x6, x7) + +inst_203:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 360*FLEN/8, x10, x6, x7) + +inst_204:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 362*FLEN/8, x10, x6, x7) + +inst_205:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 364*FLEN/8, x10, x6, x7) + +inst_206:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 366*FLEN/8, x10, x6, x7) + +inst_207:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 368*FLEN/8, x10, x6, x7) + +inst_208:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 370*FLEN/8, x10, x6, x7) + +inst_209:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 372*FLEN/8, x10, x6, x7) + +inst_210:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 374*FLEN/8, x10, x6, x7) + +inst_211:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 376*FLEN/8, x10, x6, x7) + +inst_212:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 378*FLEN/8, x10, x6, x7) + +inst_213:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 380*FLEN/8, x10, x6, x7) + +inst_214:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 382*FLEN/8, x10, x6, x7) + +inst_215:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 384*FLEN/8, x10, x6, x7) + +inst_216:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x0; +valaddr_reg:x9; val_offset:386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 386*FLEN/8, x10, x6, x7) + +inst_217:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 388*FLEN/8, x10, x6, x7) + +inst_218:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x1; +valaddr_reg:x9; val_offset:390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 390*FLEN/8, x10, x6, x7) + +inst_219:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 392*FLEN/8, x10, x6, x7) + +inst_220:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x2; +valaddr_reg:x9; val_offset:394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 394*FLEN/8, x10, x6, x7) + +inst_221:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 396*FLEN/8, x10, x6, x7) + +inst_222:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 398*FLEN/8, x10, x6, x7) + +inst_223:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 400*FLEN/8, x10, x6, x7) + +inst_224:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x800000; +valaddr_reg:x9; val_offset:402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 402*FLEN/8, x10, x6, x7) + +inst_225:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 404*FLEN/8, x10, x6, x7) + +inst_226:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x800001; +valaddr_reg:x9; val_offset:406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 406*FLEN/8, x10, x6, x7) + +inst_227:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 408*FLEN/8, x10, x6, x7) + +inst_228:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 410*FLEN/8, x10, x6, x7) + +inst_229:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 412*FLEN/8, x10, x6, x7) + +inst_230:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 414*FLEN/8, x10, x6, x7) + +inst_231:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 416*FLEN/8, x10, x6, x7) + +inst_232:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 418*FLEN/8, x10, x6, x7) + +inst_233:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 420*FLEN/8, x10, x6, x7) + +inst_234:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 422*FLEN/8, x10, x6, x7) + +inst_235:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 424*FLEN/8, x10, x6, x7) + +inst_236:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 426*FLEN/8, x10, x6, x7) + +inst_237:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 428*FLEN/8, x10, x6, x7) + +inst_238:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 430*FLEN/8, x10, x6, x7) + +inst_239:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 432*FLEN/8, x10, x6, x7) + +inst_240:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x0; +valaddr_reg:x9; val_offset:434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 434*FLEN/8, x10, x6, x7) + +inst_241:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x80000000; +valaddr_reg:x9; val_offset:436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 436*FLEN/8, x10, x6, x7) + +inst_242:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x1; +valaddr_reg:x9; val_offset:438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 438*FLEN/8, x10, x6, x7) + +inst_243:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x80000001; +valaddr_reg:x9; val_offset:440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 440*FLEN/8, x10, x6, x7) + +inst_244:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x2; +valaddr_reg:x9; val_offset:442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 442*FLEN/8, x10, x6, x7) + +inst_245:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 444*FLEN/8, x10, x6, x7) + +inst_246:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7fffff; +valaddr_reg:x9; val_offset:446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 446*FLEN/8, x10, x6, x7) + +inst_247:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x807fffff; +valaddr_reg:x9; val_offset:448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 448*FLEN/8, x10, x6, x7) + +inst_248:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x800000; +valaddr_reg:x9; val_offset:450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 450*FLEN/8, x10, x6, x7) + +inst_249:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x80800000; +valaddr_reg:x9; val_offset:452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 452*FLEN/8, x10, x6, x7) + +inst_250:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x800001; +valaddr_reg:x9; val_offset:454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 454*FLEN/8, x10, x6, x7) + +inst_251:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x80855555; +valaddr_reg:x9; val_offset:456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 456*FLEN/8, x10, x6, x7) + +inst_252:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 458*FLEN/8, x10, x6, x7) + +inst_253:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 460*FLEN/8, x10, x6, x7) + +inst_254:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7f800000; +valaddr_reg:x9; val_offset:462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 462*FLEN/8, x10, x6, x7) + +inst_255:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xff800000; +valaddr_reg:x9; val_offset:464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 464*FLEN/8, x10, x6, x7) + +inst_256:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 466*FLEN/8, x10, x6, x7) + +inst_257:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xffc00000; +valaddr_reg:x9; val_offset:468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 468*FLEN/8, x10, x6, x7) + +inst_258:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 470*FLEN/8, x10, x6, x7) + +inst_259:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xffc55555; +valaddr_reg:x9; val_offset:472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 472*FLEN/8, x10, x6, x7) + +inst_260:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7f800001; +valaddr_reg:x9; val_offset:474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 474*FLEN/8, x10, x6, x7) + +inst_261:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 476*FLEN/8, x10, x6, x7) + +inst_262:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x3f800000; +valaddr_reg:x9; val_offset:478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 478*FLEN/8, x10, x6, x7) + +inst_263:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xbf800000; +valaddr_reg:x9; val_offset:480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 480*FLEN/8, x10, x6, x7) + +inst_264:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x0; +valaddr_reg:x9; val_offset:482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 482*FLEN/8, x10, x6, x7) + +inst_265:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x80000000; +valaddr_reg:x9; val_offset:484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 484*FLEN/8, x10, x6, x7) + +inst_266:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x1; +valaddr_reg:x9; val_offset:486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 486*FLEN/8, x10, x6, x7) + +inst_267:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x80000001; +valaddr_reg:x9; val_offset:488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 488*FLEN/8, x10, x6, x7) + +inst_268:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x2; +valaddr_reg:x9; val_offset:490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 490*FLEN/8, x10, x6, x7) + +inst_269:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 492*FLEN/8, x10, x6, x7) + +inst_270:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7fffff; +valaddr_reg:x9; val_offset:494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 494*FLEN/8, x10, x6, x7) + +inst_271:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x807fffff; +valaddr_reg:x9; val_offset:496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 496*FLEN/8, x10, x6, x7) + +inst_272:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x800000; +valaddr_reg:x9; val_offset:498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 498*FLEN/8, x10, x6, x7) + +inst_273:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x80800000; +valaddr_reg:x9; val_offset:500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 500*FLEN/8, x10, x6, x7) + +inst_274:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x800001; +valaddr_reg:x9; val_offset:502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 502*FLEN/8, x10, x6, x7) + +inst_275:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x80855555; +valaddr_reg:x9; val_offset:504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 504*FLEN/8, x10, x6, x7) + +inst_276:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 506*FLEN/8, x10, x6, x7) + +inst_277:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 508*FLEN/8, x10, x6, x7) + +inst_278:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7f800000; +valaddr_reg:x9; val_offset:510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 510*FLEN/8, x10, x6, x7) + +inst_279:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xff800000; +valaddr_reg:x9; val_offset:512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 512*FLEN/8, x10, x6, x7) + +inst_280:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 514*FLEN/8, x10, x6, x7) + +inst_281:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xffc00000; +valaddr_reg:x9; val_offset:516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 516*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_2) + +inst_282:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 518*FLEN/8, x10, x6, x7) + +inst_283:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xffc55555; +valaddr_reg:x9; val_offset:520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 520*FLEN/8, x10, x6, x7) + +inst_284:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7f800001; +valaddr_reg:x9; val_offset:522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 522*FLEN/8, x10, x6, x7) + +inst_285:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 524*FLEN/8, x10, x6, x7) + +inst_286:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x3f800000; +valaddr_reg:x9; val_offset:526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 526*FLEN/8, x10, x6, x7) + +inst_287:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xbf800000; +valaddr_reg:x9; val_offset:528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 528*FLEN/8, x10, x6, x7) + +inst_288:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x0; +valaddr_reg:x9; val_offset:530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 530*FLEN/8, x10, x6, x7) + +inst_289:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x80000000; +valaddr_reg:x9; val_offset:532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 532*FLEN/8, x10, x6, x7) + +inst_290:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x1; +valaddr_reg:x9; val_offset:534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 534*FLEN/8, x10, x6, x7) + +inst_291:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x80000001; +valaddr_reg:x9; val_offset:536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 536*FLEN/8, x10, x6, x7) + +inst_292:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x2; +valaddr_reg:x9; val_offset:538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 538*FLEN/8, x10, x6, x7) + +inst_293:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 540*FLEN/8, x10, x6, x7) + +inst_294:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7fffff; +valaddr_reg:x9; val_offset:542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 542*FLEN/8, x10, x6, x7) + +inst_295:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x807fffff; +valaddr_reg:x9; val_offset:544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 544*FLEN/8, x10, x6, x7) + +inst_296:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x800000; +valaddr_reg:x9; val_offset:546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 546*FLEN/8, x10, x6, x7) + +inst_297:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x80800000; +valaddr_reg:x9; val_offset:548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 548*FLEN/8, x10, x6, x7) + +inst_298:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x800001; +valaddr_reg:x9; val_offset:550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 550*FLEN/8, x10, x6, x7) + +inst_299:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x80855555; +valaddr_reg:x9; val_offset:552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 552*FLEN/8, x10, x6, x7) + +inst_300:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 554*FLEN/8, x10, x6, x7) + +inst_301:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 556*FLEN/8, x10, x6, x7) + +inst_302:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7f800000; +valaddr_reg:x9; val_offset:558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 558*FLEN/8, x10, x6, x7) + +inst_303:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xff800000; +valaddr_reg:x9; val_offset:560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 560*FLEN/8, x10, x6, x7) + +inst_304:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 562*FLEN/8, x10, x6, x7) + +inst_305:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xffc00000; +valaddr_reg:x9; val_offset:564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 564*FLEN/8, x10, x6, x7) + +inst_306:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 566*FLEN/8, x10, x6, x7) + +inst_307:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xffc55555; +valaddr_reg:x9; val_offset:568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 568*FLEN/8, x10, x6, x7) + +inst_308:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7f800001; +valaddr_reg:x9; val_offset:570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 570*FLEN/8, x10, x6, x7) + +inst_309:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 572*FLEN/8, x10, x6, x7) + +inst_310:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x3f800000; +valaddr_reg:x9; val_offset:574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 574*FLEN/8, x10, x6, x7) + +inst_311:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xbf800000; +valaddr_reg:x9; val_offset:576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 576*FLEN/8, x10, x6, x7) + +inst_312:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x0; +valaddr_reg:x9; val_offset:578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 578*FLEN/8, x10, x6, x7) + +inst_313:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x80000000; +valaddr_reg:x9; val_offset:580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 580*FLEN/8, x10, x6, x7) + +inst_314:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x1; +valaddr_reg:x9; val_offset:582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 582*FLEN/8, x10, x6, x7) + +inst_315:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x80000001; +valaddr_reg:x9; val_offset:584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 584*FLEN/8, x10, x6, x7) + +inst_316:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x2; +valaddr_reg:x9; val_offset:586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 586*FLEN/8, x10, x6, x7) + +inst_317:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 588*FLEN/8, x10, x6, x7) + +inst_318:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7fffff; +valaddr_reg:x9; val_offset:590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 590*FLEN/8, x10, x6, x7) + +inst_319:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x807fffff; +valaddr_reg:x9; val_offset:592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 592*FLEN/8, x10, x6, x7) + +inst_320:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x800000; +valaddr_reg:x9; val_offset:594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 594*FLEN/8, x10, x6, x7) + +inst_321:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x80800000; +valaddr_reg:x9; val_offset:596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 596*FLEN/8, x10, x6, x7) + +inst_322:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x800001; +valaddr_reg:x9; val_offset:598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 598*FLEN/8, x10, x6, x7) + +inst_323:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x80855555; +valaddr_reg:x9; val_offset:600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 600*FLEN/8, x10, x6, x7) + +inst_324:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 602*FLEN/8, x10, x6, x7) + +inst_325:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 604*FLEN/8, x10, x6, x7) + +inst_326:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7f800000; +valaddr_reg:x9; val_offset:606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 606*FLEN/8, x10, x6, x7) + +inst_327:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xff800000; +valaddr_reg:x9; val_offset:608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 608*FLEN/8, x10, x6, x7) + +inst_328:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 610*FLEN/8, x10, x6, x7) + +inst_329:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xffc00000; +valaddr_reg:x9; val_offset:612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 612*FLEN/8, x10, x6, x7) + +inst_330:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 614*FLEN/8, x10, x6, x7) + +inst_331:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xffc55555; +valaddr_reg:x9; val_offset:616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 616*FLEN/8, x10, x6, x7) + +inst_332:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7f800001; +valaddr_reg:x9; val_offset:618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 618*FLEN/8, x10, x6, x7) + +inst_333:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 620*FLEN/8, x10, x6, x7) + +inst_334:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x3f800000; +valaddr_reg:x9; val_offset:622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 622*FLEN/8, x10, x6, x7) + +inst_335:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xbf800000; +valaddr_reg:x9; val_offset:624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 624*FLEN/8, x10, x6, x7) + +inst_336:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x0; +valaddr_reg:x9; val_offset:626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 626*FLEN/8, x10, x6, x7) + +inst_337:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 628*FLEN/8, x10, x6, x7) + +inst_338:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x1; +valaddr_reg:x9; val_offset:630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 630*FLEN/8, x10, x6, x7) + +inst_339:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 632*FLEN/8, x10, x6, x7) + +inst_340:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x2; +valaddr_reg:x9; val_offset:634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 634*FLEN/8, x10, x6, x7) + +inst_341:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 636*FLEN/8, x10, x6, x7) + +inst_342:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 638*FLEN/8, x10, x6, x7) + +inst_343:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 640*FLEN/8, x10, x6, x7) + +inst_344:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x800000; +valaddr_reg:x9; val_offset:642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 642*FLEN/8, x10, x6, x7) + +inst_345:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 644*FLEN/8, x10, x6, x7) + +inst_346:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x800001; +valaddr_reg:x9; val_offset:646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 646*FLEN/8, x10, x6, x7) + +inst_347:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 648*FLEN/8, x10, x6, x7) + +inst_348:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 650*FLEN/8, x10, x6, x7) + +inst_349:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 652*FLEN/8, x10, x6, x7) + +inst_350:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 654*FLEN/8, x10, x6, x7) + +inst_351:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 656*FLEN/8, x10, x6, x7) + +inst_352:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 658*FLEN/8, x10, x6, x7) + +inst_353:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 660*FLEN/8, x10, x6, x7) + +inst_354:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 662*FLEN/8, x10, x6, x7) + +inst_355:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 664*FLEN/8, x10, x6, x7) + +inst_356:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 666*FLEN/8, x10, x6, x7) + +inst_357:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 668*FLEN/8, x10, x6, x7) + +inst_358:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 670*FLEN/8, x10, x6, x7) + +inst_359:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 672*FLEN/8, x10, x6, x7) + +inst_360:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x0; +valaddr_reg:x9; val_offset:674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 674*FLEN/8, x10, x6, x7) + +inst_361:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 676*FLEN/8, x10, x6, x7) + +inst_362:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x1; +valaddr_reg:x9; val_offset:678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 678*FLEN/8, x10, x6, x7) + +inst_363:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 680*FLEN/8, x10, x6, x7) + +inst_364:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x2; +valaddr_reg:x9; val_offset:682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 682*FLEN/8, x10, x6, x7) + +inst_365:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 684*FLEN/8, x10, x6, x7) + +inst_366:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 686*FLEN/8, x10, x6, x7) + +inst_367:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 688*FLEN/8, x10, x6, x7) + +inst_368:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x800000; +valaddr_reg:x9; val_offset:690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 690*FLEN/8, x10, x6, x7) + +inst_369:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 692*FLEN/8, x10, x6, x7) + +inst_370:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x800001; +valaddr_reg:x9; val_offset:694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 694*FLEN/8, x10, x6, x7) + +inst_371:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 696*FLEN/8, x10, x6, x7) + +inst_372:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 698*FLEN/8, x10, x6, x7) + +inst_373:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 700*FLEN/8, x10, x6, x7) + +inst_374:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 702*FLEN/8, x10, x6, x7) + +inst_375:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 704*FLEN/8, x10, x6, x7) + +inst_376:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 706*FLEN/8, x10, x6, x7) + +inst_377:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 708*FLEN/8, x10, x6, x7) + +inst_378:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 710*FLEN/8, x10, x6, x7) + +inst_379:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 712*FLEN/8, x10, x6, x7) + +inst_380:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 714*FLEN/8, x10, x6, x7) + +inst_381:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 716*FLEN/8, x10, x6, x7) + +inst_382:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 718*FLEN/8, x10, x6, x7) + +inst_383:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 720*FLEN/8, x10, x6, x7) + +inst_384:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x0; +valaddr_reg:x9; val_offset:722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 722*FLEN/8, x10, x6, x7) + +inst_385:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x80000000; +valaddr_reg:x9; val_offset:724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 724*FLEN/8, x10, x6, x7) + +inst_386:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x1; +valaddr_reg:x9; val_offset:726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 726*FLEN/8, x10, x6, x7) + +inst_387:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x80000001; +valaddr_reg:x9; val_offset:728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 728*FLEN/8, x10, x6, x7) + +inst_388:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x2; +valaddr_reg:x9; val_offset:730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 730*FLEN/8, x10, x6, x7) + +inst_389:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 732*FLEN/8, x10, x6, x7) + +inst_390:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 734*FLEN/8, x10, x6, x7) + +inst_391:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 736*FLEN/8, x10, x6, x7) + +inst_392:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x800000; +valaddr_reg:x9; val_offset:738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 738*FLEN/8, x10, x6, x7) + +inst_393:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x80800000; +valaddr_reg:x9; val_offset:740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 740*FLEN/8, x10, x6, x7) + +inst_394:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x800001; +valaddr_reg:x9; val_offset:742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 742*FLEN/8, x10, x6, x7) + +inst_395:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x80855555; +valaddr_reg:x9; val_offset:744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 744*FLEN/8, x10, x6, x7) + +inst_396:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 746*FLEN/8, x10, x6, x7) + +inst_397:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 748*FLEN/8, x10, x6, x7) + +inst_398:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 750*FLEN/8, x10, x6, x7) + +inst_399:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xff800000; +valaddr_reg:x9; val_offset:752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 752*FLEN/8, x10, x6, x7) + +inst_400:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 754*FLEN/8, x10, x6, x7) + +inst_401:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 756*FLEN/8, x10, x6, x7) + +inst_402:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 758*FLEN/8, x10, x6, x7) + +inst_403:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 760*FLEN/8, x10, x6, x7) + +inst_404:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 762*FLEN/8, x10, x6, x7) + +inst_405:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 764*FLEN/8, x10, x6, x7) + +inst_406:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 766*FLEN/8, x10, x6, x7) + +inst_407:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 768*FLEN/8, x10, x6, x7) + +inst_408:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x0; +valaddr_reg:x9; val_offset:770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 770*FLEN/8, x10, x6, x7) + +inst_409:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x80000000; +valaddr_reg:x9; val_offset:772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 772*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_3) + +inst_410:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x1; +valaddr_reg:x9; val_offset:774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 774*FLEN/8, x10, x6, x7) + +inst_411:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x80000001; +valaddr_reg:x9; val_offset:776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 776*FLEN/8, x10, x6, x7) + +inst_412:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x2; +valaddr_reg:x9; val_offset:778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 778*FLEN/8, x10, x6, x7) + +inst_413:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 780*FLEN/8, x10, x6, x7) + +inst_414:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 782*FLEN/8, x10, x6, x7) + +inst_415:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 784*FLEN/8, x10, x6, x7) + +inst_416:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x800000; +valaddr_reg:x9; val_offset:786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 786*FLEN/8, x10, x6, x7) + +inst_417:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x80800000; +valaddr_reg:x9; val_offset:788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 788*FLEN/8, x10, x6, x7) + +inst_418:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x800001; +valaddr_reg:x9; val_offset:790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 790*FLEN/8, x10, x6, x7) + +inst_419:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x80855555; +valaddr_reg:x9; val_offset:792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 792*FLEN/8, x10, x6, x7) + +inst_420:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 794*FLEN/8, x10, x6, x7) + +inst_421:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 796*FLEN/8, x10, x6, x7) + +inst_422:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 798*FLEN/8, x10, x6, x7) + +inst_423:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xff800000; +valaddr_reg:x9; val_offset:800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 800*FLEN/8, x10, x6, x7) + +inst_424:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 802*FLEN/8, x10, x6, x7) + +inst_425:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 804*FLEN/8, x10, x6, x7) + +inst_426:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 806*FLEN/8, x10, x6, x7) + +inst_427:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 808*FLEN/8, x10, x6, x7) + +inst_428:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 810*FLEN/8, x10, x6, x7) + +inst_429:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 812*FLEN/8, x10, x6, x7) + +inst_430:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 814*FLEN/8, x10, x6, x7) + +inst_431:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 816*FLEN/8, x10, x6, x7) + +inst_432:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x0; +valaddr_reg:x9; val_offset:818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 818*FLEN/8, x10, x6, x7) + +inst_433:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x80000000; +valaddr_reg:x9; val_offset:820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 820*FLEN/8, x10, x6, x7) + +inst_434:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x1; +valaddr_reg:x9; val_offset:822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 822*FLEN/8, x10, x6, x7) + +inst_435:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x80000001; +valaddr_reg:x9; val_offset:824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 824*FLEN/8, x10, x6, x7) + +inst_436:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x2; +valaddr_reg:x9; val_offset:826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 826*FLEN/8, x10, x6, x7) + +inst_437:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 828*FLEN/8, x10, x6, x7) + +inst_438:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7fffff; +valaddr_reg:x9; val_offset:830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 830*FLEN/8, x10, x6, x7) + +inst_439:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x807fffff; +valaddr_reg:x9; val_offset:832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 832*FLEN/8, x10, x6, x7) + +inst_440:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x800000; +valaddr_reg:x9; val_offset:834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 834*FLEN/8, x10, x6, x7) + +inst_441:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x80800000; +valaddr_reg:x9; val_offset:836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 836*FLEN/8, x10, x6, x7) + +inst_442:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x800001; +valaddr_reg:x9; val_offset:838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 838*FLEN/8, x10, x6, x7) + +inst_443:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x80855555; +valaddr_reg:x9; val_offset:840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 840*FLEN/8, x10, x6, x7) + +inst_444:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 842*FLEN/8, x10, x6, x7) + +inst_445:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 844*FLEN/8, x10, x6, x7) + +inst_446:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7f800000; +valaddr_reg:x9; val_offset:846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 846*FLEN/8, x10, x6, x7) + +inst_447:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xff800000; +valaddr_reg:x9; val_offset:848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 848*FLEN/8, x10, x6, x7) + +inst_448:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 850*FLEN/8, x10, x6, x7) + +inst_449:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xffc00000; +valaddr_reg:x9; val_offset:852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 852*FLEN/8, x10, x6, x7) + +inst_450:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 854*FLEN/8, x10, x6, x7) + +inst_451:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xffc55555; +valaddr_reg:x9; val_offset:856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 856*FLEN/8, x10, x6, x7) + +inst_452:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7f800001; +valaddr_reg:x9; val_offset:858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 858*FLEN/8, x10, x6, x7) + +inst_453:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 860*FLEN/8, x10, x6, x7) + +inst_454:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x3f800000; +valaddr_reg:x9; val_offset:862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 862*FLEN/8, x10, x6, x7) + +inst_455:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xbf800000; +valaddr_reg:x9; val_offset:864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 864*FLEN/8, x10, x6, x7) + +inst_456:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x0; +valaddr_reg:x9; val_offset:866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 866*FLEN/8, x10, x6, x7) + +inst_457:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x80000000; +valaddr_reg:x9; val_offset:868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 868*FLEN/8, x10, x6, x7) + +inst_458:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x1; +valaddr_reg:x9; val_offset:870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 870*FLEN/8, x10, x6, x7) + +inst_459:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x80000001; +valaddr_reg:x9; val_offset:872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 872*FLEN/8, x10, x6, x7) + +inst_460:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x2; +valaddr_reg:x9; val_offset:874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 874*FLEN/8, x10, x6, x7) + +inst_461:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 876*FLEN/8, x10, x6, x7) + +inst_462:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7fffff; +valaddr_reg:x9; val_offset:878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 878*FLEN/8, x10, x6, x7) + +inst_463:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x807fffff; +valaddr_reg:x9; val_offset:880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 880*FLEN/8, x10, x6, x7) + +inst_464:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x800000; +valaddr_reg:x9; val_offset:882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 882*FLEN/8, x10, x6, x7) + +inst_465:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x80800000; +valaddr_reg:x9; val_offset:884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 884*FLEN/8, x10, x6, x7) + +inst_466:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x800001; +valaddr_reg:x9; val_offset:886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 886*FLEN/8, x10, x6, x7) + +inst_467:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x80855555; +valaddr_reg:x9; val_offset:888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 888*FLEN/8, x10, x6, x7) + +inst_468:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 890*FLEN/8, x10, x6, x7) + +inst_469:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 892*FLEN/8, x10, x6, x7) + +inst_470:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7f800000; +valaddr_reg:x9; val_offset:894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 894*FLEN/8, x10, x6, x7) + +inst_471:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xff800000; +valaddr_reg:x9; val_offset:896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 896*FLEN/8, x10, x6, x7) + +inst_472:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 898*FLEN/8, x10, x6, x7) + +inst_473:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xffc00000; +valaddr_reg:x9; val_offset:900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 900*FLEN/8, x10, x6, x7) + +inst_474:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 902*FLEN/8, x10, x6, x7) + +inst_475:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xffc55555; +valaddr_reg:x9; val_offset:904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 904*FLEN/8, x10, x6, x7) + +inst_476:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7f800001; +valaddr_reg:x9; val_offset:906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 906*FLEN/8, x10, x6, x7) + +inst_477:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 908*FLEN/8, x10, x6, x7) + +inst_478:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x3f800000; +valaddr_reg:x9; val_offset:910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 910*FLEN/8, x10, x6, x7) + +inst_479:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xbf800000; +valaddr_reg:x9; val_offset:912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 912*FLEN/8, x10, x6, x7) + +inst_480:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x0; +valaddr_reg:x9; val_offset:914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 914*FLEN/8, x10, x6, x7) + +inst_481:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x80000000; +valaddr_reg:x9; val_offset:916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 916*FLEN/8, x10, x6, x7) + +inst_482:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x1; +valaddr_reg:x9; val_offset:918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 918*FLEN/8, x10, x6, x7) + +inst_483:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x80000001; +valaddr_reg:x9; val_offset:920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 920*FLEN/8, x10, x6, x7) + +inst_484:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x2; +valaddr_reg:x9; val_offset:922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 922*FLEN/8, x10, x6, x7) + +inst_485:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 924*FLEN/8, x10, x6, x7) + +inst_486:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7fffff; +valaddr_reg:x9; val_offset:926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 926*FLEN/8, x10, x6, x7) + +inst_487:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x807fffff; +valaddr_reg:x9; val_offset:928*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 928*FLEN/8, x10, x6, x7) + +inst_488:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x800000; +valaddr_reg:x9; val_offset:930*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 930*FLEN/8, x10, x6, x7) + +inst_489:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x80800000; +valaddr_reg:x9; val_offset:932*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 932*FLEN/8, x10, x6, x7) + +inst_490:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x800001; +valaddr_reg:x9; val_offset:934*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 934*FLEN/8, x10, x6, x7) + +inst_491:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x80855555; +valaddr_reg:x9; val_offset:936*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 936*FLEN/8, x10, x6, x7) + +inst_492:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:938*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 938*FLEN/8, x10, x6, x7) + +inst_493:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:940*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 940*FLEN/8, x10, x6, x7) + +inst_494:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7f800000; +valaddr_reg:x9; val_offset:942*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 942*FLEN/8, x10, x6, x7) + +inst_495:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xff800000; +valaddr_reg:x9; val_offset:944*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 944*FLEN/8, x10, x6, x7) + +inst_496:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:946*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 946*FLEN/8, x10, x6, x7) + +inst_497:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xffc00000; +valaddr_reg:x9; val_offset:948*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 948*FLEN/8, x10, x6, x7) + +inst_498:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:950*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 950*FLEN/8, x10, x6, x7) + +inst_499:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xffc55555; +valaddr_reg:x9; val_offset:952*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 952*FLEN/8, x10, x6, x7) + +inst_500:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7f800001; +valaddr_reg:x9; val_offset:954*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 954*FLEN/8, x10, x6, x7) + +inst_501:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:956*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 956*FLEN/8, x10, x6, x7) + +inst_502:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x3f800000; +valaddr_reg:x9; val_offset:958*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 958*FLEN/8, x10, x6, x7) + +inst_503:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xbf800000; +valaddr_reg:x9; val_offset:960*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 960*FLEN/8, x10, x6, x7) + +inst_504:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x0; +valaddr_reg:x9; val_offset:962*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 962*FLEN/8, x10, x6, x7) + +inst_505:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x80000000; +valaddr_reg:x9; val_offset:964*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 964*FLEN/8, x10, x6, x7) + +inst_506:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x1; +valaddr_reg:x9; val_offset:966*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 966*FLEN/8, x10, x6, x7) + +inst_507:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x80000001; +valaddr_reg:x9; val_offset:968*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 968*FLEN/8, x10, x6, x7) + +inst_508:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x2; +valaddr_reg:x9; val_offset:970*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 970*FLEN/8, x10, x6, x7) + +inst_509:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:972*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 972*FLEN/8, x10, x6, x7) + +inst_510:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7fffff; +valaddr_reg:x9; val_offset:974*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 974*FLEN/8, x10, x6, x7) + +inst_511:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x807fffff; +valaddr_reg:x9; val_offset:976*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 976*FLEN/8, x10, x6, x7) + +inst_512:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x800000; +valaddr_reg:x9; val_offset:978*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 978*FLEN/8, x10, x6, x7) + +inst_513:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x80800000; +valaddr_reg:x9; val_offset:980*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 980*FLEN/8, x10, x6, x7) + +inst_514:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x800001; +valaddr_reg:x9; val_offset:982*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 982*FLEN/8, x10, x6, x7) + +inst_515:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x80855555; +valaddr_reg:x9; val_offset:984*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 984*FLEN/8, x10, x6, x7) + +inst_516:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:986*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 986*FLEN/8, x10, x6, x7) + +inst_517:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:988*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 988*FLEN/8, x10, x6, x7) + +inst_518:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7f800000; +valaddr_reg:x9; val_offset:990*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 990*FLEN/8, x10, x6, x7) + +inst_519:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xff800000; +valaddr_reg:x9; val_offset:992*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 992*FLEN/8, x10, x6, x7) + +inst_520:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:994*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 994*FLEN/8, x10, x6, x7) + +inst_521:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xffc00000; +valaddr_reg:x9; val_offset:996*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 996*FLEN/8, x10, x6, x7) + +inst_522:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:998*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 998*FLEN/8, x10, x6, x7) + +inst_523:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xffc55555; +valaddr_reg:x9; val_offset:1000*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1000*FLEN/8, x10, x6, x7) + +inst_524:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7f800001; +valaddr_reg:x9; val_offset:1002*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1002*FLEN/8, x10, x6, x7) + +inst_525:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:1004*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1004*FLEN/8, x10, x6, x7) + +inst_526:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x3f800000; +valaddr_reg:x9; val_offset:1006*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1006*FLEN/8, x10, x6, x7) + +inst_527:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xbf800000; +valaddr_reg:x9; val_offset:1008*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1008*FLEN/8, x10, x6, x7) + +inst_528:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x0; +valaddr_reg:x9; val_offset:1010*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1010*FLEN/8, x10, x6, x7) + +inst_529:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:1012*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1012*FLEN/8, x10, x6, x7) + +inst_530:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x1; +valaddr_reg:x9; val_offset:1014*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1014*FLEN/8, x10, x6, x7) + +inst_531:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:1016*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1016*FLEN/8, x10, x6, x7) + +inst_532:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x2; +valaddr_reg:x9; val_offset:1018*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1018*FLEN/8, x10, x6, x7) + +inst_533:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:1020*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1020*FLEN/8, x10, x6, x7) + +inst_534:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:1022*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1022*FLEN/8, x10, x6, x7) + +inst_535:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:1024*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1024*FLEN/8, x10, x6, x7) + +inst_536:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x800000; +valaddr_reg:x9; val_offset:1026*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1026*FLEN/8, x10, x6, x7) + +inst_537:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:1028*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1028*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_4) + +inst_538:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x800001; +valaddr_reg:x9; val_offset:1030*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1030*FLEN/8, x10, x6, x7) + +inst_539:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:1032*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1032*FLEN/8, x10, x6, x7) + +inst_540:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1034*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1034*FLEN/8, x10, x6, x7) + +inst_541:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1036*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1036*FLEN/8, x10, x6, x7) + +inst_542:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:1038*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1038*FLEN/8, x10, x6, x7) + +inst_543:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:1040*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1040*FLEN/8, x10, x6, x7) + +inst_544:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:1042*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1042*FLEN/8, x10, x6, x7) + +inst_545:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:1044*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1044*FLEN/8, x10, x6, x7) + +inst_546:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:1046*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1046*FLEN/8, x10, x6, x7) + +inst_547:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:1048*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1048*FLEN/8, x10, x6, x7) + +inst_548:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:1050*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1050*FLEN/8, x10, x6, x7) + +inst_549:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:1052*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1052*FLEN/8, x10, x6, x7) + +inst_550:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:1054*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1054*FLEN/8, x10, x6, x7) + +inst_551:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:1056*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1056*FLEN/8, x10, x6, x7) + +inst_552:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x0; +valaddr_reg:x9; val_offset:1058*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1058*FLEN/8, x10, x6, x7) + +inst_553:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:1060*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1060*FLEN/8, x10, x6, x7) + +inst_554:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x1; +valaddr_reg:x9; val_offset:1062*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1062*FLEN/8, x10, x6, x7) + +inst_555:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:1064*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1064*FLEN/8, x10, x6, x7) + +inst_556:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x2; +valaddr_reg:x9; val_offset:1066*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1066*FLEN/8, x10, x6, x7) + +inst_557:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:1068*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1068*FLEN/8, x10, x6, x7) + +inst_558:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:1070*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1070*FLEN/8, x10, x6, x7) + +inst_559:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:1072*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1072*FLEN/8, x10, x6, x7) + +inst_560:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x800000; +valaddr_reg:x9; val_offset:1074*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1074*FLEN/8, x10, x6, x7) + +inst_561:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:1076*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1076*FLEN/8, x10, x6, x7) + +inst_562:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x800001; +valaddr_reg:x9; val_offset:1078*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1078*FLEN/8, x10, x6, x7) + +inst_563:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:1080*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1080*FLEN/8, x10, x6, x7) + +inst_564:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1082*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1082*FLEN/8, x10, x6, x7) + +inst_565:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1084*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1084*FLEN/8, x10, x6, x7) + +inst_566:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:1086*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1086*FLEN/8, x10, x6, x7) + +inst_567:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:1088*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1088*FLEN/8, x10, x6, x7) + +inst_568:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:1090*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1090*FLEN/8, x10, x6, x7) + +inst_569:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:1092*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1092*FLEN/8, x10, x6, x7) + +inst_570:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:1094*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1094*FLEN/8, x10, x6, x7) + +inst_571:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:1096*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1096*FLEN/8, x10, x6, x7) + +inst_572:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:1098*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1098*FLEN/8, x10, x6, x7) + +inst_573:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:1100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1100*FLEN/8, x10, x6, x7) + +inst_574:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:1102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1102*FLEN/8, x10, x6, x7) + +inst_575:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:1104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1104*FLEN/8, x10, x6, x7) + +inst_576:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x80000000; +valaddr_reg:x9; val_offset:1106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1106*FLEN/8, x10, x6, x7) + +inst_577:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x80800000; +valaddr_reg:x9; val_offset:1108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1108*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +test_dataset_1: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_4: + .fill 80*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/F_Zfa/src/fltq_b19-01.S b/riscv-test-suite/rv32i_m/F_Zfa/src/fltq_b19-01.S new file mode 100644 index 000000000..859838e3e --- /dev/null +++ b/riscv-test-suite/rv32i_m/F_Zfa/src/fltq_b19-01.S @@ -0,0 +1,8027 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:42:35 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fltq.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fltq.s instruction of the RISC-V RV32F_Zicsr_Zfa,RV32FD_Zicsr_Zfa,RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fltq_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr_Zfa,RV32IFD_Zicsr_Zfa,RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fltq_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 != rs2, rs1==f31, rs2==f30, rd==x31,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 == rs2, rs1==f29, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f29; op2:f29; dest:x30; op1val:0x7f378efe; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x30, f29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f30, rs2==f31, rd==x29,fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f30; op2:f31; dest:x29; op1val:0x7f206a70; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x29, f30, f31, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f28; op2:f27; dest:x28; op1val:0x7f378efe; op2val:0x7ee8aebb; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f27; op2:f28; dest:x27; op1val:0x7ee8aebb; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f26; op2:f25; dest:x26; op1val:0x7f378efe; op2val:0x7ea5608b; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f25; op2:f26; dest:x25; op1val:0x7ea5608b; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f24; op2:f23; dest:x24; op1val:0x7f378efe; op2val:0x7f3648af; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f23; op2:f24; dest:x23; op1val:0x7f3648af; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f22; op2:f21; dest:x22; op1val:0x7f378efe; op2val:0xfd204621; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0xfb and fm1 == 0x12d8cb and fs2 == 1 and fe2 == 0xfd and fm2 == 0x4857aa and fcsr == 0 +/* opcode: fltq.s ; op1:f21; op2:f22; dest:x21; op1val:0x7d92d8cb; op2val:0xfec857aa; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 0 and fe2 == 0xfb and fm2 == 0x12d8cb and fcsr == 0 +/* opcode: fltq.s ; op1:f20; op2:f19; dest:x20; op1val:0xfec857aa; op2val:0x7d92d8cb; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0xfb and fm1 == 0x12d8cb and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f19; op2:f20; dest:x19; op1val:0x7d92d8cb; op2val:0xfd204621; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfb and fm2 == 0x12d8cb and fcsr == 0 +/* opcode: fltq.s ; op1:f18; op2:f17; dest:x18; op1val:0x7f378efe; op2val:0x7d92d8cb; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f17; op2:f18; dest:x17; op1val:0x7f378efe; op2val:0xfe4ac669; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0xfb and fm1 == 0x12d8cb and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f16; op2:f15; dest:x16; op1val:0x7d92d8cb; op2val:0xff7fffff; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x12d8cb and fcsr == 0 +/* opcode: fltq.s ; op1:f15; op2:f16; dest:x15; op1val:0xff7fffff; op2val:0x7d92d8cb; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 0 and fe1 == 0xfb and fm1 == 0x12d8cb and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f14; op2:f13; dest:x14; op1val:0x7d92d8cb; op2val:0xfe4ac669; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f13; op2:f14; dest:x13; op1val:0x7f378efe; op2val:0xfe96fcf5; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f12; op2:f11; dest:x12; op1val:0xfe96fcf5; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f11; op2:f12; dest:x11; op1val:0x7f378efe; op2val:0xfee8e23e; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f10; op2:f9; dest:x10; op1val:0xfee8e23e; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f9; op2:f10; dest:x9; op1val:0x7f378efe; op2val:0xfeaf0937; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f8; op2:f7; dest:x8; op1val:0xfeaf0937; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f7; op2:f8; dest:x7; op1val:0x7f378efe; op2val:0x39e8a; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 0 and fe1 == 0x00 and fm1 == 0x02a825 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7a0dff and fcsr == 0 +/* opcode: fltq.s ; op1:f6; op2:f5; dest:x6; op1val:0x2a825; op2val:0x7f7a0dff; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x02a825 and fcsr == 0 +/* opcode: fltq.s ; op1:f5; op2:f6; dest:x5; op1val:0x7f7a0dff; op2val:0x2a825; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 0 and fe1 == 0x00 and fm1 == 0x02a825 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f4; op2:f3; dest:x4; op1val:0x2a825; op2val:0x39e8a; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x02a825 and fcsr == 0 +/* opcode: fltq.s ; op1:f3; op2:f4; dest:x3; op1val:0x7f378efe; op2val:0x2a825; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f2; op2:f1; dest:x2; op1val:0x7f378efe; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f1; op2:f2; dest:x1; op1val:0x1a917b; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a917b and fcsr == 0 +/* opcode: fltq.s ; op1:f0; op2:f31; dest:x31; op1val:0x7f7fffff; op2val:0x1a917b; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f0; dest:x31; op1val:0x1a917b; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a917b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x0; op1val:0x7f378efe; op2val:0x1a917b; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) + +inst_34:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x253272; +valaddr_reg:x9; val_offset:22*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 22*FLEN/8, x10, x6, x7) + +inst_35:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a917b; op2val:0x253272; +valaddr_reg:x9; val_offset:24*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 24*FLEN/8, x10, x6, x7) + +inst_36:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:26*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 26*FLEN/8, x10, x6, x7) + +inst_37:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a917b; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:28*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 28*FLEN/8, x10, x6, x7) + +inst_38:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x1c787d; +valaddr_reg:x9; val_offset:30*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 30*FLEN/8, x10, x6, x7) + +inst_39:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44b3b6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a917b; op2val:0x7f44b3b6; +valaddr_reg:x9; val_offset:32*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 32*FLEN/8, x10, x6, x7) + +inst_40:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a917b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x1a917b; +valaddr_reg:x9; val_offset:34*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 34*FLEN/8, x10, x6, x7) + +inst_41:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a917b; op2val:0x1c787d; +valaddr_reg:x9; val_offset:36*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 36*FLEN/8, x10, x6, x7) + +inst_42:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x803a9174; +valaddr_reg:x9; val_offset:38*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 38*FLEN/8, x10, x6, x7) + +inst_43:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a917b; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:40*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 40*FLEN/8, x10, x6, x7) + +inst_44:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a917b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x1a917b; +valaddr_reg:x9; val_offset:42*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 42*FLEN/8, x10, x6, x7) + +inst_45:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a917b; op2val:0x803a9174; +valaddr_reg:x9; val_offset:44*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 44*FLEN/8, x10, x6, x7) + +inst_46:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x802c477d; +valaddr_reg:x9; val_offset:46*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 46*FLEN/8, x10, x6, x7) + +inst_47:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a917b; op2val:0x802c477d; +valaddr_reg:x9; val_offset:48*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 48*FLEN/8, x10, x6, x7) + +inst_48:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:50*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 50*FLEN/8, x10, x6, x7) + +inst_49:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a917b; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:52*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 52*FLEN/8, x10, x6, x7) + +inst_50:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x800054e0; +valaddr_reg:x9; val_offset:54*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 54*FLEN/8, x10, x6, x7) + +inst_51:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x004403 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6511ce and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x4403; op2val:0xff6511ce; +valaddr_reg:x9; val_offset:56*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 56*FLEN/8, x10, x6, x7) + +inst_52:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x004403 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x4403; +valaddr_reg:x9; val_offset:58*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 58*FLEN/8, x10, x6, x7) + +inst_53:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x004403 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x4403; op2val:0x800054e0; +valaddr_reg:x9; val_offset:60*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 60*FLEN/8, x10, x6, x7) + +inst_54:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x004403 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x4403; +valaddr_reg:x9; val_offset:62*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 62*FLEN/8, x10, x6, x7) + +inst_55:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x802ed524; +valaddr_reg:x9; val_offset:64*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 64*FLEN/8, x10, x6, x7) + +inst_56:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a917b; op2val:0x802ed524; +valaddr_reg:x9; val_offset:66*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 66*FLEN/8, x10, x6, x7) + +inst_57:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x7f0; +valaddr_reg:x9; val_offset:68*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 68*FLEN/8, x10, x6, x7) + +inst_58:// fs1 == 0 and fe1 == 0x80 and fm1 == 0x1c2784 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x401c2784; op2val:0x7f0; +valaddr_reg:x9; val_offset:70*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 70*FLEN/8, x10, x6, x7) + +inst_59:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x1c2784 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x401c2784; +valaddr_reg:x9; val_offset:72*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 72*FLEN/8, x10, x6, x7) + +inst_60:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x80 and fm2 == 0x1c2784 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x401c2784; +valaddr_reg:x9; val_offset:74*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 74*FLEN/8, x10, x6, x7) + +inst_61:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:76*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 76*FLEN/8, x10, x6, x7) + +inst_62:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:78*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 78*FLEN/8, x10, x6, x7) + +inst_63:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:80*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 80*FLEN/8, x10, x6, x7) + +inst_64:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:82*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 82*FLEN/8, x10, x6, x7) + +inst_65:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:84*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 84*FLEN/8, x10, x6, x7) + +inst_66:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:86*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 86*FLEN/8, x10, x6, x7) + +inst_67:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:88*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 88*FLEN/8, x10, x6, x7) + +inst_68:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0xfd204621; +valaddr_reg:x9; val_offset:90*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 90*FLEN/8, x10, x6, x7) + +inst_69:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x005526 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x4857aa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d805526; op2val:0xfec857aa; +valaddr_reg:x9; val_offset:92*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 92*FLEN/8, x10, x6, x7) + +inst_70:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 0 and fe2 == 0xfb and fm2 == 0x005526 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0x7d805526; +valaddr_reg:x9; val_offset:94*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 94*FLEN/8, x10, x6, x7) + +inst_71:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x005526 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d805526; op2val:0xfd204621; +valaddr_reg:x9; val_offset:96*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 96*FLEN/8, x10, x6, x7) + +inst_72:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x005526 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x7d805526; +valaddr_reg:x9; val_offset:98*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 98*FLEN/8, x10, x6, x7) + +inst_73:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 100*FLEN/8, x10, x6, x7) + +inst_74:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x005526 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d805526; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 102*FLEN/8, x10, x6, x7) + +inst_75:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x005526 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7d805526; +valaddr_reg:x9; val_offset:104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 104*FLEN/8, x10, x6, x7) + +inst_76:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x005526 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d805526; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 106*FLEN/8, x10, x6, x7) + +inst_77:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 108*FLEN/8, x10, x6, x7) + +inst_78:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 110*FLEN/8, x10, x6, x7) + +inst_79:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 112*FLEN/8, x10, x6, x7) + +inst_80:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 114*FLEN/8, x10, x6, x7) + +inst_81:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 116*FLEN/8, x10, x6, x7) + +inst_82:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 118*FLEN/8, x10, x6, x7) + +inst_83:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x39e8a; +valaddr_reg:x9; val_offset:120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 120*FLEN/8, x10, x6, x7) + +inst_84:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x025265 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7a0dff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x25265; op2val:0x7f7a0dff; +valaddr_reg:x9; val_offset:122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 122*FLEN/8, x10, x6, x7) + +inst_85:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x025265 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x25265; +valaddr_reg:x9; val_offset:124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 124*FLEN/8, x10, x6, x7) + +inst_86:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x025265 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x25265; op2val:0x39e8a; +valaddr_reg:x9; val_offset:126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 126*FLEN/8, x10, x6, x7) + +inst_87:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x025265 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x25265; +valaddr_reg:x9; val_offset:128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 128*FLEN/8, x10, x6, x7) + +inst_88:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 130*FLEN/8, x10, x6, x7) + +inst_89:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1737f6 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1737f6; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 132*FLEN/8, x10, x6, x7) + +inst_90:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1737f6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x1737f6; +valaddr_reg:x9; val_offset:134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 134*FLEN/8, x10, x6, x7) + +inst_91:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1737f6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1737f6; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 136*FLEN/8, x10, x6, x7) + +inst_92:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1737f6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x1737f6; +valaddr_reg:x9; val_offset:138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 138*FLEN/8, x10, x6, x7) + +inst_93:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x253272; +valaddr_reg:x9; val_offset:140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 140*FLEN/8, x10, x6, x7) + +inst_94:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1737f6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1737f6; op2val:0x253272; +valaddr_reg:x9; val_offset:142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 142*FLEN/8, x10, x6, x7) + +inst_95:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 144*FLEN/8, x10, x6, x7) + +inst_96:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1737f6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1737f6; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 146*FLEN/8, x10, x6, x7) + +inst_97:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x1c787d; +valaddr_reg:x9; val_offset:148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 148*FLEN/8, x10, x6, x7) + +inst_98:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1737f6 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44b3b6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1737f6; op2val:0x7f44b3b6; +valaddr_reg:x9; val_offset:150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 150*FLEN/8, x10, x6, x7) + +inst_99:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1737f6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x1737f6; +valaddr_reg:x9; val_offset:152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 152*FLEN/8, x10, x6, x7) + +inst_100:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1737f6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1737f6; op2val:0x1c787d; +valaddr_reg:x9; val_offset:154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 154*FLEN/8, x10, x6, x7) + +inst_101:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x803a9174; +valaddr_reg:x9; val_offset:156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 156*FLEN/8, x10, x6, x7) + +inst_102:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1737f6 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1737f6; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 158*FLEN/8, x10, x6, x7) + +inst_103:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1737f6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x1737f6; +valaddr_reg:x9; val_offset:160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 160*FLEN/8, x10, x6, x7) + +inst_104:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1737f6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1737f6; op2val:0x803a9174; +valaddr_reg:x9; val_offset:162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 162*FLEN/8, x10, x6, x7) + +inst_105:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x802c477d; +valaddr_reg:x9; val_offset:164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 164*FLEN/8, x10, x6, x7) + +inst_106:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1737f6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1737f6; op2val:0x802c477d; +valaddr_reg:x9; val_offset:166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 166*FLEN/8, x10, x6, x7) + +inst_107:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 168*FLEN/8, x10, x6, x7) + +inst_108:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1737f6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1737f6; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 170*FLEN/8, x10, x6, x7) + +inst_109:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x800054e0; +valaddr_reg:x9; val_offset:172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 172*FLEN/8, x10, x6, x7) + +inst_110:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x003b70 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6511ce and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3b70; op2val:0xff6511ce; +valaddr_reg:x9; val_offset:174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 174*FLEN/8, x10, x6, x7) + +inst_111:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x003b70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x3b70; +valaddr_reg:x9; val_offset:176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 176*FLEN/8, x10, x6, x7) + +inst_112:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x003b70 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3b70; op2val:0x800054e0; +valaddr_reg:x9; val_offset:178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 178*FLEN/8, x10, x6, x7) + +inst_113:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x003b70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x3b70; +valaddr_reg:x9; val_offset:180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 180*FLEN/8, x10, x6, x7) + +inst_114:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x802ed524; +valaddr_reg:x9; val_offset:182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 182*FLEN/8, x10, x6, x7) + +inst_115:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1737f6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1737f6; op2val:0x802ed524; +valaddr_reg:x9; val_offset:184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 184*FLEN/8, x10, x6, x7) + +inst_116:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x7f0; +valaddr_reg:x9; val_offset:186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 186*FLEN/8, x10, x6, x7) + +inst_117:// fs1 == 0 and fe1 == 0x80 and fm1 == 0x087776 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x40087776; op2val:0x7f0; +valaddr_reg:x9; val_offset:188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 188*FLEN/8, x10, x6, x7) + +inst_118:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x087776 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x40087776; +valaddr_reg:x9; val_offset:190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 190*FLEN/8, x10, x6, x7) + +inst_119:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x087776 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x40087776; +valaddr_reg:x9; val_offset:192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 192*FLEN/8, x10, x6, x7) + +inst_120:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 194*FLEN/8, x10, x6, x7) + +inst_121:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 196*FLEN/8, x10, x6, x7) + +inst_122:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 198*FLEN/8, x10, x6, x7) + +inst_123:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 200*FLEN/8, x10, x6, x7) + +inst_124:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 202*FLEN/8, x10, x6, x7) + +inst_125:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0xfd204621; +valaddr_reg:x9; val_offset:204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 204*FLEN/8, x10, x6, x7) + +inst_126:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x3a2562 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x4857aa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d3a2562; op2val:0xfec857aa; +valaddr_reg:x9; val_offset:206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 206*FLEN/8, x10, x6, x7) + +inst_127:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 0 and fe2 == 0xfa and fm2 == 0x3a2562 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0x7d3a2562; +valaddr_reg:x9; val_offset:208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 208*FLEN/8, x10, x6, x7) + +inst_128:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x3a2562 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d3a2562; op2val:0xfd204621; +valaddr_reg:x9; val_offset:210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 210*FLEN/8, x10, x6, x7) + +inst_129:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0xfa and fm2 == 0x3a2562 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x7d3a2562; +valaddr_reg:x9; val_offset:212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 212*FLEN/8, x10, x6, x7) + +inst_130:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 214*FLEN/8, x10, x6, x7) + +inst_131:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x3a2562 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d3a2562; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 216*FLEN/8, x10, x6, x7) + +inst_132:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x3a2562 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7d3a2562; +valaddr_reg:x9; val_offset:218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 218*FLEN/8, x10, x6, x7) + +inst_133:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x3a2562 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d3a2562; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 220*FLEN/8, x10, x6, x7) + +inst_134:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 222*FLEN/8, x10, x6, x7) + +inst_135:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 224*FLEN/8, x10, x6, x7) + +inst_136:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 226*FLEN/8, x10, x6, x7) + +inst_137:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 228*FLEN/8, x10, x6, x7) + +inst_138:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 230*FLEN/8, x10, x6, x7) + +inst_139:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 232*FLEN/8, x10, x6, x7) + +inst_140:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x39e8a; +valaddr_reg:x9; val_offset:234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 234*FLEN/8, x10, x6, x7) + +inst_141:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x01af15 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7a0dff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1af15; op2val:0x7f7a0dff; +valaddr_reg:x9; val_offset:236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 236*FLEN/8, x10, x6, x7) + +inst_142:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x01af15 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x1af15; +valaddr_reg:x9; val_offset:238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 238*FLEN/8, x10, x6, x7) + +inst_143:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x01af15 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1af15; op2val:0x39e8a; +valaddr_reg:x9; val_offset:240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 240*FLEN/8, x10, x6, x7) + +inst_144:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x01af15 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x1af15; +valaddr_reg:x9; val_offset:242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 242*FLEN/8, x10, x6, x7) + +inst_145:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 244*FLEN/8, x10, x6, x7) + +inst_146:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x10d6d9 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x10d6d9; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 246*FLEN/8, x10, x6, x7) + +inst_147:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x10d6d9 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x10d6d9; +valaddr_reg:x9; val_offset:248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 248*FLEN/8, x10, x6, x7) + +inst_148:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x10d6d9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x10d6d9; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 250*FLEN/8, x10, x6, x7) + +inst_149:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x10d6d9 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x10d6d9; +valaddr_reg:x9; val_offset:252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 252*FLEN/8, x10, x6, x7) + +inst_150:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x253272; +valaddr_reg:x9; val_offset:254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 254*FLEN/8, x10, x6, x7) + +inst_151:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x10d6d9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x10d6d9; op2val:0x253272; +valaddr_reg:x9; val_offset:256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 256*FLEN/8, x10, x6, x7) + +inst_152:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 258*FLEN/8, x10, x6, x7) + +inst_153:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x10d6d9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x10d6d9; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 260*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_1) + +inst_154:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x1c787d; +valaddr_reg:x9; val_offset:262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 262*FLEN/8, x10, x6, x7) + +inst_155:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x10d6d9 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44b3b6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x10d6d9; op2val:0x7f44b3b6; +valaddr_reg:x9; val_offset:264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 264*FLEN/8, x10, x6, x7) + +inst_156:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x10d6d9 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x10d6d9; +valaddr_reg:x9; val_offset:266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 266*FLEN/8, x10, x6, x7) + +inst_157:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x10d6d9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x10d6d9; op2val:0x1c787d; +valaddr_reg:x9; val_offset:268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 268*FLEN/8, x10, x6, x7) + +inst_158:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x803a9174; +valaddr_reg:x9; val_offset:270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 270*FLEN/8, x10, x6, x7) + +inst_159:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x10d6d9 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x10d6d9; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 272*FLEN/8, x10, x6, x7) + +inst_160:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x10d6d9 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x10d6d9; +valaddr_reg:x9; val_offset:274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 274*FLEN/8, x10, x6, x7) + +inst_161:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x10d6d9 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x10d6d9; op2val:0x803a9174; +valaddr_reg:x9; val_offset:276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 276*FLEN/8, x10, x6, x7) + +inst_162:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x802c477d; +valaddr_reg:x9; val_offset:278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 278*FLEN/8, x10, x6, x7) + +inst_163:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x10d6d9 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x10d6d9; op2val:0x802c477d; +valaddr_reg:x9; val_offset:280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 280*FLEN/8, x10, x6, x7) + +inst_164:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 282*FLEN/8, x10, x6, x7) + +inst_165:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x10d6d9 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x10d6d9; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 284*FLEN/8, x10, x6, x7) + +inst_166:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x800054e0; +valaddr_reg:x9; val_offset:286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 286*FLEN/8, x10, x6, x7) + +inst_167:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x002b1b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6511ce and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2b1b; op2val:0xff6511ce; +valaddr_reg:x9; val_offset:288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 288*FLEN/8, x10, x6, x7) + +inst_168:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x002b1b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x2b1b; +valaddr_reg:x9; val_offset:290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 290*FLEN/8, x10, x6, x7) + +inst_169:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x002b1b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2b1b; op2val:0x800054e0; +valaddr_reg:x9; val_offset:292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 292*FLEN/8, x10, x6, x7) + +inst_170:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x002b1b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x2b1b; +valaddr_reg:x9; val_offset:294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 294*FLEN/8, x10, x6, x7) + +inst_171:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x802ed524; +valaddr_reg:x9; val_offset:296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 296*FLEN/8, x10, x6, x7) + +inst_172:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x10d6d9 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x10d6d9; op2val:0x802ed524; +valaddr_reg:x9; val_offset:298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 298*FLEN/8, x10, x6, x7) + +inst_173:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x7f0; +valaddr_reg:x9; val_offset:300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 300*FLEN/8, x10, x6, x7) + +inst_174:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x45f1c5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fc5f1c5; op2val:0x7f0; +valaddr_reg:x9; val_offset:302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 302*FLEN/8, x10, x6, x7) + +inst_175:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x45f1c5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x3fc5f1c5; +valaddr_reg:x9; val_offset:304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 304*FLEN/8, x10, x6, x7) + +inst_176:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0x7f and fm2 == 0x45f1c5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x3fc5f1c5; +valaddr_reg:x9; val_offset:306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 306*FLEN/8, x10, x6, x7) + +inst_177:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 308*FLEN/8, x10, x6, x7) + +inst_178:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 310*FLEN/8, x10, x6, x7) + +inst_179:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 312*FLEN/8, x10, x6, x7) + +inst_180:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0xfd204621; +valaddr_reg:x9; val_offset:314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 314*FLEN/8, x10, x6, x7) + +inst_181:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x044d3c and fs2 == 1 and fe2 == 0xfd and fm2 == 0x4857aa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d044d3c; op2val:0xfec857aa; +valaddr_reg:x9; val_offset:316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 316*FLEN/8, x10, x6, x7) + +inst_182:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 0 and fe2 == 0xfa and fm2 == 0x044d3c and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0x7d044d3c; +valaddr_reg:x9; val_offset:318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 318*FLEN/8, x10, x6, x7) + +inst_183:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x044d3c and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d044d3c; op2val:0xfd204621; +valaddr_reg:x9; val_offset:320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 320*FLEN/8, x10, x6, x7) + +inst_184:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0xfa and fm2 == 0x044d3c and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x7d044d3c; +valaddr_reg:x9; val_offset:322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 322*FLEN/8, x10, x6, x7) + +inst_185:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 324*FLEN/8, x10, x6, x7) + +inst_186:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x044d3c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d044d3c; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 326*FLEN/8, x10, x6, x7) + +inst_187:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x044d3c and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7d044d3c; +valaddr_reg:x9; val_offset:328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 328*FLEN/8, x10, x6, x7) + +inst_188:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x044d3c and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d044d3c; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 330*FLEN/8, x10, x6, x7) + +inst_189:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 332*FLEN/8, x10, x6, x7) + +inst_190:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 334*FLEN/8, x10, x6, x7) + +inst_191:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 336*FLEN/8, x10, x6, x7) + +inst_192:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 338*FLEN/8, x10, x6, x7) + +inst_193:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 340*FLEN/8, x10, x6, x7) + +inst_194:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 342*FLEN/8, x10, x6, x7) + +inst_195:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x39e8a; +valaddr_reg:x9; val_offset:344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 344*FLEN/8, x10, x6, x7) + +inst_196:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x013263 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7a0dff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x13263; op2val:0x7f7a0dff; +valaddr_reg:x9; val_offset:346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 346*FLEN/8, x10, x6, x7) + +inst_197:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x013263 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x13263; +valaddr_reg:x9; val_offset:348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 348*FLEN/8, x10, x6, x7) + +inst_198:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x013263 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x13263; op2val:0x39e8a; +valaddr_reg:x9; val_offset:350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 350*FLEN/8, x10, x6, x7) + +inst_199:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x013263 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x13263; +valaddr_reg:x9; val_offset:352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 352*FLEN/8, x10, x6, x7) + +inst_200:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 354*FLEN/8, x10, x6, x7) + +inst_201:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0bf7e5 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf7e5; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 356*FLEN/8, x10, x6, x7) + +inst_202:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0bf7e5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xbf7e5; +valaddr_reg:x9; val_offset:358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 358*FLEN/8, x10, x6, x7) + +inst_203:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0bf7e5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf7e5; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 360*FLEN/8, x10, x6, x7) + +inst_204:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0bf7e5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0xbf7e5; +valaddr_reg:x9; val_offset:362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 362*FLEN/8, x10, x6, x7) + +inst_205:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x253272; +valaddr_reg:x9; val_offset:364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 364*FLEN/8, x10, x6, x7) + +inst_206:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0bf7e5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf7e5; op2val:0x253272; +valaddr_reg:x9; val_offset:366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 366*FLEN/8, x10, x6, x7) + +inst_207:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 368*FLEN/8, x10, x6, x7) + +inst_208:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0bf7e5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf7e5; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 370*FLEN/8, x10, x6, x7) + +inst_209:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x1c787d; +valaddr_reg:x9; val_offset:372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 372*FLEN/8, x10, x6, x7) + +inst_210:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0bf7e5 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44b3b6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf7e5; op2val:0x7f44b3b6; +valaddr_reg:x9; val_offset:374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 374*FLEN/8, x10, x6, x7) + +inst_211:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0bf7e5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0xbf7e5; +valaddr_reg:x9; val_offset:376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 376*FLEN/8, x10, x6, x7) + +inst_212:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0bf7e5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf7e5; op2val:0x1c787d; +valaddr_reg:x9; val_offset:378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 378*FLEN/8, x10, x6, x7) + +inst_213:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x803a9174; +valaddr_reg:x9; val_offset:380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 380*FLEN/8, x10, x6, x7) + +inst_214:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0bf7e5 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf7e5; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 382*FLEN/8, x10, x6, x7) + +inst_215:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0bf7e5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xbf7e5; +valaddr_reg:x9; val_offset:384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 384*FLEN/8, x10, x6, x7) + +inst_216:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0bf7e5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf7e5; op2val:0x803a9174; +valaddr_reg:x9; val_offset:386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 386*FLEN/8, x10, x6, x7) + +inst_217:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x802c477d; +valaddr_reg:x9; val_offset:388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 388*FLEN/8, x10, x6, x7) + +inst_218:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0bf7e5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf7e5; op2val:0x802c477d; +valaddr_reg:x9; val_offset:390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 390*FLEN/8, x10, x6, x7) + +inst_219:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 392*FLEN/8, x10, x6, x7) + +inst_220:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0bf7e5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf7e5; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 394*FLEN/8, x10, x6, x7) + +inst_221:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x800054e0; +valaddr_reg:x9; val_offset:396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 396*FLEN/8, x10, x6, x7) + +inst_222:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x001ea3 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6511ce and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1ea3; op2val:0xff6511ce; +valaddr_reg:x9; val_offset:398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 398*FLEN/8, x10, x6, x7) + +inst_223:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x001ea3 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x1ea3; +valaddr_reg:x9; val_offset:400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 400*FLEN/8, x10, x6, x7) + +inst_224:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x001ea3 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1ea3; op2val:0x800054e0; +valaddr_reg:x9; val_offset:402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 402*FLEN/8, x10, x6, x7) + +inst_225:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x001ea3 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x1ea3; +valaddr_reg:x9; val_offset:404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 404*FLEN/8, x10, x6, x7) + +inst_226:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x802ed524; +valaddr_reg:x9; val_offset:406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 406*FLEN/8, x10, x6, x7) + +inst_227:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0bf7e5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf7e5; op2val:0x802ed524; +valaddr_reg:x9; val_offset:408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 408*FLEN/8, x10, x6, x7) + +inst_228:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x7f0; +valaddr_reg:x9; val_offset:410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 410*FLEN/8, x10, x6, x7) + +inst_229:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x0caff3 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f8caff3; op2val:0x7f0; +valaddr_reg:x9; val_offset:412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 412*FLEN/8, x10, x6, x7) + +inst_230:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x0caff3 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x3f8caff3; +valaddr_reg:x9; val_offset:414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 414*FLEN/8, x10, x6, x7) + +inst_231:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0x7f and fm2 == 0x0caff3 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x3f8caff3; +valaddr_reg:x9; val_offset:416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 416*FLEN/8, x10, x6, x7) + +inst_232:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 418*FLEN/8, x10, x6, x7) + +inst_233:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0xfd204621; +valaddr_reg:x9; val_offset:420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 420*FLEN/8, x10, x6, x7) + +inst_234:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x11d3bf and fs2 == 1 and fe2 == 0xfd and fm2 == 0x4857aa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d91d3bf; op2val:0xfec857aa; +valaddr_reg:x9; val_offset:422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 422*FLEN/8, x10, x6, x7) + +inst_235:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 0 and fe2 == 0xfb and fm2 == 0x11d3bf and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0x7d91d3bf; +valaddr_reg:x9; val_offset:424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 424*FLEN/8, x10, x6, x7) + +inst_236:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x11d3bf and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d91d3bf; op2val:0xfd204621; +valaddr_reg:x9; val_offset:426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 426*FLEN/8, x10, x6, x7) + +inst_237:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0xfb and fm2 == 0x11d3bf and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x7d91d3bf; +valaddr_reg:x9; val_offset:428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 428*FLEN/8, x10, x6, x7) + +inst_238:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 430*FLEN/8, x10, x6, x7) + +inst_239:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x11d3bf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d91d3bf; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 432*FLEN/8, x10, x6, x7) + +inst_240:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x11d3bf and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7d91d3bf; +valaddr_reg:x9; val_offset:434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 434*FLEN/8, x10, x6, x7) + +inst_241:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x11d3bf and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d91d3bf; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 436*FLEN/8, x10, x6, x7) + +inst_242:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 438*FLEN/8, x10, x6, x7) + +inst_243:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 440*FLEN/8, x10, x6, x7) + +inst_244:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 442*FLEN/8, x10, x6, x7) + +inst_245:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 444*FLEN/8, x10, x6, x7) + +inst_246:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 446*FLEN/8, x10, x6, x7) + +inst_247:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 448*FLEN/8, x10, x6, x7) + +inst_248:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x39e8a; +valaddr_reg:x9; val_offset:450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 450*FLEN/8, x10, x6, x7) + +inst_249:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x02a36c and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7a0dff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2a36c; op2val:0x7f7a0dff; +valaddr_reg:x9; val_offset:452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 452*FLEN/8, x10, x6, x7) + +inst_250:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x02a36c and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x2a36c; +valaddr_reg:x9; val_offset:454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 454*FLEN/8, x10, x6, x7) + +inst_251:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x02a36c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2a36c; op2val:0x39e8a; +valaddr_reg:x9; val_offset:456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 456*FLEN/8, x10, x6, x7) + +inst_252:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0x00 and fm2 == 0x02a36c and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x2a36c; +valaddr_reg:x9; val_offset:458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 458*FLEN/8, x10, x6, x7) + +inst_253:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 460*FLEN/8, x10, x6, x7) + +inst_254:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a6240 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a6240; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 462*FLEN/8, x10, x6, x7) + +inst_255:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a6240 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x1a6240; +valaddr_reg:x9; val_offset:464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 464*FLEN/8, x10, x6, x7) + +inst_256:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a6240 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a6240; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 466*FLEN/8, x10, x6, x7) + +inst_257:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a6240 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x1a6240; +valaddr_reg:x9; val_offset:468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 468*FLEN/8, x10, x6, x7) + +inst_258:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x253272; +valaddr_reg:x9; val_offset:470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 470*FLEN/8, x10, x6, x7) + +inst_259:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a6240 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a6240; op2val:0x253272; +valaddr_reg:x9; val_offset:472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 472*FLEN/8, x10, x6, x7) + +inst_260:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 474*FLEN/8, x10, x6, x7) + +inst_261:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a6240 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a6240; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 476*FLEN/8, x10, x6, x7) + +inst_262:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x1c787d; +valaddr_reg:x9; val_offset:478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 478*FLEN/8, x10, x6, x7) + +inst_263:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a6240 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44b3b6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a6240; op2val:0x7f44b3b6; +valaddr_reg:x9; val_offset:480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 480*FLEN/8, x10, x6, x7) + +inst_264:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a6240 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x1a6240; +valaddr_reg:x9; val_offset:482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 482*FLEN/8, x10, x6, x7) + +inst_265:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a6240 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a6240; op2val:0x1c787d; +valaddr_reg:x9; val_offset:484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 484*FLEN/8, x10, x6, x7) + +inst_266:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x803a9174; +valaddr_reg:x9; val_offset:486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 486*FLEN/8, x10, x6, x7) + +inst_267:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a6240 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a6240; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 488*FLEN/8, x10, x6, x7) + +inst_268:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a6240 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x1a6240; +valaddr_reg:x9; val_offset:490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 490*FLEN/8, x10, x6, x7) + +inst_269:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a6240 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a6240; op2val:0x803a9174; +valaddr_reg:x9; val_offset:492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 492*FLEN/8, x10, x6, x7) + +inst_270:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x802c477d; +valaddr_reg:x9; val_offset:494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 494*FLEN/8, x10, x6, x7) + +inst_271:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a6240 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a6240; op2val:0x802c477d; +valaddr_reg:x9; val_offset:496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 496*FLEN/8, x10, x6, x7) + +inst_272:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 498*FLEN/8, x10, x6, x7) + +inst_273:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a6240 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a6240; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 500*FLEN/8, x10, x6, x7) + +inst_274:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x800054e0; +valaddr_reg:x9; val_offset:502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 502*FLEN/8, x10, x6, x7) + +inst_275:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00438a and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6511ce and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x438a; op2val:0xff6511ce; +valaddr_reg:x9; val_offset:504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 504*FLEN/8, x10, x6, x7) + +inst_276:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00438a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x438a; +valaddr_reg:x9; val_offset:506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 506*FLEN/8, x10, x6, x7) + +inst_277:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00438a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x438a; op2val:0x800054e0; +valaddr_reg:x9; val_offset:508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 508*FLEN/8, x10, x6, x7) + +inst_278:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00438a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x438a; +valaddr_reg:x9; val_offset:510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 510*FLEN/8, x10, x6, x7) + +inst_279:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x802ed524; +valaddr_reg:x9; val_offset:512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 512*FLEN/8, x10, x6, x7) + +inst_280:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a6240 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a6240; op2val:0x802ed524; +valaddr_reg:x9; val_offset:514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 514*FLEN/8, x10, x6, x7) + +inst_281:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x7f0; +valaddr_reg:x9; val_offset:516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 516*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_2) + +inst_282:// fs1 == 0 and fe1 == 0x80 and fm1 == 0x1b11ec and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x401b11ec; op2val:0x7f0; +valaddr_reg:x9; val_offset:518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 518*FLEN/8, x10, x6, x7) + +inst_283:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x1b11ec and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x401b11ec; +valaddr_reg:x9; val_offset:520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 520*FLEN/8, x10, x6, x7) + +inst_284:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0x80 and fm2 == 0x1b11ec and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x401b11ec; +valaddr_reg:x9; val_offset:522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 522*FLEN/8, x10, x6, x7) + +inst_285:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 524*FLEN/8, x10, x6, x7) + +inst_286:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 526*FLEN/8, x10, x6, x7) + +inst_287:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x4857aa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0xfec857aa; +valaddr_reg:x9; val_offset:528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 528*FLEN/8, x10, x6, x7) + +inst_288:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0xfd204621; +valaddr_reg:x9; val_offset:530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 530*FLEN/8, x10, x6, x7) + +inst_289:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 532*FLEN/8, x10, x6, x7) + +inst_290:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 534*FLEN/8, x10, x6, x7) + +inst_291:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 536*FLEN/8, x10, x6, x7) + +inst_292:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 538*FLEN/8, x10, x6, x7) + +inst_293:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 540*FLEN/8, x10, x6, x7) + +inst_294:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 542*FLEN/8, x10, x6, x7) + +inst_295:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 544*FLEN/8, x10, x6, x7) + +inst_296:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 546*FLEN/8, x10, x6, x7) + +inst_297:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 548*FLEN/8, x10, x6, x7) + +inst_298:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0xfd204621; +valaddr_reg:x9; val_offset:550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 550*FLEN/8, x10, x6, x7) + +inst_299:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 552*FLEN/8, x10, x6, x7) + +inst_300:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x7194bc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0xfcf194bc; +valaddr_reg:x9; val_offset:554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 554*FLEN/8, x10, x6, x7) + +inst_301:// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x7194bc and fs2 == 1 and fe2 == 0xfd and fm2 == 0x4857aa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfcf194bc; op2val:0xfec857aa; +valaddr_reg:x9; val_offset:556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 556*FLEN/8, x10, x6, x7) + +inst_302:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 558*FLEN/8, x10, x6, x7) + +inst_303:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 560*FLEN/8, x10, x6, x7) + +inst_304:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 1 and fe2 == 0xfa and fm2 == 0x3a4e98 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0xfd3a4e98; +valaddr_reg:x9; val_offset:562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 562*FLEN/8, x10, x6, x7) + +inst_305:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x3a4e98 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x4857aa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd3a4e98; op2val:0xfec857aa; +valaddr_reg:x9; val_offset:564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 564*FLEN/8, x10, x6, x7) + +inst_306:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 566*FLEN/8, x10, x6, x7) + +inst_307:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 568*FLEN/8, x10, x6, x7) + +inst_308:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 1 and fe2 == 0xfa and fm2 == 0x0c075f and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0xfd0c075f; +valaddr_reg:x9; val_offset:570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 570*FLEN/8, x10, x6, x7) + +inst_309:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x0c075f and fs2 == 1 and fe2 == 0xfd and fm2 == 0x4857aa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd0c075f; op2val:0xfec857aa; +valaddr_reg:x9; val_offset:572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 572*FLEN/8, x10, x6, x7) + +inst_310:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 574*FLEN/8, x10, x6, x7) + +inst_311:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x39e8a; +valaddr_reg:x9; val_offset:576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 576*FLEN/8, x10, x6, x7) + +inst_312:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x01732b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x480b33 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8001732b; op2val:0x7dc80b33; +valaddr_reg:x9; val_offset:578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 578*FLEN/8, x10, x6, x7) + +inst_313:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x480b33 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x01732b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dc80b33; op2val:0x8001732b; +valaddr_reg:x9; val_offset:580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 580*FLEN/8, x10, x6, x7) + +inst_314:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x01732b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8001732b; op2val:0x39e8a; +valaddr_reg:x9; val_offset:582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 582*FLEN/8, x10, x6, x7) + +inst_315:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x01732b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x8001732b; +valaddr_reg:x9; val_offset:584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 584*FLEN/8, x10, x6, x7) + +inst_316:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 586*FLEN/8, x10, x6, x7) + +inst_317:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x6ce8a1 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x7dece8a1; +valaddr_reg:x9; val_offset:588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 588*FLEN/8, x10, x6, x7) + +inst_318:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x6ce8a1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e7fb0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dece8a1; op2val:0x800e7fb0; +valaddr_reg:x9; val_offset:590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 590*FLEN/8, x10, x6, x7) + +inst_319:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 592*FLEN/8, x10, x6, x7) + +inst_320:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e7fb0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x800e7fb0; +valaddr_reg:x9; val_offset:594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 594*FLEN/8, x10, x6, x7) + +inst_321:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x253272; +valaddr_reg:x9; val_offset:596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 596*FLEN/8, x10, x6, x7) + +inst_322:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4d97f8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x7dcd97f8; +valaddr_reg:x9; val_offset:598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 598*FLEN/8, x10, x6, x7) + +inst_323:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4d97f8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e7fb0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dcd97f8; op2val:0x800e7fb0; +valaddr_reg:x9; val_offset:600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 600*FLEN/8, x10, x6, x7) + +inst_324:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x253272; +valaddr_reg:x9; val_offset:602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 602*FLEN/8, x10, x6, x7) + +inst_325:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 604*FLEN/8, x10, x6, x7) + +inst_326:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x7fc1a6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x7dffc1a6; +valaddr_reg:x9; val_offset:606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 606*FLEN/8, x10, x6, x7) + +inst_327:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x7fc1a6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e7fb0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dffc1a6; op2val:0x800e7fb0; +valaddr_reg:x9; val_offset:608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 608*FLEN/8, x10, x6, x7) + +inst_328:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 610*FLEN/8, x10, x6, x7) + +inst_329:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x1c787d; +valaddr_reg:x9; val_offset:612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 612*FLEN/8, x10, x6, x7) + +inst_330:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x1d5c91 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x7d9d5c91; +valaddr_reg:x9; val_offset:614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 614*FLEN/8, x10, x6, x7) + +inst_331:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d5c91 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e7fb0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d9d5c91; op2val:0x800e7fb0; +valaddr_reg:x9; val_offset:616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 616*FLEN/8, x10, x6, x7) + +inst_332:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x1c787d; +valaddr_reg:x9; val_offset:618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 618*FLEN/8, x10, x6, x7) + +inst_333:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x803a9174; +valaddr_reg:x9; val_offset:620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 620*FLEN/8, x10, x6, x7) + +inst_334:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x21db85 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0xfe21db85; +valaddr_reg:x9; val_offset:622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 622*FLEN/8, x10, x6, x7) + +inst_335:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x21db85 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e7fb0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe21db85; op2val:0x800e7fb0; +valaddr_reg:x9; val_offset:624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 624*FLEN/8, x10, x6, x7) + +inst_336:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x803a9174; +valaddr_reg:x9; val_offset:626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 626*FLEN/8, x10, x6, x7) + +inst_337:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x802c477d; +valaddr_reg:x9; val_offset:628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 628*FLEN/8, x10, x6, x7) + +inst_338:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x74bcf0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0xfdf4bcf0; +valaddr_reg:x9; val_offset:630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 630*FLEN/8, x10, x6, x7) + +inst_339:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x74bcf0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e7fb0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdf4bcf0; op2val:0x800e7fb0; +valaddr_reg:x9; val_offset:632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 632*FLEN/8, x10, x6, x7) + +inst_340:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x802c477d; +valaddr_reg:x9; val_offset:634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 634*FLEN/8, x10, x6, x7) + +inst_341:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 636*FLEN/8, x10, x6, x7) + +inst_342:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x14db11 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0xfe14db11; +valaddr_reg:x9; val_offset:638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 638*FLEN/8, x10, x6, x7) + +inst_343:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x14db11 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e7fb0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe14db11; op2val:0x800e7fb0; +valaddr_reg:x9; val_offset:640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 640*FLEN/8, x10, x6, x7) + +inst_344:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 642*FLEN/8, x10, x6, x7) + +inst_345:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x800054e0; +valaddr_reg:x9; val_offset:644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 644*FLEN/8, x10, x6, x7) + +inst_346:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00251d and fs2 == 1 and fe2 == 0xfb and fm2 == 0x374171 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000251d; op2val:0xfdb74171; +valaddr_reg:x9; val_offset:646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 646*FLEN/8, x10, x6, x7) + +inst_347:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x374171 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00251d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdb74171; op2val:0x8000251d; +valaddr_reg:x9; val_offset:648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 648*FLEN/8, x10, x6, x7) + +inst_348:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00251d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000251d; op2val:0x800054e0; +valaddr_reg:x9; val_offset:650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 650*FLEN/8, x10, x6, x7) + +inst_349:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00251d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x8000251d; +valaddr_reg:x9; val_offset:652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 652*FLEN/8, x10, x6, x7) + +inst_350:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x802ed524; +valaddr_reg:x9; val_offset:654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 654*FLEN/8, x10, x6, x7) + +inst_351:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x016ce1 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0xfe016ce1; +valaddr_reg:x9; val_offset:656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 656*FLEN/8, x10, x6, x7) + +inst_352:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x016ce1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e7fb0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe016ce1; op2val:0x800e7fb0; +valaddr_reg:x9; val_offset:658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 658*FLEN/8, x10, x6, x7) + +inst_353:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x802ed524; +valaddr_reg:x9; val_offset:660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 660*FLEN/8, x10, x6, x7) + +inst_354:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x7f0; +valaddr_reg:x9; val_offset:662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 662*FLEN/8, x10, x6, x7) + +inst_355:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x2a6eb8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbfaa6eb8; op2val:0x7f0; +valaddr_reg:x9; val_offset:664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 664*FLEN/8, x10, x6, x7) + +inst_356:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x2a6eb8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xbfaa6eb8; +valaddr_reg:x9; val_offset:666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 666*FLEN/8, x10, x6, x7) + +inst_357:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x2a6eb8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0xbfaa6eb8; +valaddr_reg:x9; val_offset:668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 668*FLEN/8, x10, x6, x7) + +inst_358:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 670*FLEN/8, x10, x6, x7) + +inst_359:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 672*FLEN/8, x10, x6, x7) + +inst_360:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 674*FLEN/8, x10, x6, x7) + +inst_361:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 676*FLEN/8, x10, x6, x7) + +inst_362:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 678*FLEN/8, x10, x6, x7) + +inst_363:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 680*FLEN/8, x10, x6, x7) + +inst_364:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 682*FLEN/8, x10, x6, x7) + +inst_365:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 684*FLEN/8, x10, x6, x7) + +inst_366:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 686*FLEN/8, x10, x6, x7) + +inst_367:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 688*FLEN/8, x10, x6, x7) + +inst_368:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 690*FLEN/8, x10, x6, x7) + +inst_369:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 692*FLEN/8, x10, x6, x7) + +inst_370:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 694*FLEN/8, x10, x6, x7) + +inst_371:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x7194bc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xfcf194bc; +valaddr_reg:x9; val_offset:696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 696*FLEN/8, x10, x6, x7) + +inst_372:// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x7194bc and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfcf194bc; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 698*FLEN/8, x10, x6, x7) + +inst_373:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 700*FLEN/8, x10, x6, x7) + +inst_374:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 702*FLEN/8, x10, x6, x7) + +inst_375:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x3a4e98 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xfd3a4e98; +valaddr_reg:x9; val_offset:704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 704*FLEN/8, x10, x6, x7) + +inst_376:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x3a4e98 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd3a4e98; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 706*FLEN/8, x10, x6, x7) + +inst_377:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 708*FLEN/8, x10, x6, x7) + +inst_378:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 710*FLEN/8, x10, x6, x7) + +inst_379:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x0c075f and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xfd0c075f; +valaddr_reg:x9; val_offset:712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 712*FLEN/8, x10, x6, x7) + +inst_380:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x0c075f and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd0c075f; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 714*FLEN/8, x10, x6, x7) + +inst_381:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 716*FLEN/8, x10, x6, x7) + +inst_382:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x39e8a; +valaddr_reg:x9; val_offset:718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 718*FLEN/8, x10, x6, x7) + +inst_383:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x075661 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x480b33 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80075661; op2val:0x7dc80b33; +valaddr_reg:x9; val_offset:720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 720*FLEN/8, x10, x6, x7) + +inst_384:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x480b33 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x075661 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dc80b33; op2val:0x80075661; +valaddr_reg:x9; val_offset:722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 722*FLEN/8, x10, x6, x7) + +inst_385:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x075661 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80075661; op2val:0x39e8a; +valaddr_reg:x9; val_offset:724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 724*FLEN/8, x10, x6, x7) + +inst_386:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x075661 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x80075661; +valaddr_reg:x9; val_offset:726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 726*FLEN/8, x10, x6, x7) + +inst_387:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 728*FLEN/8, x10, x6, x7) + +inst_388:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 0 and fe2 == 0xfb and fm2 == 0x6ce8a1 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x7dece8a1; +valaddr_reg:x9; val_offset:730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 730*FLEN/8, x10, x6, x7) + +inst_389:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x6ce8a1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x495fcb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dece8a1; op2val:0x80495fcb; +valaddr_reg:x9; val_offset:732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 732*FLEN/8, x10, x6, x7) + +inst_390:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 734*FLEN/8, x10, x6, x7) + +inst_391:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x495fcb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x80495fcb; +valaddr_reg:x9; val_offset:736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 736*FLEN/8, x10, x6, x7) + +inst_392:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x253272; +valaddr_reg:x9; val_offset:738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 738*FLEN/8, x10, x6, x7) + +inst_393:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4d97f8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x7dcd97f8; +valaddr_reg:x9; val_offset:740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 740*FLEN/8, x10, x6, x7) + +inst_394:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4d97f8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x495fcb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dcd97f8; op2val:0x80495fcb; +valaddr_reg:x9; val_offset:742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 742*FLEN/8, x10, x6, x7) + +inst_395:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x253272; +valaddr_reg:x9; val_offset:744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 744*FLEN/8, x10, x6, x7) + +inst_396:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 746*FLEN/8, x10, x6, x7) + +inst_397:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 0 and fe2 == 0xfb and fm2 == 0x7fc1a6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x7dffc1a6; +valaddr_reg:x9; val_offset:748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 748*FLEN/8, x10, x6, x7) + +inst_398:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x7fc1a6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x495fcb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dffc1a6; op2val:0x80495fcb; +valaddr_reg:x9; val_offset:750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 750*FLEN/8, x10, x6, x7) + +inst_399:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 752*FLEN/8, x10, x6, x7) + +inst_400:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x1c787d; +valaddr_reg:x9; val_offset:754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 754*FLEN/8, x10, x6, x7) + +inst_401:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 0 and fe2 == 0xfb and fm2 == 0x1d5c91 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x7d9d5c91; +valaddr_reg:x9; val_offset:756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 756*FLEN/8, x10, x6, x7) + +inst_402:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d5c91 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x495fcb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d9d5c91; op2val:0x80495fcb; +valaddr_reg:x9; val_offset:758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 758*FLEN/8, x10, x6, x7) + +inst_403:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x1c787d; +valaddr_reg:x9; val_offset:760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 760*FLEN/8, x10, x6, x7) + +inst_404:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x803a9174; +valaddr_reg:x9; val_offset:762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 762*FLEN/8, x10, x6, x7) + +inst_405:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 1 and fe2 == 0xfc and fm2 == 0x21db85 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0xfe21db85; +valaddr_reg:x9; val_offset:764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 764*FLEN/8, x10, x6, x7) + +inst_406:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x21db85 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x495fcb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe21db85; op2val:0x80495fcb; +valaddr_reg:x9; val_offset:766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 766*FLEN/8, x10, x6, x7) + +inst_407:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x803a9174; +valaddr_reg:x9; val_offset:768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 768*FLEN/8, x10, x6, x7) + +inst_408:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x802c477d; +valaddr_reg:x9; val_offset:770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 770*FLEN/8, x10, x6, x7) + +inst_409:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 1 and fe2 == 0xfb and fm2 == 0x74bcf0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0xfdf4bcf0; +valaddr_reg:x9; val_offset:772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 772*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_3) + +inst_410:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x74bcf0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x495fcb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdf4bcf0; op2val:0x80495fcb; +valaddr_reg:x9; val_offset:774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 774*FLEN/8, x10, x6, x7) + +inst_411:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x802c477d; +valaddr_reg:x9; val_offset:776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 776*FLEN/8, x10, x6, x7) + +inst_412:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 778*FLEN/8, x10, x6, x7) + +inst_413:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 1 and fe2 == 0xfc and fm2 == 0x14db11 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0xfe14db11; +valaddr_reg:x9; val_offset:780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 780*FLEN/8, x10, x6, x7) + +inst_414:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x14db11 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x495fcb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe14db11; op2val:0x80495fcb; +valaddr_reg:x9; val_offset:782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 782*FLEN/8, x10, x6, x7) + +inst_415:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 784*FLEN/8, x10, x6, x7) + +inst_416:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x800054e0; +valaddr_reg:x9; val_offset:786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 786*FLEN/8, x10, x6, x7) + +inst_417:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00bbd6 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x374171 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000bbd6; op2val:0xfdb74171; +valaddr_reg:x9; val_offset:788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 788*FLEN/8, x10, x6, x7) + +inst_418:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x374171 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00bbd6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdb74171; op2val:0x8000bbd6; +valaddr_reg:x9; val_offset:790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 790*FLEN/8, x10, x6, x7) + +inst_419:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00bbd6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000bbd6; op2val:0x800054e0; +valaddr_reg:x9; val_offset:792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 792*FLEN/8, x10, x6, x7) + +inst_420:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00bbd6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x8000bbd6; +valaddr_reg:x9; val_offset:794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 794*FLEN/8, x10, x6, x7) + +inst_421:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x802ed524; +valaddr_reg:x9; val_offset:796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 796*FLEN/8, x10, x6, x7) + +inst_422:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 1 and fe2 == 0xfc and fm2 == 0x016ce1 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0xfe016ce1; +valaddr_reg:x9; val_offset:798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 798*FLEN/8, x10, x6, x7) + +inst_423:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x016ce1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x495fcb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe016ce1; op2val:0x80495fcb; +valaddr_reg:x9; val_offset:800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 800*FLEN/8, x10, x6, x7) + +inst_424:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x802ed524; +valaddr_reg:x9; val_offset:802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 802*FLEN/8, x10, x6, x7) + +inst_425:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x7f0; +valaddr_reg:x9; val_offset:804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 804*FLEN/8, x10, x6, x7) + +inst_426:// fs1 == 1 and fe1 == 0x81 and fm1 == 0x57a09d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc0d7a09d; op2val:0x7f0; +valaddr_reg:x9; val_offset:806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 806*FLEN/8, x10, x6, x7) + +inst_427:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x57a09d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc0d7a09d; +valaddr_reg:x9; val_offset:808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 808*FLEN/8, x10, x6, x7) + +inst_428:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x57a09d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0xc0d7a09d; +valaddr_reg:x9; val_offset:810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 810*FLEN/8, x10, x6, x7) + +inst_429:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 812*FLEN/8, x10, x6, x7) + +inst_430:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0xfd204621; +valaddr_reg:x9; val_offset:814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 814*FLEN/8, x10, x6, x7) + +inst_431:// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x7194bc and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfcf194bc; op2val:0xfd204621; +valaddr_reg:x9; val_offset:816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 816*FLEN/8, x10, x6, x7) + +inst_432:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x7194bc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0xfcf194bc; +valaddr_reg:x9; val_offset:818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 818*FLEN/8, x10, x6, x7) + +inst_433:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 820*FLEN/8, x10, x6, x7) + +inst_434:// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x7194bc and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfcf194bc; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 822*FLEN/8, x10, x6, x7) + +inst_435:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 824*FLEN/8, x10, x6, x7) + +inst_436:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 826*FLEN/8, x10, x6, x7) + +inst_437:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 828*FLEN/8, x10, x6, x7) + +inst_438:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 830*FLEN/8, x10, x6, x7) + +inst_439:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x39e8a; +valaddr_reg:x9; val_offset:832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 832*FLEN/8, x10, x6, x7) + +inst_440:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0117bb and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7a0dff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800117bb; op2val:0x7f7a0dff; +valaddr_reg:x9; val_offset:834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 834*FLEN/8, x10, x6, x7) + +inst_441:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0117bb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x800117bb; +valaddr_reg:x9; val_offset:836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 836*FLEN/8, x10, x6, x7) + +inst_442:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0117bb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800117bb; op2val:0x39e8a; +valaddr_reg:x9; val_offset:838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 838*FLEN/8, x10, x6, x7) + +inst_443:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0117bb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x800117bb; +valaddr_reg:x9; val_offset:840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 840*FLEN/8, x10, x6, x7) + +inst_444:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 842*FLEN/8, x10, x6, x7) + +inst_445:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0aed51 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800aed51; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 844*FLEN/8, x10, x6, x7) + +inst_446:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0aed51 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x800aed51; +valaddr_reg:x9; val_offset:846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 846*FLEN/8, x10, x6, x7) + +inst_447:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0aed51 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800aed51; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 848*FLEN/8, x10, x6, x7) + +inst_448:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0aed51 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x800aed51; +valaddr_reg:x9; val_offset:850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 850*FLEN/8, x10, x6, x7) + +inst_449:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x253272; +valaddr_reg:x9; val_offset:852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 852*FLEN/8, x10, x6, x7) + +inst_450:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0aed51 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800aed51; op2val:0x253272; +valaddr_reg:x9; val_offset:854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 854*FLEN/8, x10, x6, x7) + +inst_451:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 856*FLEN/8, x10, x6, x7) + +inst_452:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0aed51 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800aed51; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 858*FLEN/8, x10, x6, x7) + +inst_453:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x1c787d; +valaddr_reg:x9; val_offset:860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 860*FLEN/8, x10, x6, x7) + +inst_454:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0aed51 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44b3b6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800aed51; op2val:0x7f44b3b6; +valaddr_reg:x9; val_offset:862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 862*FLEN/8, x10, x6, x7) + +inst_455:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0aed51 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x800aed51; +valaddr_reg:x9; val_offset:864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 864*FLEN/8, x10, x6, x7) + +inst_456:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0aed51 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800aed51; op2val:0x1c787d; +valaddr_reg:x9; val_offset:866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 866*FLEN/8, x10, x6, x7) + +inst_457:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x803a9174; +valaddr_reg:x9; val_offset:868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 868*FLEN/8, x10, x6, x7) + +inst_458:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0aed51 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800aed51; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 870*FLEN/8, x10, x6, x7) + +inst_459:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0aed51 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x800aed51; +valaddr_reg:x9; val_offset:872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 872*FLEN/8, x10, x6, x7) + +inst_460:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0aed51 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800aed51; op2val:0x803a9174; +valaddr_reg:x9; val_offset:874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 874*FLEN/8, x10, x6, x7) + +inst_461:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x802c477d; +valaddr_reg:x9; val_offset:876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 876*FLEN/8, x10, x6, x7) + +inst_462:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0aed51 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800aed51; op2val:0x802c477d; +valaddr_reg:x9; val_offset:878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 878*FLEN/8, x10, x6, x7) + +inst_463:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 880*FLEN/8, x10, x6, x7) + +inst_464:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0aed51 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800aed51; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 882*FLEN/8, x10, x6, x7) + +inst_465:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x800054e0; +valaddr_reg:x9; val_offset:884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 884*FLEN/8, x10, x6, x7) + +inst_466:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x001bf9 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6511ce and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80001bf9; op2val:0xff6511ce; +valaddr_reg:x9; val_offset:886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 886*FLEN/8, x10, x6, x7) + +inst_467:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 1 and fe2 == 0x00 and fm2 == 0x001bf9 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x80001bf9; +valaddr_reg:x9; val_offset:888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 888*FLEN/8, x10, x6, x7) + +inst_468:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x001bf9 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80001bf9; op2val:0x800054e0; +valaddr_reg:x9; val_offset:890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 890*FLEN/8, x10, x6, x7) + +inst_469:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x001bf9 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x80001bf9; +valaddr_reg:x9; val_offset:892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 892*FLEN/8, x10, x6, x7) + +inst_470:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x802ed524; +valaddr_reg:x9; val_offset:894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 894*FLEN/8, x10, x6, x7) + +inst_471:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0aed51 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800aed51; op2val:0x802ed524; +valaddr_reg:x9; val_offset:896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 896*FLEN/8, x10, x6, x7) + +inst_472:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x7f0; +valaddr_reg:x9; val_offset:898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 898*FLEN/8, x10, x6, x7) + +inst_473:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x00724d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf80724d; op2val:0x7f0; +valaddr_reg:x9; val_offset:900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 900*FLEN/8, x10, x6, x7) + +inst_474:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x00724d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xbf80724d; +valaddr_reg:x9; val_offset:902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 902*FLEN/8, x10, x6, x7) + +inst_475:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x00724d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0xbf80724d; +valaddr_reg:x9; val_offset:904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 904*FLEN/8, x10, x6, x7) + +inst_476:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 906*FLEN/8, x10, x6, x7) + +inst_477:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0xfd204621; +valaddr_reg:x9; val_offset:908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 908*FLEN/8, x10, x6, x7) + +inst_478:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x3a4e98 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd3a4e98; op2val:0xfd204621; +valaddr_reg:x9; val_offset:910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 910*FLEN/8, x10, x6, x7) + +inst_479:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0xfa and fm2 == 0x3a4e98 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0xfd3a4e98; +valaddr_reg:x9; val_offset:912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 912*FLEN/8, x10, x6, x7) + +inst_480:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 914*FLEN/8, x10, x6, x7) + +inst_481:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x3a4e98 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd3a4e98; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 916*FLEN/8, x10, x6, x7) + +inst_482:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 918*FLEN/8, x10, x6, x7) + +inst_483:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 920*FLEN/8, x10, x6, x7) + +inst_484:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x39e8a; +valaddr_reg:x9; val_offset:922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 922*FLEN/8, x10, x6, x7) + +inst_485:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x01af75 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7a0dff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8001af75; op2val:0x7f7a0dff; +valaddr_reg:x9; val_offset:924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 924*FLEN/8, x10, x6, x7) + +inst_486:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x01af75 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x8001af75; +valaddr_reg:x9; val_offset:926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 926*FLEN/8, x10, x6, x7) + +inst_487:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x01af75 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8001af75; op2val:0x39e8a; +valaddr_reg:x9; val_offset:928*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 928*FLEN/8, x10, x6, x7) + +inst_488:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x01af75 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x8001af75; +valaddr_reg:x9; val_offset:930*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 930*FLEN/8, x10, x6, x7) + +inst_489:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:932*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 932*FLEN/8, x10, x6, x7) + +inst_490:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x10da93 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8010da93; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:934*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 934*FLEN/8, x10, x6, x7) + +inst_491:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x10da93 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x8010da93; +valaddr_reg:x9; val_offset:936*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 936*FLEN/8, x10, x6, x7) + +inst_492:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x10da93 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8010da93; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:938*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 938*FLEN/8, x10, x6, x7) + +inst_493:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x10da93 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x8010da93; +valaddr_reg:x9; val_offset:940*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 940*FLEN/8, x10, x6, x7) + +inst_494:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x253272; +valaddr_reg:x9; val_offset:942*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 942*FLEN/8, x10, x6, x7) + +inst_495:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x10da93 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8010da93; op2val:0x253272; +valaddr_reg:x9; val_offset:944*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 944*FLEN/8, x10, x6, x7) + +inst_496:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:946*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 946*FLEN/8, x10, x6, x7) + +inst_497:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x10da93 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8010da93; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:948*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 948*FLEN/8, x10, x6, x7) + +inst_498:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x1c787d; +valaddr_reg:x9; val_offset:950*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 950*FLEN/8, x10, x6, x7) + +inst_499:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x10da93 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44b3b6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8010da93; op2val:0x7f44b3b6; +valaddr_reg:x9; val_offset:952*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 952*FLEN/8, x10, x6, x7) + +inst_500:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x10da93 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x8010da93; +valaddr_reg:x9; val_offset:954*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 954*FLEN/8, x10, x6, x7) + +inst_501:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x10da93 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8010da93; op2val:0x1c787d; +valaddr_reg:x9; val_offset:956*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 956*FLEN/8, x10, x6, x7) + +inst_502:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x803a9174; +valaddr_reg:x9; val_offset:958*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 958*FLEN/8, x10, x6, x7) + +inst_503:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x10da93 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8010da93; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:960*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 960*FLEN/8, x10, x6, x7) + +inst_504:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x10da93 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x8010da93; +valaddr_reg:x9; val_offset:962*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 962*FLEN/8, x10, x6, x7) + +inst_505:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x10da93 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8010da93; op2val:0x803a9174; +valaddr_reg:x9; val_offset:964*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 964*FLEN/8, x10, x6, x7) + +inst_506:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x802c477d; +valaddr_reg:x9; val_offset:966*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 966*FLEN/8, x10, x6, x7) + +inst_507:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x10da93 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8010da93; op2val:0x802c477d; +valaddr_reg:x9; val_offset:968*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 968*FLEN/8, x10, x6, x7) + +inst_508:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:970*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 970*FLEN/8, x10, x6, x7) + +inst_509:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x10da93 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8010da93; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:972*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 972*FLEN/8, x10, x6, x7) + +inst_510:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x800054e0; +valaddr_reg:x9; val_offset:974*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 974*FLEN/8, x10, x6, x7) + +inst_511:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x002b25 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6511ce and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80002b25; op2val:0xff6511ce; +valaddr_reg:x9; val_offset:976*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 976*FLEN/8, x10, x6, x7) + +inst_512:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 1 and fe2 == 0x00 and fm2 == 0x002b25 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x80002b25; +valaddr_reg:x9; val_offset:978*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 978*FLEN/8, x10, x6, x7) + +inst_513:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x002b25 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80002b25; op2val:0x800054e0; +valaddr_reg:x9; val_offset:980*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 980*FLEN/8, x10, x6, x7) + +inst_514:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x002b25 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x80002b25; +valaddr_reg:x9; val_offset:982*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 982*FLEN/8, x10, x6, x7) + +inst_515:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x802ed524; +valaddr_reg:x9; val_offset:984*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 984*FLEN/8, x10, x6, x7) + +inst_516:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x10da93 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8010da93; op2val:0x802ed524; +valaddr_reg:x9; val_offset:986*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 986*FLEN/8, x10, x6, x7) + +inst_517:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x7f0; +valaddr_reg:x9; val_offset:988*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 988*FLEN/8, x10, x6, x7) + +inst_518:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x461d98 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbfc61d98; op2val:0x7f0; +valaddr_reg:x9; val_offset:990*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 990*FLEN/8, x10, x6, x7) + +inst_519:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x461d98 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xbfc61d98; +valaddr_reg:x9; val_offset:992*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 992*FLEN/8, x10, x6, x7) + +inst_520:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0x7f and fm2 == 0x461d98 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0xbfc61d98; +valaddr_reg:x9; val_offset:994*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 994*FLEN/8, x10, x6, x7) + +inst_521:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:996*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 996*FLEN/8, x10, x6, x7) + +inst_522:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0xfd204621; +valaddr_reg:x9; val_offset:998*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 998*FLEN/8, x10, x6, x7) + +inst_523:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x0c075f and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd0c075f; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1000*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1000*FLEN/8, x10, x6, x7) + +inst_524:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x0c075f and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0xfd0c075f; +valaddr_reg:x9; val_offset:1002*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1002*FLEN/8, x10, x6, x7) + +inst_525:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1004*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1004*FLEN/8, x10, x6, x7) + +inst_526:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x0c075f and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd0c075f; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1006*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1006*FLEN/8, x10, x6, x7) + +inst_527:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1008*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1008*FLEN/8, x10, x6, x7) + +inst_528:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x014448 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7a0dff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80014448; op2val:0x7f7a0dff; +valaddr_reg:x9; val_offset:1010*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1010*FLEN/8, x10, x6, x7) + +inst_529:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x014448 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x80014448; +valaddr_reg:x9; val_offset:1012*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1012*FLEN/8, x10, x6, x7) + +inst_530:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x014448 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80014448; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1014*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1014*FLEN/8, x10, x6, x7) + +inst_531:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x014448 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x80014448; +valaddr_reg:x9; val_offset:1016*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1016*FLEN/8, x10, x6, x7) + +inst_532:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1018*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1018*FLEN/8, x10, x6, x7) + +inst_533:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caad8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caad8; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1020*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1020*FLEN/8, x10, x6, x7) + +inst_534:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caad8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x800caad8; +valaddr_reg:x9; val_offset:1022*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1022*FLEN/8, x10, x6, x7) + +inst_535:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caad8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caad8; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1024*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1024*FLEN/8, x10, x6, x7) + +inst_536:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caad8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x800caad8; +valaddr_reg:x9; val_offset:1026*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1026*FLEN/8, x10, x6, x7) + +inst_537:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x253272; +valaddr_reg:x9; val_offset:1028*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1028*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_4) + +inst_538:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caad8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caad8; op2val:0x253272; +valaddr_reg:x9; val_offset:1030*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1030*FLEN/8, x10, x6, x7) + +inst_539:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1032*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1032*FLEN/8, x10, x6, x7) + +inst_540:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caad8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caad8; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1034*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1034*FLEN/8, x10, x6, x7) + +inst_541:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1036*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1036*FLEN/8, x10, x6, x7) + +inst_542:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caad8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44b3b6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caad8; op2val:0x7f44b3b6; +valaddr_reg:x9; val_offset:1038*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1038*FLEN/8, x10, x6, x7) + +inst_543:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caad8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x800caad8; +valaddr_reg:x9; val_offset:1040*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1040*FLEN/8, x10, x6, x7) + +inst_544:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caad8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caad8; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1042*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1042*FLEN/8, x10, x6, x7) + +inst_545:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1044*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1044*FLEN/8, x10, x6, x7) + +inst_546:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caad8 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caad8; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1046*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1046*FLEN/8, x10, x6, x7) + +inst_547:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caad8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x800caad8; +valaddr_reg:x9; val_offset:1048*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1048*FLEN/8, x10, x6, x7) + +inst_548:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caad8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caad8; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1050*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1050*FLEN/8, x10, x6, x7) + +inst_549:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1052*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1052*FLEN/8, x10, x6, x7) + +inst_550:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caad8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caad8; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1054*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1054*FLEN/8, x10, x6, x7) + +inst_551:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1056*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1056*FLEN/8, x10, x6, x7) + +inst_552:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caad8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caad8; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1058*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1058*FLEN/8, x10, x6, x7) + +inst_553:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1060*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1060*FLEN/8, x10, x6, x7) + +inst_554:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00206d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6511ce and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000206d; op2val:0xff6511ce; +valaddr_reg:x9; val_offset:1062*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1062*FLEN/8, x10, x6, x7) + +inst_555:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00206d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x8000206d; +valaddr_reg:x9; val_offset:1064*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1064*FLEN/8, x10, x6, x7) + +inst_556:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00206d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000206d; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1066*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1066*FLEN/8, x10, x6, x7) + +inst_557:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00206d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x8000206d; +valaddr_reg:x9; val_offset:1068*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1068*FLEN/8, x10, x6, x7) + +inst_558:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1070*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1070*FLEN/8, x10, x6, x7) + +inst_559:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caad8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caad8; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1072*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1072*FLEN/8, x10, x6, x7) + +inst_560:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x7f0; +valaddr_reg:x9; val_offset:1074*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1074*FLEN/8, x10, x6, x7) + +inst_561:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x14e777 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf94e777; op2val:0x7f0; +valaddr_reg:x9; val_offset:1076*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1076*FLEN/8, x10, x6, x7) + +inst_562:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x14e777 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xbf94e777; +valaddr_reg:x9; val_offset:1078*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1078*FLEN/8, x10, x6, x7) + +inst_563:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x14e777 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0xbf94e777; +valaddr_reg:x9; val_offset:1080*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1080*FLEN/8, x10, x6, x7) + +inst_564:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1082*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1082*FLEN/8, x10, x6, x7) + +inst_565:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1084*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1084*FLEN/8, x10, x6, x7) + +inst_566:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7a0dff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x7f7a0dff; +valaddr_reg:x9; val_offset:1086*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1086*FLEN/8, x10, x6, x7) + +inst_567:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1088*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1088*FLEN/8, x10, x6, x7) + +inst_568:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1090*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1090*FLEN/8, x10, x6, x7) + +inst_569:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1092*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1092*FLEN/8, x10, x6, x7) + +inst_570:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1094*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1094*FLEN/8, x10, x6, x7) + +inst_571:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1096*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1096*FLEN/8, x10, x6, x7) + +inst_572:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1098*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1098*FLEN/8, x10, x6, x7) + +inst_573:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1100*FLEN/8, x10, x6, x7) + +inst_574:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1102*FLEN/8, x10, x6, x7) + +inst_575:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1104*FLEN/8, x10, x6, x7) + +inst_576:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1106*FLEN/8, x10, x6, x7) + +inst_577:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x480b33 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dc80b33; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1108*FLEN/8, x10, x6, x7) + +inst_578:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0xfb and fm2 == 0x480b33 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x7dc80b33; +valaddr_reg:x9; val_offset:1110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1110*FLEN/8, x10, x6, x7) + +inst_579:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1112*FLEN/8, x10, x6, x7) + +inst_580:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x480b33 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dc80b33; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1114*FLEN/8, x10, x6, x7) + +inst_581:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1116*FLEN/8, x10, x6, x7) + +inst_582:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1118*FLEN/8, x10, x6, x7) + +inst_583:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1120*FLEN/8, x10, x6, x7) + +inst_584:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1122*FLEN/8, x10, x6, x7) + +inst_585:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1124*FLEN/8, x10, x6, x7) + +inst_586:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1126*FLEN/8, x10, x6, x7) + +inst_587:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1128*FLEN/8, x10, x6, x7) + +inst_588:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x044949 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x44949; +valaddr_reg:x9; val_offset:1130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1130*FLEN/8, x10, x6, x7) + +inst_589:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x044949 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x243164 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x44949; op2val:0x243164; +valaddr_reg:x9; val_offset:1132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1132*FLEN/8, x10, x6, x7) + +inst_590:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1134*FLEN/8, x10, x6, x7) + +inst_591:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x243164 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x243164; +valaddr_reg:x9; val_offset:1136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1136*FLEN/8, x10, x6, x7) + +inst_592:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x253272; +valaddr_reg:x9; val_offset:1138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1138*FLEN/8, x10, x6, x7) + +inst_593:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x03b83e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x3b83e; +valaddr_reg:x9; val_offset:1140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1140*FLEN/8, x10, x6, x7) + +inst_594:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x03b83e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x243164 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3b83e; op2val:0x243164; +valaddr_reg:x9; val_offset:1142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1142*FLEN/8, x10, x6, x7) + +inst_595:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x253272; +valaddr_reg:x9; val_offset:1144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1144*FLEN/8, x10, x6, x7) + +inst_596:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1146*FLEN/8, x10, x6, x7) + +inst_597:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x04a095 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x4a095; +valaddr_reg:x9; val_offset:1148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1148*FLEN/8, x10, x6, x7) + +inst_598:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x04a095 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x243164 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x4a095; op2val:0x243164; +valaddr_reg:x9; val_offset:1150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1150*FLEN/8, x10, x6, x7) + +inst_599:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1152*FLEN/8, x10, x6, x7) + +inst_600:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1154*FLEN/8, x10, x6, x7) + +inst_601:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x02d8d9 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x2d8d9; +valaddr_reg:x9; val_offset:1156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1156*FLEN/8, x10, x6, x7) + +inst_602:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x02d8d9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x243164 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2d8d9; op2val:0x243164; +valaddr_reg:x9; val_offset:1158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1158*FLEN/8, x10, x6, x7) + +inst_603:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1160*FLEN/8, x10, x6, x7) + +inst_604:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1162*FLEN/8, x10, x6, x7) + +inst_605:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x05db58 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x8005db58; +valaddr_reg:x9; val_offset:1164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1164*FLEN/8, x10, x6, x7) + +inst_606:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x05db58 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x243164 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8005db58; op2val:0x243164; +valaddr_reg:x9; val_offset:1166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1166*FLEN/8, x10, x6, x7) + +inst_607:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1168*FLEN/8, x10, x6, x7) + +inst_608:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1170*FLEN/8, x10, x6, x7) + +inst_609:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x046d8c and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x80046d8c; +valaddr_reg:x9; val_offset:1172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1172*FLEN/8, x10, x6, x7) + +inst_610:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x046d8c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x243164 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80046d8c; op2val:0x243164; +valaddr_reg:x9; val_offset:1174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1174*FLEN/8, x10, x6, x7) + +inst_611:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1176*FLEN/8, x10, x6, x7) + +inst_612:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1178*FLEN/8, x10, x6, x7) + +inst_613:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0562e7 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x800562e7; +valaddr_reg:x9; val_offset:1180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1180*FLEN/8, x10, x6, x7) + +inst_614:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0562e7 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x243164 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800562e7; op2val:0x243164; +valaddr_reg:x9; val_offset:1182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1182*FLEN/8, x10, x6, x7) + +inst_615:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1184*FLEN/8, x10, x6, x7) + +inst_616:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1186*FLEN/8, x10, x6, x7) + +inst_617:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x005ca7 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0350c8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5ca7; op2val:0x800350c8; +valaddr_reg:x9; val_offset:1188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1188*FLEN/8, x10, x6, x7) + +inst_618:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0350c8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x005ca7 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800350c8; op2val:0x5ca7; +valaddr_reg:x9; val_offset:1190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1190*FLEN/8, x10, x6, x7) + +inst_619:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x005ca7 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5ca7; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1192*FLEN/8, x10, x6, x7) + +inst_620:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x005ca7 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x5ca7; +valaddr_reg:x9; val_offset:1194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1194*FLEN/8, x10, x6, x7) + +inst_621:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1196*FLEN/8, x10, x6, x7) + +inst_622:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x04aeea and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x8004aeea; +valaddr_reg:x9; val_offset:1198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1198*FLEN/8, x10, x6, x7) + +inst_623:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x04aeea and fs2 == 0 and fe2 == 0x00 and fm2 == 0x243164 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8004aeea; op2val:0x243164; +valaddr_reg:x9; val_offset:1200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1200*FLEN/8, x10, x6, x7) + +inst_624:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1202*FLEN/8, x10, x6, x7) + +inst_625:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x7f0; +valaddr_reg:x9; val_offset:1204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1204*FLEN/8, x10, x6, x7) + +inst_626:// fs1 == 0 and fe1 == 0x80 and fm1 == 0x54b916 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x4054b916; op2val:0x7f0; +valaddr_reg:x9; val_offset:1206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1206*FLEN/8, x10, x6, x7) + +inst_627:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x54b916 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x4054b916; +valaddr_reg:x9; val_offset:1208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1208*FLEN/8, x10, x6, x7) + +inst_628:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0x80 and fm2 == 0x54b916 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x4054b916; +valaddr_reg:x9; val_offset:1210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1210*FLEN/8, x10, x6, x7) + +inst_629:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1212*FLEN/8, x10, x6, x7) + +inst_630:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1214*FLEN/8, x10, x6, x7) + +inst_631:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1216*FLEN/8, x10, x6, x7) + +inst_632:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1218*FLEN/8, x10, x6, x7) + +inst_633:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1220*FLEN/8, x10, x6, x7) + +inst_634:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1222*FLEN/8, x10, x6, x7) + +inst_635:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1224*FLEN/8, x10, x6, x7) + +inst_636:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1226*FLEN/8, x10, x6, x7) + +inst_637:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1228*FLEN/8, x10, x6, x7) + +inst_638:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1230*FLEN/8, x10, x6, x7) + +inst_639:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1232*FLEN/8, x10, x6, x7) + +inst_640:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1234*FLEN/8, x10, x6, x7) + +inst_641:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1236*FLEN/8, x10, x6, x7) + +inst_642:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x6ce8a1 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dece8a1; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1238*FLEN/8, x10, x6, x7) + +inst_643:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0xfb and fm2 == 0x6ce8a1 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x7dece8a1; +valaddr_reg:x9; val_offset:1240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1240*FLEN/8, x10, x6, x7) + +inst_644:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1242*FLEN/8, x10, x6, x7) + +inst_645:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x6ce8a1 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dece8a1; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1244*FLEN/8, x10, x6, x7) + +inst_646:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1246*FLEN/8, x10, x6, x7) + +inst_647:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1248*FLEN/8, x10, x6, x7) + +inst_648:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1250*FLEN/8, x10, x6, x7) + +inst_649:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1252*FLEN/8, x10, x6, x7) + +inst_650:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1254*FLEN/8, x10, x6, x7) + +inst_651:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1256*FLEN/8, x10, x6, x7) + +inst_652:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1258*FLEN/8, x10, x6, x7) + +inst_653:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x044949 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x44949; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1260*FLEN/8, x10, x6, x7) + +inst_654:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x044949 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x44949; +valaddr_reg:x9; val_offset:1262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1262*FLEN/8, x10, x6, x7) + +inst_655:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x253272; +valaddr_reg:x9; val_offset:1264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1264*FLEN/8, x10, x6, x7) + +inst_656:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1266*FLEN/8, x10, x6, x7) + +inst_657:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1268*FLEN/8, x10, x6, x7) + +inst_658:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1270*FLEN/8, x10, x6, x7) + +inst_659:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1272*FLEN/8, x10, x6, x7) + +inst_660:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1274*FLEN/8, x10, x6, x7) + +inst_661:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1276*FLEN/8, x10, x6, x7) + +inst_662:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1278*FLEN/8, x10, x6, x7) + +inst_663:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1280*FLEN/8, x10, x6, x7) + +inst_664:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1282*FLEN/8, x10, x6, x7) + +inst_665:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1284*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_5) + +inst_666:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1286*FLEN/8, x10, x6, x7) + +inst_667:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1288*FLEN/8, x10, x6, x7) + +inst_668:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x006dba and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2127d2 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x6dba; op2val:0x802127d2; +valaddr_reg:x9; val_offset:1290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1290*FLEN/8, x10, x6, x7) + +inst_669:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x006dba and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x6dba; +valaddr_reg:x9; val_offset:1292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1292*FLEN/8, x10, x6, x7) + +inst_670:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x006dba and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x6dba; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1294*FLEN/8, x10, x6, x7) + +inst_671:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x006dba and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x6dba; +valaddr_reg:x9; val_offset:1296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1296*FLEN/8, x10, x6, x7) + +inst_672:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1298*FLEN/8, x10, x6, x7) + +inst_673:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1300*FLEN/8, x10, x6, x7) + +inst_674:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x7f0; +valaddr_reg:x9; val_offset:1302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1302*FLEN/8, x10, x6, x7) + +inst_675:// fs1 == 0 and fe1 == 0x80 and fm1 == 0x7becb0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x407becb0; op2val:0x7f0; +valaddr_reg:x9; val_offset:1304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1304*FLEN/8, x10, x6, x7) + +inst_676:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x7becb0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x407becb0; +valaddr_reg:x9; val_offset:1306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1306*FLEN/8, x10, x6, x7) + +inst_677:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0x80 and fm2 == 0x7becb0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x407becb0; +valaddr_reg:x9; val_offset:1308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1308*FLEN/8, x10, x6, x7) + +inst_678:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1310*FLEN/8, x10, x6, x7) + +inst_679:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1312*FLEN/8, x10, x6, x7) + +inst_680:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x253272; +valaddr_reg:x9; val_offset:1314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1314*FLEN/8, x10, x6, x7) + +inst_681:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1316*FLEN/8, x10, x6, x7) + +inst_682:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1318*FLEN/8, x10, x6, x7) + +inst_683:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1320*FLEN/8, x10, x6, x7) + +inst_684:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1322*FLEN/8, x10, x6, x7) + +inst_685:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1324*FLEN/8, x10, x6, x7) + +inst_686:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4d97f8 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dcd97f8; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1326*FLEN/8, x10, x6, x7) + +inst_687:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4d97f8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x7dcd97f8; +valaddr_reg:x9; val_offset:1328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1328*FLEN/8, x10, x6, x7) + +inst_688:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1330*FLEN/8, x10, x6, x7) + +inst_689:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4d97f8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dcd97f8; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1332*FLEN/8, x10, x6, x7) + +inst_690:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1334*FLEN/8, x10, x6, x7) + +inst_691:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1336*FLEN/8, x10, x6, x7) + +inst_692:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1338*FLEN/8, x10, x6, x7) + +inst_693:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1340*FLEN/8, x10, x6, x7) + +inst_694:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x03b83e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3b83e; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1342*FLEN/8, x10, x6, x7) + +inst_695:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x03b83e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x3b83e; +valaddr_reg:x9; val_offset:1344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1344*FLEN/8, x10, x6, x7) + +inst_696:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1346*FLEN/8, x10, x6, x7) + +inst_697:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x253272; +valaddr_reg:x9; val_offset:1348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1348*FLEN/8, x10, x6, x7) + +inst_698:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1350*FLEN/8, x10, x6, x7) + +inst_699:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x253272; +valaddr_reg:x9; val_offset:1352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1352*FLEN/8, x10, x6, x7) + +inst_700:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1354*FLEN/8, x10, x6, x7) + +inst_701:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x253272; +valaddr_reg:x9; val_offset:1356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1356*FLEN/8, x10, x6, x7) + +inst_702:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1358*FLEN/8, x10, x6, x7) + +inst_703:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x253272; +valaddr_reg:x9; val_offset:1360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1360*FLEN/8, x10, x6, x7) + +inst_704:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1362*FLEN/8, x10, x6, x7) + +inst_705:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x253272; +valaddr_reg:x9; val_offset:1364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1364*FLEN/8, x10, x6, x7) + +inst_706:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1366*FLEN/8, x10, x6, x7) + +inst_707:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x005f39 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2127d2 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5f39; op2val:0x802127d2; +valaddr_reg:x9; val_offset:1368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1368*FLEN/8, x10, x6, x7) + +inst_708:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x005f39 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x5f39; +valaddr_reg:x9; val_offset:1370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1370*FLEN/8, x10, x6, x7) + +inst_709:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x005f39 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5f39; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1372*FLEN/8, x10, x6, x7) + +inst_710:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x005f39 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x5f39; +valaddr_reg:x9; val_offset:1374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1374*FLEN/8, x10, x6, x7) + +inst_711:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1376*FLEN/8, x10, x6, x7) + +inst_712:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x253272; +valaddr_reg:x9; val_offset:1378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1378*FLEN/8, x10, x6, x7) + +inst_713:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x7f0; +valaddr_reg:x9; val_offset:1380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1380*FLEN/8, x10, x6, x7) + +inst_714:// fs1 == 0 and fe1 == 0x80 and fm1 == 0x5a9fe8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x405a9fe8; op2val:0x7f0; +valaddr_reg:x9; val_offset:1382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1382*FLEN/8, x10, x6, x7) + +inst_715:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x5a9fe8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x405a9fe8; +valaddr_reg:x9; val_offset:1384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1384*FLEN/8, x10, x6, x7) + +inst_716:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x5a9fe8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x405a9fe8; +valaddr_reg:x9; val_offset:1386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1386*FLEN/8, x10, x6, x7) + +inst_717:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1388*FLEN/8, x10, x6, x7) + +inst_718:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1390*FLEN/8, x10, x6, x7) + +inst_719:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1392*FLEN/8, x10, x6, x7) + +inst_720:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1394*FLEN/8, x10, x6, x7) + +inst_721:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1396*FLEN/8, x10, x6, x7) + +inst_722:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1398*FLEN/8, x10, x6, x7) + +inst_723:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1400*FLEN/8, x10, x6, x7) + +inst_724:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1402*FLEN/8, x10, x6, x7) + +inst_725:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x7fc1a6 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dffc1a6; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1404*FLEN/8, x10, x6, x7) + +inst_726:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x7fc1a6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x7dffc1a6; +valaddr_reg:x9; val_offset:1406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1406*FLEN/8, x10, x6, x7) + +inst_727:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1408*FLEN/8, x10, x6, x7) + +inst_728:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x7fc1a6 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dffc1a6; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1410*FLEN/8, x10, x6, x7) + +inst_729:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1412*FLEN/8, x10, x6, x7) + +inst_730:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1414*FLEN/8, x10, x6, x7) + +inst_731:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1416*FLEN/8, x10, x6, x7) + +inst_732:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1418*FLEN/8, x10, x6, x7) + +inst_733:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x04a095 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x4a095; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1420*FLEN/8, x10, x6, x7) + +inst_734:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x04a095 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x4a095; +valaddr_reg:x9; val_offset:1422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1422*FLEN/8, x10, x6, x7) + +inst_735:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1424*FLEN/8, x10, x6, x7) + +inst_736:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1426*FLEN/8, x10, x6, x7) + +inst_737:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1428*FLEN/8, x10, x6, x7) + +inst_738:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1430*FLEN/8, x10, x6, x7) + +inst_739:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1432*FLEN/8, x10, x6, x7) + +inst_740:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1434*FLEN/8, x10, x6, x7) + +inst_741:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1436*FLEN/8, x10, x6, x7) + +inst_742:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1438*FLEN/8, x10, x6, x7) + +inst_743:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1440*FLEN/8, x10, x6, x7) + +inst_744:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x007675 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2127d2 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7675; op2val:0x802127d2; +valaddr_reg:x9; val_offset:1442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1442*FLEN/8, x10, x6, x7) + +inst_745:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x007675 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x7675; +valaddr_reg:x9; val_offset:1444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1444*FLEN/8, x10, x6, x7) + +inst_746:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x007675 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7675; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1446*FLEN/8, x10, x6, x7) + +inst_747:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x007675 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x7675; +valaddr_reg:x9; val_offset:1448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1448*FLEN/8, x10, x6, x7) + +inst_748:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1450*FLEN/8, x10, x6, x7) + +inst_749:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1452*FLEN/8, x10, x6, x7) + +inst_750:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x7f0; +valaddr_reg:x9; val_offset:1454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1454*FLEN/8, x10, x6, x7) + +inst_751:// fs1 == 0 and fe1 == 0x81 and fm1 == 0x07fbc3 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x4087fbc3; op2val:0x7f0; +valaddr_reg:x9; val_offset:1456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1456*FLEN/8, x10, x6, x7) + +inst_752:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x07fbc3 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x4087fbc3; +valaddr_reg:x9; val_offset:1458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1458*FLEN/8, x10, x6, x7) + +inst_753:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x07fbc3 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x4087fbc3; +valaddr_reg:x9; val_offset:1460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1460*FLEN/8, x10, x6, x7) + +inst_754:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1462*FLEN/8, x10, x6, x7) + +inst_755:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1464*FLEN/8, x10, x6, x7) + +inst_756:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44b3b6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x7f44b3b6; +valaddr_reg:x9; val_offset:1466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1466*FLEN/8, x10, x6, x7) + +inst_757:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1468*FLEN/8, x10, x6, x7) + +inst_758:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1470*FLEN/8, x10, x6, x7) + +inst_759:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1472*FLEN/8, x10, x6, x7) + +inst_760:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1474*FLEN/8, x10, x6, x7) + +inst_761:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1476*FLEN/8, x10, x6, x7) + +inst_762:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1478*FLEN/8, x10, x6, x7) + +inst_763:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1480*FLEN/8, x10, x6, x7) + +inst_764:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1482*FLEN/8, x10, x6, x7) + +inst_765:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1484*FLEN/8, x10, x6, x7) + +inst_766:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1486*FLEN/8, x10, x6, x7) + +inst_767:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d5c91 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d9d5c91; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1488*FLEN/8, x10, x6, x7) + +inst_768:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0xfb and fm2 == 0x1d5c91 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x7d9d5c91; +valaddr_reg:x9; val_offset:1490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1490*FLEN/8, x10, x6, x7) + +inst_769:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1492*FLEN/8, x10, x6, x7) + +inst_770:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d5c91 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d9d5c91; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1494*FLEN/8, x10, x6, x7) + +inst_771:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1496*FLEN/8, x10, x6, x7) + +inst_772:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1498*FLEN/8, x10, x6, x7) + +inst_773:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1500*FLEN/8, x10, x6, x7) + +inst_774:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1502*FLEN/8, x10, x6, x7) + +inst_775:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1504*FLEN/8, x10, x6, x7) + +inst_776:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1506*FLEN/8, x10, x6, x7) + +inst_777:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1508*FLEN/8, x10, x6, x7) + +inst_778:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x02d8d9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2d8d9; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1510*FLEN/8, x10, x6, x7) + +inst_779:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x02d8d9 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x2d8d9; +valaddr_reg:x9; val_offset:1512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1512*FLEN/8, x10, x6, x7) + +inst_780:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1514*FLEN/8, x10, x6, x7) + +inst_781:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1516*FLEN/8, x10, x6, x7) + +inst_782:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1518*FLEN/8, x10, x6, x7) + +inst_783:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1520*FLEN/8, x10, x6, x7) + +inst_784:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1522*FLEN/8, x10, x6, x7) + +inst_785:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1524*FLEN/8, x10, x6, x7) + +inst_786:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1526*FLEN/8, x10, x6, x7) + +inst_787:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0048e2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2127d2 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x48e2; op2val:0x802127d2; +valaddr_reg:x9; val_offset:1528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1528*FLEN/8, x10, x6, x7) + +inst_788:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0048e2 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x48e2; +valaddr_reg:x9; val_offset:1530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1530*FLEN/8, x10, x6, x7) + +inst_789:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0048e2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x48e2; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1532*FLEN/8, x10, x6, x7) + +inst_790:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0048e2 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x48e2; +valaddr_reg:x9; val_offset:1534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1534*FLEN/8, x10, x6, x7) + +inst_791:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1536*FLEN/8, x10, x6, x7) + +inst_792:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1538*FLEN/8, x10, x6, x7) + +inst_793:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x7f0; +valaddr_reg:x9; val_offset:1540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1540*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_6) + +inst_794:// fs1 == 0 and fe1 == 0x80 and fm1 == 0x2755e6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x402755e6; op2val:0x7f0; +valaddr_reg:x9; val_offset:1542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1542*FLEN/8, x10, x6, x7) + +inst_795:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x2755e6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x402755e6; +valaddr_reg:x9; val_offset:1544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1544*FLEN/8, x10, x6, x7) + +inst_796:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0x80 and fm2 == 0x2755e6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x402755e6; +valaddr_reg:x9; val_offset:1546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1546*FLEN/8, x10, x6, x7) + +inst_797:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1548*FLEN/8, x10, x6, x7) + +inst_798:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1550*FLEN/8, x10, x6, x7) + +inst_799:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1552*FLEN/8, x10, x6, x7) + +inst_800:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1554*FLEN/8, x10, x6, x7) + +inst_801:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1556*FLEN/8, x10, x6, x7) + +inst_802:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1558*FLEN/8, x10, x6, x7) + +inst_803:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1560*FLEN/8, x10, x6, x7) + +inst_804:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1562*FLEN/8, x10, x6, x7) + +inst_805:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x21db85 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe21db85; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1564*FLEN/8, x10, x6, x7) + +inst_806:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x21db85 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0xfe21db85; +valaddr_reg:x9; val_offset:1566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1566*FLEN/8, x10, x6, x7) + +inst_807:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1568*FLEN/8, x10, x6, x7) + +inst_808:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x21db85 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe21db85; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1570*FLEN/8, x10, x6, x7) + +inst_809:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1572*FLEN/8, x10, x6, x7) + +inst_810:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1574*FLEN/8, x10, x6, x7) + +inst_811:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1576*FLEN/8, x10, x6, x7) + +inst_812:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1578*FLEN/8, x10, x6, x7) + +inst_813:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x05db58 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8005db58; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1580*FLEN/8, x10, x6, x7) + +inst_814:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x05db58 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x8005db58; +valaddr_reg:x9; val_offset:1582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1582*FLEN/8, x10, x6, x7) + +inst_815:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1584*FLEN/8, x10, x6, x7) + +inst_816:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1586*FLEN/8, x10, x6, x7) + +inst_817:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1588*FLEN/8, x10, x6, x7) + +inst_818:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1590*FLEN/8, x10, x6, x7) + +inst_819:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1592*FLEN/8, x10, x6, x7) + +inst_820:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0095ef and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2127d2 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800095ef; op2val:0x802127d2; +valaddr_reg:x9; val_offset:1594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1594*FLEN/8, x10, x6, x7) + +inst_821:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0095ef and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x800095ef; +valaddr_reg:x9; val_offset:1596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1596*FLEN/8, x10, x6, x7) + +inst_822:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0095ef and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800095ef; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1598*FLEN/8, x10, x6, x7) + +inst_823:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0095ef and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x800095ef; +valaddr_reg:x9; val_offset:1600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1600*FLEN/8, x10, x6, x7) + +inst_824:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1602*FLEN/8, x10, x6, x7) + +inst_825:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1604*FLEN/8, x10, x6, x7) + +inst_826:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x7f0; +valaddr_reg:x9; val_offset:1606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1606*FLEN/8, x10, x6, x7) + +inst_827:// fs1 == 1 and fe1 == 0x81 and fm1 == 0x2c1dce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc0ac1dce; op2val:0x7f0; +valaddr_reg:x9; val_offset:1608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1608*FLEN/8, x10, x6, x7) + +inst_828:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x2c1dce and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc0ac1dce; +valaddr_reg:x9; val_offset:1610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1610*FLEN/8, x10, x6, x7) + +inst_829:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x2c1dce and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0xc0ac1dce; +valaddr_reg:x9; val_offset:1612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1612*FLEN/8, x10, x6, x7) + +inst_830:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1614*FLEN/8, x10, x6, x7) + +inst_831:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1616*FLEN/8, x10, x6, x7) + +inst_832:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1618*FLEN/8, x10, x6, x7) + +inst_833:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1620*FLEN/8, x10, x6, x7) + +inst_834:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1622*FLEN/8, x10, x6, x7) + +inst_835:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1624*FLEN/8, x10, x6, x7) + +inst_836:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1626*FLEN/8, x10, x6, x7) + +inst_837:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1628*FLEN/8, x10, x6, x7) + +inst_838:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x74bcf0 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdf4bcf0; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1630*FLEN/8, x10, x6, x7) + +inst_839:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0xfb and fm2 == 0x74bcf0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0xfdf4bcf0; +valaddr_reg:x9; val_offset:1632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1632*FLEN/8, x10, x6, x7) + +inst_840:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1634*FLEN/8, x10, x6, x7) + +inst_841:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x74bcf0 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdf4bcf0; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1636*FLEN/8, x10, x6, x7) + +inst_842:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1638*FLEN/8, x10, x6, x7) + +inst_843:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1640*FLEN/8, x10, x6, x7) + +inst_844:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1642*FLEN/8, x10, x6, x7) + +inst_845:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1644*FLEN/8, x10, x6, x7) + +inst_846:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x046d8c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80046d8c; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1646*FLEN/8, x10, x6, x7) + +inst_847:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x046d8c and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x80046d8c; +valaddr_reg:x9; val_offset:1648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1648*FLEN/8, x10, x6, x7) + +inst_848:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1650*FLEN/8, x10, x6, x7) + +inst_849:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1652*FLEN/8, x10, x6, x7) + +inst_850:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1654*FLEN/8, x10, x6, x7) + +inst_851:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00715a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2127d2 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000715a; op2val:0x802127d2; +valaddr_reg:x9; val_offset:1656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1656*FLEN/8, x10, x6, x7) + +inst_852:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00715a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x8000715a; +valaddr_reg:x9; val_offset:1658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1658*FLEN/8, x10, x6, x7) + +inst_853:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00715a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000715a; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1660*FLEN/8, x10, x6, x7) + +inst_854:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00715a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x8000715a; +valaddr_reg:x9; val_offset:1662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1662*FLEN/8, x10, x6, x7) + +inst_855:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1664*FLEN/8, x10, x6, x7) + +inst_856:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1666*FLEN/8, x10, x6, x7) + +inst_857:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x7f0; +valaddr_reg:x9; val_offset:1668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1668*FLEN/8, x10, x6, x7) + +inst_858:// fs1 == 1 and fe1 == 0x81 and fm1 == 0x022004 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc0822004; op2val:0x7f0; +valaddr_reg:x9; val_offset:1670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1670*FLEN/8, x10, x6, x7) + +inst_859:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x022004 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc0822004; +valaddr_reg:x9; val_offset:1672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1672*FLEN/8, x10, x6, x7) + +inst_860:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0x81 and fm2 == 0x022004 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0xc0822004; +valaddr_reg:x9; val_offset:1674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1674*FLEN/8, x10, x6, x7) + +inst_861:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1676*FLEN/8, x10, x6, x7) + +inst_862:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1678*FLEN/8, x10, x6, x7) + +inst_863:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1680*FLEN/8, x10, x6, x7) + +inst_864:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1682*FLEN/8, x10, x6, x7) + +inst_865:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1684*FLEN/8, x10, x6, x7) + +inst_866:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1686*FLEN/8, x10, x6, x7) + +inst_867:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1688*FLEN/8, x10, x6, x7) + +inst_868:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1690*FLEN/8, x10, x6, x7) + +inst_869:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x14db11 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe14db11; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1692*FLEN/8, x10, x6, x7) + +inst_870:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0xfc and fm2 == 0x14db11 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0xfe14db11; +valaddr_reg:x9; val_offset:1694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1694*FLEN/8, x10, x6, x7) + +inst_871:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1696*FLEN/8, x10, x6, x7) + +inst_872:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x14db11 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe14db11; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1698*FLEN/8, x10, x6, x7) + +inst_873:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1700*FLEN/8, x10, x6, x7) + +inst_874:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1702*FLEN/8, x10, x6, x7) + +inst_875:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1704*FLEN/8, x10, x6, x7) + +inst_876:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1706*FLEN/8, x10, x6, x7) + +inst_877:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0562e7 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800562e7; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1708*FLEN/8, x10, x6, x7) + +inst_878:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0562e7 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x800562e7; +valaddr_reg:x9; val_offset:1710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1710*FLEN/8, x10, x6, x7) + +inst_879:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1712*FLEN/8, x10, x6, x7) + +inst_880:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0089e3 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2127d2 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800089e3; op2val:0x802127d2; +valaddr_reg:x9; val_offset:1714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1714*FLEN/8, x10, x6, x7) + +inst_881:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0089e3 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x800089e3; +valaddr_reg:x9; val_offset:1716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1716*FLEN/8, x10, x6, x7) + +inst_882:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0089e3 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800089e3; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1718*FLEN/8, x10, x6, x7) + +inst_883:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0089e3 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x800089e3; +valaddr_reg:x9; val_offset:1720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1720*FLEN/8, x10, x6, x7) + +inst_884:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1722*FLEN/8, x10, x6, x7) + +inst_885:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1724*FLEN/8, x10, x6, x7) + +inst_886:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x7f0; +valaddr_reg:x9; val_offset:1726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1726*FLEN/8, x10, x6, x7) + +inst_887:// fs1 == 1 and fe1 == 0x81 and fm1 == 0x1e4a63 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc09e4a63; op2val:0x7f0; +valaddr_reg:x9; val_offset:1728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1728*FLEN/8, x10, x6, x7) + +inst_888:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x1e4a63 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc09e4a63; +valaddr_reg:x9; val_offset:1730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1730*FLEN/8, x10, x6, x7) + +inst_889:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0x81 and fm2 == 0x1e4a63 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0xc09e4a63; +valaddr_reg:x9; val_offset:1732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1732*FLEN/8, x10, x6, x7) + +inst_890:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1734*FLEN/8, x10, x6, x7) + +inst_891:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1736*FLEN/8, x10, x6, x7) + +inst_892:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6511ce and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0xff6511ce; +valaddr_reg:x9; val_offset:1738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1738*FLEN/8, x10, x6, x7) + +inst_893:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1740*FLEN/8, x10, x6, x7) + +inst_894:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1742*FLEN/8, x10, x6, x7) + +inst_895:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1744*FLEN/8, x10, x6, x7) + +inst_896:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1746*FLEN/8, x10, x6, x7) + +inst_897:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1748*FLEN/8, x10, x6, x7) + +inst_898:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1750*FLEN/8, x10, x6, x7) + +inst_899:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1752*FLEN/8, x10, x6, x7) + +inst_900:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1754*FLEN/8, x10, x6, x7) + +inst_901:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1756*FLEN/8, x10, x6, x7) + +inst_902:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1758*FLEN/8, x10, x6, x7) + +inst_903:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x374171 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdb74171; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1760*FLEN/8, x10, x6, x7) + +inst_904:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x374171 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0xfdb74171; +valaddr_reg:x9; val_offset:1762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1762*FLEN/8, x10, x6, x7) + +inst_905:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1764*FLEN/8, x10, x6, x7) + +inst_906:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x374171 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdb74171; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1766*FLEN/8, x10, x6, x7) + +inst_907:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1768*FLEN/8, x10, x6, x7) + +inst_908:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1770*FLEN/8, x10, x6, x7) + +inst_909:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1772*FLEN/8, x10, x6, x7) + +inst_910:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1774*FLEN/8, x10, x6, x7) + +inst_911:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1776*FLEN/8, x10, x6, x7) + +inst_912:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1778*FLEN/8, x10, x6, x7) + +inst_913:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1780*FLEN/8, x10, x6, x7) + +inst_914:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0350c8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800350c8; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1782*FLEN/8, x10, x6, x7) + +inst_915:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0350c8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x800350c8; +valaddr_reg:x9; val_offset:1784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1784*FLEN/8, x10, x6, x7) + +inst_916:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1786*FLEN/8, x10, x6, x7) + +inst_917:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1788*FLEN/8, x10, x6, x7) + +inst_918:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2127d2 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x802127d2; +valaddr_reg:x9; val_offset:1790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1790*FLEN/8, x10, x6, x7) + +inst_919:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x253272; +valaddr_reg:x9; val_offset:1792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1792*FLEN/8, x10, x6, x7) + +inst_920:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x253272; +valaddr_reg:x9; val_offset:1794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1794*FLEN/8, x10, x6, x7) + +inst_921:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1796*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_7) + +inst_922:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1798*FLEN/8, x10, x6, x7) + +inst_923:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1800*FLEN/8, x10, x6, x7) + +inst_924:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1802*FLEN/8, x10, x6, x7) + +inst_925:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1804*FLEN/8, x10, x6, x7) + +inst_926:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1806*FLEN/8, x10, x6, x7) + +inst_927:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1808*FLEN/8, x10, x6, x7) + +inst_928:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1810*FLEN/8, x10, x6, x7) + +inst_929:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1812*FLEN/8, x10, x6, x7) + +inst_930:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1814*FLEN/8, x10, x6, x7) + +inst_931:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1816*FLEN/8, x10, x6, x7) + +inst_932:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0077e4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x800077e4; +valaddr_reg:x9; val_offset:1818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1818*FLEN/8, x10, x6, x7) + +inst_933:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0077e4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2127d2 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800077e4; op2val:0x802127d2; +valaddr_reg:x9; val_offset:1820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1820*FLEN/8, x10, x6, x7) + +inst_934:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1822*FLEN/8, x10, x6, x7) + +inst_935:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x7f0; +valaddr_reg:x9; val_offset:1824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1824*FLEN/8, x10, x6, x7) + +inst_936:// fs1 == 1 and fe1 == 0x80 and fm1 == 0x42deee and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc042deee; op2val:0x7f0; +valaddr_reg:x9; val_offset:1826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1826*FLEN/8, x10, x6, x7) + +inst_937:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x42deee and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc042deee; +valaddr_reg:x9; val_offset:1828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1828*FLEN/8, x10, x6, x7) + +inst_938:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x42deee and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0xc042deee; +valaddr_reg:x9; val_offset:1830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1830*FLEN/8, x10, x6, x7) + +inst_939:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1832*FLEN/8, x10, x6, x7) + +inst_940:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1834*FLEN/8, x10, x6, x7) + +inst_941:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1836*FLEN/8, x10, x6, x7) + +inst_942:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1838*FLEN/8, x10, x6, x7) + +inst_943:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1840*FLEN/8, x10, x6, x7) + +inst_944:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1842*FLEN/8, x10, x6, x7) + +inst_945:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1844*FLEN/8, x10, x6, x7) + +inst_946:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1846*FLEN/8, x10, x6, x7) + +inst_947:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x016ce1 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe016ce1; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1848*FLEN/8, x10, x6, x7) + +inst_948:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x016ce1 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0xfe016ce1; +valaddr_reg:x9; val_offset:1850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1850*FLEN/8, x10, x6, x7) + +inst_949:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1852*FLEN/8, x10, x6, x7) + +inst_950:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x016ce1 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe016ce1; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1854*FLEN/8, x10, x6, x7) + +inst_951:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1856*FLEN/8, x10, x6, x7) + +inst_952:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1858*FLEN/8, x10, x6, x7) + +inst_953:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1860*FLEN/8, x10, x6, x7) + +inst_954:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1862*FLEN/8, x10, x6, x7) + +inst_955:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x04aeea and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8004aeea; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1864*FLEN/8, x10, x6, x7) + +inst_956:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x04aeea and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x8004aeea; +valaddr_reg:x9; val_offset:1866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1866*FLEN/8, x10, x6, x7) + +inst_957:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1868*FLEN/8, x10, x6, x7) + +inst_958:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0077e4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800077e4; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1870*FLEN/8, x10, x6, x7) + +inst_959:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0077e4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x800077e4; +valaddr_reg:x9; val_offset:1872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1872*FLEN/8, x10, x6, x7) + +inst_960:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x7f0; +valaddr_reg:x9; val_offset:1874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1874*FLEN/8, x10, x6, x7) + +inst_961:// fs1 == 1 and fe1 == 0x81 and fm1 == 0x09a0ec and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc089a0ec; op2val:0x7f0; +valaddr_reg:x9; val_offset:1876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1876*FLEN/8, x10, x6, x7) + +inst_962:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x09a0ec and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc089a0ec; +valaddr_reg:x9; val_offset:1878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1878*FLEN/8, x10, x6, x7) + +inst_963:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x09a0ec and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0xc089a0ec; +valaddr_reg:x9; val_offset:1880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1880*FLEN/8, x10, x6, x7) + +inst_964:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1882*FLEN/8, x10, x6, x7) + +inst_965:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7f0; +valaddr_reg:x9; val_offset:1884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1884*FLEN/8, x10, x6, x7) + +inst_966:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1886*FLEN/8, x10, x6, x7) + +inst_967:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1888*FLEN/8, x10, x6, x7) + +inst_968:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1890*FLEN/8, x10, x6, x7) + +inst_969:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1892*FLEN/8, x10, x6, x7) + +inst_970:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1894*FLEN/8, x10, x6, x7) + +inst_971:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1896*FLEN/8, x10, x6, x7) + +inst_972:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1898*FLEN/8, x10, x6, x7) + +inst_973:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1900*FLEN/8, x10, x6, x7) + +inst_974:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1902*FLEN/8, x10, x6, x7) + +inst_975:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1904*FLEN/8, x10, x6, x7) + +inst_976:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1906*FLEN/8, x10, x6, x7) + +inst_977:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x253272; +valaddr_reg:x9; val_offset:1908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1908*FLEN/8, x10, x6, x7) + +inst_978:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1910*FLEN/8, x10, x6, x7) + +inst_979:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1912*FLEN/8, x10, x6, x7) + +inst_980:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1914*FLEN/8, x10, x6, x7) + +inst_981:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1916*FLEN/8, x10, x6, x7) + +inst_982:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1918*FLEN/8, x10, x6, x7) + +inst_983:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1920*FLEN/8, x10, x6, x7) + +inst_984:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1922*FLEN/8, x10, x6, x7) + +inst_985:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1924*FLEN/8, x10, x6, x7) + +inst_986:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a917b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x1a917b; +valaddr_reg:x9; val_offset:1926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1926*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +test_dataset_1: +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(174117,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(174117,32,FLEN) +NAN_BOXED(174117,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(174117,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(17411,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(17411,32,FLEN) +NAN_BOXED(17411,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(17411,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1075586948,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1075586948,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(1075586948,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2105562406,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(2105562406,32,FLEN) +NAN_BOXED(2105562406,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2105562406,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2105562406,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2105562406,32,FLEN) +NAN_BOXED(2105562406,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(152165,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(152165,32,FLEN) +NAN_BOXED(152165,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(152165,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(15216,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(15216,32,FLEN) +NAN_BOXED(15216,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(15216,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1074296694,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1074296694,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(1074296694,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2100962658,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(2100962658,32,FLEN) +NAN_BOXED(2100962658,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2100962658,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2100962658,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2100962658,32,FLEN) +NAN_BOXED(2100962658,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(110357,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(110357,32,FLEN) +NAN_BOXED(110357,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(110357,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(11035,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(11035,32,FLEN) +NAN_BOXED(11035,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(11035,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1069937093,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1069937093,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(1069937093,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2097433916,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(2097433916,32,FLEN) +NAN_BOXED(2097433916,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2097433916,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2097433916,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2097433916,32,FLEN) +NAN_BOXED(2097433916,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(78435,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(78435,32,FLEN) +NAN_BOXED(78435,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(78435,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(7843,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(7843,32,FLEN) +NAN_BOXED(7843,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(7843,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1066184691,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1066184691,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(1066184691,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2106708927,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(2106708927,32,FLEN) +NAN_BOXED(2106708927,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2106708927,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2106708927,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2106708927,32,FLEN) +NAN_BOXED(2106708927,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(172908,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(172908,32,FLEN) +NAN_BOXED(172908,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(172908,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(17290,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(17290,32,FLEN) +NAN_BOXED(17290,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(17290,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1075515884,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1075515884,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(1075515884,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4243690684,32,FLEN) +NAN_BOXED(4243690684,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4248456856,32,FLEN) +NAN_BOXED(4248456856,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4245423967,32,FLEN) +NAN_BOXED(4245423967,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147578667,32,FLEN) +NAN_BOXED(2110262067,32,FLEN) +NAN_BOXED(2110262067,32,FLEN) +NAN_BOXED(2147578667,32,FLEN) +NAN_BOXED(2147578667,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2147578667,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2112678049,32,FLEN) +NAN_BOXED(2112678049,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2110625784,32,FLEN) +NAN_BOXED(2110625784,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2113913254,32,FLEN) +NAN_BOXED(2113913254,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2107464849,32,FLEN) +NAN_BOXED(2107464849,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(4263631749,32,FLEN) +NAN_BOXED(4263631749,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(4260674800,32,FLEN) +NAN_BOXED(4260674800,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(4262779665,32,FLEN) +NAN_BOXED(4262779665,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147493149,32,FLEN) +NAN_BOXED(4256645489,32,FLEN) +NAN_BOXED(4256645489,32,FLEN) +NAN_BOXED(2147493149,32,FLEN) +NAN_BOXED(2147493149,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2147493149,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(4261506273,32,FLEN) +NAN_BOXED(4261506273,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3215617720,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3215617720,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(3215617720,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4243690684,32,FLEN) +NAN_BOXED(4243690684,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4248456856,32,FLEN) +NAN_BOXED(4248456856,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4245423967,32,FLEN) +NAN_BOXED(4245423967,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147964513,32,FLEN) +NAN_BOXED(2110262067,32,FLEN) +NAN_BOXED(2110262067,32,FLEN) +NAN_BOXED(2147964513,32,FLEN) +NAN_BOXED(2147964513,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2147964513,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2112678049,32,FLEN) +NAN_BOXED(2112678049,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2110625784,32,FLEN) +NAN_BOXED(2110625784,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2113913254,32,FLEN) +NAN_BOXED(2113913254,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2107464849,32,FLEN) +NAN_BOXED(2107464849,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(4263631749,32,FLEN) +NAN_BOXED(4263631749,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(4260674800,32,FLEN) +NAN_BOXED(4260674800,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(4262779665,32,FLEN) +NAN_BOXED(4262779665,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147531734,32,FLEN) +NAN_BOXED(4256645489,32,FLEN) +NAN_BOXED(4256645489,32,FLEN) +NAN_BOXED(2147531734,32,FLEN) +NAN_BOXED(2147531734,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2147531734,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(4261506273,32,FLEN) +NAN_BOXED(4261506273,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3235356829,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3235356829,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(3235356829,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4243690684,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4243690684,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4243690684,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147555259,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2147555259,32,FLEN) +NAN_BOXED(2147555259,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2147555259,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147490809,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(2147490809,32,FLEN) +NAN_BOXED(2147490809,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2147490809,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3212866125,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3212866125,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(3212866125,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4248456856,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4248456856,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4248456856,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147594101,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2147594101,32,FLEN) +NAN_BOXED(2147594101,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2147594101,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147494693,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(2147494693,32,FLEN) +NAN_BOXED(2147494693,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2147494693,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3217431960,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3217431960,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(3217431960,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4245423967,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4245423967,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4245423967,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147566664,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2147566664,32,FLEN) +NAN_BOXED(2147566664,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2147566664,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147491949,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(2147491949,32,FLEN) +NAN_BOXED(2147491949,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2147491949,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3214206839,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3214206839,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(3214206839,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2110262067,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2110262067,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2110262067,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(280905,32,FLEN) +NAN_BOXED(280905,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(243774,32,FLEN) +NAN_BOXED(243774,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(303253,32,FLEN) +NAN_BOXED(303253,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(186585,32,FLEN) +NAN_BOXED(186585,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2147867480,32,FLEN) +NAN_BOXED(2147867480,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2147773836,32,FLEN) +NAN_BOXED(2147773836,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2147836647,32,FLEN) +NAN_BOXED(2147836647,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(23719,32,FLEN) +NAN_BOXED(2147700936,32,FLEN) +NAN_BOXED(2147700936,32,FLEN) +NAN_BOXED(23719,32,FLEN) +NAN_BOXED(23719,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(23719,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2147790570,32,FLEN) +NAN_BOXED(2147790570,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1079294230,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1079294230,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(1079294230,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2112678049,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2112678049,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2112678049,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(280905,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(280905,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(28090,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(28090,32,FLEN) +NAN_BOXED(28090,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(28090,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1081863344,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1081863344,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(1081863344,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2110625784,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2110625784,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2110625784,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(243774,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(243774,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(24377,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(24377,32,FLEN) +NAN_BOXED(24377,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(24377,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1079681000,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1079681000,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(1079681000,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2113913254,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2113913254,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2113913254,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(303253,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(303253,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(30325,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(30325,32,FLEN) +NAN_BOXED(30325,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(30325,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1082653635,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1082653635,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(1082653635,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2107464849,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2107464849,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2107464849,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(186585,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(186585,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(18658,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(18658,32,FLEN) +NAN_BOXED(18658,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(18658,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1076319718,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1076319718,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1076319718,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4263631749,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4263631749,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4263631749,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147867480,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2147867480,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147522031,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2147522031,32,FLEN) +NAN_BOXED(2147522031,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2147522031,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3232505294,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3232505294,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(3232505294,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4260674800,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4260674800,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4260674800,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147773836,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2147773836,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147512666,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2147512666,32,FLEN) +NAN_BOXED(2147512666,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2147512666,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3229753348,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3229753348,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(3229753348,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4262779665,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4262779665,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4262779665,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147836647,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2147836647,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147518947,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2147518947,32,FLEN) +NAN_BOXED(2147518947,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2147518947,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3231599203,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3231599203,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(3231599203,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4256645489,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4256645489,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4256645489,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147700936,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147700936,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2147514340,32,FLEN) +NAN_BOXED(2147514340,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3225607918,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3225607918,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(3225607918,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4261506273,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4261506273,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4261506273,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147790570,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2147790570,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147514340,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2147514340,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3230245100,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3230245100,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(3230245100,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_5: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_6: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_7: + .fill 130*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/F_Zfa/src/fmaxm_b1-01.S b/riscv-test-suite/rv32i_m/F_Zfa/src/fmaxm_b1-01.S new file mode 100644 index 000000000..538ac3c11 --- /dev/null +++ b/riscv-test-suite/rv32i_m/F_Zfa/src/fmaxm_b1-01.S @@ -0,0 +1,5889 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:32:41 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fmaxm.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fmaxm.s instruction of the RISC-V RV32F_Zicsr_Zfa,RV32FD_Zicsr_Zfa,RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fmaxm_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr_Zfa,RV32IFD_Zicsr_Zfa,RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fmaxm_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f30, rs2==f29, rd==f31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rd != rs2, rs1==f29, rs2==f31, rd==f29,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f29; op2:f31; dest:f29; op1val:0x0; op2val:0x80000000; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f29, f29, f31, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs2 == rd != rs1, rs1==f31, rs2==f30, rd==f30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f31; op2:f30; dest:f30; op1val:0x0; op2val:0x1; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f30, f31, f30, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs1 == rs2 != rd, rs1==f27, rs2==f27, rd==f28,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f27; op2:f27; dest:f28; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f28, f27, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs1 == rs2 == rd, rs1==f26, rs2==f26, rd==f26,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f26; op2:f26; dest:f26; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f26, f26, f26, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f28, rs2==f25, rd==f27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f28; op2:f25; dest:f27; op1val:0x0; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f27, f28, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f24, rs2==f28, rd==f25,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f24; op2:f28; dest:f25; op1val:0x0; op2val:0x7fffff; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f25, f24, f28, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f25, rs2==f23, rd==f24,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f25; op2:f23; dest:f24; op1val:0x0; op2val:0x807fffff; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f24, f25, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f22, rs2==f24, rd==f23,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f22; op2:f24; dest:f23; op1val:0x0; op2val:0x800000; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f23, f22, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f23, rs2==f21, rd==f22,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f23; op2:f21; dest:f22; op1val:0x0; op2val:0x80800000; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f22, f23, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f20, rs2==f22, rd==f21,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f20; op2:f22; dest:f21; op1val:0x0; op2val:0x800001; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f21, f20, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f21, rs2==f19, rd==f20,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f21; op2:f19; dest:f20; op1val:0x0; op2val:0x80855555; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f20, f21, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f18, rs2==f20, rd==f19,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f18; op2:f20; dest:f19; op1val:0x0; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f19, f18, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f19, rs2==f17, rd==f18,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f19; op2:f17; dest:f18; op1val:0x0; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f18, f19, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f16, rs2==f18, rd==f17,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f16; op2:f18; dest:f17; op1val:0x0; op2val:0x7f800000; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f17, f16, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f17, rs2==f15, rd==f16,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f17; op2:f15; dest:f16; op1val:0x0; op2val:0xff800000; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f16, f17, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f14, rs2==f16, rd==f15,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f14; op2:f16; dest:f15; op1val:0x0; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f15, f14, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f15, rs2==f13, rd==f14,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f15; op2:f13; dest:f14; op1val:0x0; op2val:0xffc00000; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f14, f15, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f12, rs2==f14, rd==f13,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f12; op2:f14; dest:f13; op1val:0x0; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f13, f12, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f13, rs2==f11, rd==f12,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f13; op2:f11; dest:f12; op1val:0x0; op2val:0xffc55555; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f12, f13, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f10, rs2==f12, rd==f11,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f10; op2:f12; dest:f11; op1val:0x0; op2val:0x7f800001; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f11, f10, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f11, rs2==f9, rd==f10,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f11; op2:f9; dest:f10; op1val:0x0; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f10, f11, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f8, rs2==f10, rd==f9,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f8; op2:f10; dest:f9; op1val:0x0; op2val:0x3f800000; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f9, f8, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f9, rs2==f7, rd==f8,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f9; op2:f7; dest:f8; op1val:0x0; op2val:0xbf800000; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f8, f9, f7, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f6, rs2==f8, rd==f7,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f6; op2:f8; dest:f7; op1val:0x80000000; op2val:0x0; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f7, f6, f8, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f7, rs2==f5, rd==f6,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f7; op2:f5; dest:f6; op1val:0x80000000; op2val:0x80000000; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f6, f7, f5, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f4, rs2==f6, rd==f5,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f4; op2:f6; dest:f5; op1val:0x80000000; op2val:0x1; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f5, f4, f6, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f5, rs2==f3, rd==f4,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f5; op2:f3; dest:f4; op1val:0x80000000; op2val:0x80000001; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f4, f5, f3, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f2, rs2==f4, rd==f3,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f2; op2:f4; dest:f3; op1val:0x80000000; op2val:0x2; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f3, f2, f4, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f3, rs2==f1, rd==f2,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f3; op2:f1; dest:f2; op1val:0x80000000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f2, f3, f1, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f0, rs2==f2, rd==f1,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f0; op2:f2; dest:f1; op1val:0x80000000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f1, f0, f2, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f1,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f1; op2:f30; dest:f31; op1val:0x80000000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f1, f30, 0, 0, x3, 62*FLEN/8, x4, x1, x2) + +inst_32: +// rs2==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f0; dest:f31; op1val:0x80000000; op2val:0x800000; + valaddr_reg:x3; val_offset:64*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f0, 0, 0, x3, 64*FLEN/8, x4, x1, x2) + +inst_33: +// rd==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f31; op2:f30; dest:f0; op1val:0x80000000; op2val:0x80800000; + valaddr_reg:x3; val_offset:66*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f0, f31, f30, 0, 0, x3, 66*FLEN/8, x4, x1, x2) + +inst_34: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x800001; + valaddr_reg:x3; val_offset:68*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 68*FLEN/8, x4, x1, x2) + +inst_35: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x80855555; + valaddr_reg:x3; val_offset:70*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 70*FLEN/8, x4, x1, x2) + +inst_36: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:72*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 72*FLEN/8, x4, x1, x2) + +inst_37: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:74*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 74*FLEN/8, x4, x1, x2) + +inst_38: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:76*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 76*FLEN/8, x4, x1, x2) + +inst_39: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xff800000; + valaddr_reg:x3; val_offset:78*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 78*FLEN/8, x4, x1, x2) + +inst_40: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:80*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 80*FLEN/8, x4, x1, x2) + +inst_41: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:82*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 82*FLEN/8, x4, x1, x2) + +inst_42: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:84*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 84*FLEN/8, x4, x1, x2) + +inst_43: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:86*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 86*FLEN/8, x4, x1, x2) + +inst_44: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:88*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 88*FLEN/8, x4, x1, x2) + +inst_45: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:90*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 90*FLEN/8, x4, x1, x2) + +inst_46: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:92*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 92*FLEN/8, x4, x1, x2) + +inst_47: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:94*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 94*FLEN/8, x4, x1, x2) + +inst_48: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x0; + valaddr_reg:x3; val_offset:96*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 96*FLEN/8, x4, x1, x2) + +inst_49: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x80000000; + valaddr_reg:x3; val_offset:98*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 98*FLEN/8, x4, x1, x2) + +inst_50: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x1; + valaddr_reg:x3; val_offset:100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 100*FLEN/8, x4, x1, x2) + +inst_51: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x80000001; + valaddr_reg:x3; val_offset:102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 102*FLEN/8, x4, x1, x2) + +inst_52: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x2; + valaddr_reg:x3; val_offset:104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 104*FLEN/8, x4, x1, x2) + +inst_53: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 106*FLEN/8, x4, x1, x2) + +inst_54: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7fffff; + valaddr_reg:x3; val_offset:108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 108*FLEN/8, x4, x1, x2) + +inst_55: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x807fffff; + valaddr_reg:x3; val_offset:110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 110*FLEN/8, x4, x1, x2) + +inst_56: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x800000; + valaddr_reg:x3; val_offset:112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 112*FLEN/8, x4, x1, x2) + +inst_57: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x80800000; + valaddr_reg:x3; val_offset:114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 114*FLEN/8, x4, x1, x2) + +inst_58: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x800001; + valaddr_reg:x3; val_offset:116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 116*FLEN/8, x4, x1, x2) + +inst_59: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x80855555; + valaddr_reg:x3; val_offset:118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 118*FLEN/8, x4, x1, x2) + +inst_60: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 120*FLEN/8, x4, x1, x2) + +inst_61: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 122*FLEN/8, x4, x1, x2) + +inst_62: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7f800000; + valaddr_reg:x3; val_offset:124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 124*FLEN/8, x4, x1, x2) + +inst_63: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xff800000; + valaddr_reg:x3; val_offset:126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 126*FLEN/8, x4, x1, x2) + +inst_64: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 128*FLEN/8, x4, x1, x2) + +inst_65: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xffc00000; + valaddr_reg:x3; val_offset:130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 130*FLEN/8, x4, x1, x2) + +inst_66: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 132*FLEN/8, x4, x1, x2) + +inst_67: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xffc55555; + valaddr_reg:x3; val_offset:134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 134*FLEN/8, x4, x1, x2) + +inst_68: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7f800001; + valaddr_reg:x3; val_offset:136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 136*FLEN/8, x4, x1, x2) + +inst_69: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 138*FLEN/8, x4, x1, x2) + +inst_70: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x3f800000; + valaddr_reg:x3; val_offset:140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 140*FLEN/8, x4, x1, x2) + +inst_71: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xbf800000; + valaddr_reg:x3; val_offset:142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 142*FLEN/8, x4, x1, x2) + +inst_72: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x0; + valaddr_reg:x3; val_offset:144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 144*FLEN/8, x4, x1, x2) + +inst_73: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x80000000; + valaddr_reg:x3; val_offset:146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 146*FLEN/8, x4, x1, x2) + +inst_74: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x1; + valaddr_reg:x3; val_offset:148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 148*FLEN/8, x4, x1, x2) + +inst_75: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x80000001; + valaddr_reg:x3; val_offset:150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 150*FLEN/8, x4, x1, x2) + +inst_76: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x2; + valaddr_reg:x3; val_offset:152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 152*FLEN/8, x4, x1, x2) + +inst_77: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 154*FLEN/8, x4, x1, x2) + +inst_78: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7fffff; + valaddr_reg:x3; val_offset:156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 156*FLEN/8, x4, x1, x2) + +inst_79: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x807fffff; + valaddr_reg:x3; val_offset:158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 158*FLEN/8, x4, x1, x2) + +inst_80: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x800000; + valaddr_reg:x3; val_offset:160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 160*FLEN/8, x4, x1, x2) + +inst_81: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x80800000; + valaddr_reg:x3; val_offset:162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 162*FLEN/8, x4, x1, x2) + +inst_82: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x800001; + valaddr_reg:x3; val_offset:164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 164*FLEN/8, x4, x1, x2) + +inst_83: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x80855555; + valaddr_reg:x3; val_offset:166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 166*FLEN/8, x4, x1, x2) + +inst_84: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 168*FLEN/8, x4, x1, x2) + +inst_85: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 170*FLEN/8, x4, x1, x2) + +inst_86: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7f800000; + valaddr_reg:x3; val_offset:172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 172*FLEN/8, x4, x1, x2) + +inst_87: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xff800000; + valaddr_reg:x3; val_offset:174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 174*FLEN/8, x4, x1, x2) + +inst_88: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 176*FLEN/8, x4, x1, x2) + +inst_89: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xffc00000; + valaddr_reg:x3; val_offset:178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 178*FLEN/8, x4, x1, x2) + +inst_90: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 180*FLEN/8, x4, x1, x2) + +inst_91: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xffc55555; + valaddr_reg:x3; val_offset:182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 182*FLEN/8, x4, x1, x2) + +inst_92: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7f800001; + valaddr_reg:x3; val_offset:184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 184*FLEN/8, x4, x1, x2) + +inst_93: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 186*FLEN/8, x4, x1, x2) + +inst_94: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x3f800000; + valaddr_reg:x3; val_offset:188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 188*FLEN/8, x4, x1, x2) + +inst_95: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xbf800000; + valaddr_reg:x3; val_offset:190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 190*FLEN/8, x4, x1, x2) + +inst_96: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x0; + valaddr_reg:x3; val_offset:192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 192*FLEN/8, x4, x1, x2) + +inst_97: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x80000000; + valaddr_reg:x3; val_offset:194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 194*FLEN/8, x4, x1, x2) + +inst_98: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x1; + valaddr_reg:x3; val_offset:196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 196*FLEN/8, x4, x1, x2) + +inst_99: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x80000001; + valaddr_reg:x3; val_offset:198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 198*FLEN/8, x4, x1, x2) + +inst_100: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x2; + valaddr_reg:x3; val_offset:200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 200*FLEN/8, x4, x1, x2) + +inst_101: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 202*FLEN/8, x4, x1, x2) + +inst_102: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7fffff; + valaddr_reg:x3; val_offset:204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 204*FLEN/8, x4, x1, x2) + +inst_103: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x807fffff; + valaddr_reg:x3; val_offset:206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 206*FLEN/8, x4, x1, x2) + +inst_104: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x800000; + valaddr_reg:x3; val_offset:208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 208*FLEN/8, x4, x1, x2) + +inst_105: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x80800000; + valaddr_reg:x3; val_offset:210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 210*FLEN/8, x4, x1, x2) + +inst_106: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x800001; + valaddr_reg:x3; val_offset:212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 212*FLEN/8, x4, x1, x2) + +inst_107: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x80855555; + valaddr_reg:x3; val_offset:214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 214*FLEN/8, x4, x1, x2) + +inst_108: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 216*FLEN/8, x4, x1, x2) + +inst_109: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 218*FLEN/8, x4, x1, x2) + +inst_110: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7f800000; + valaddr_reg:x3; val_offset:220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 220*FLEN/8, x4, x1, x2) + +inst_111: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xff800000; + valaddr_reg:x3; val_offset:222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 222*FLEN/8, x4, x1, x2) + +inst_112: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 224*FLEN/8, x4, x1, x2) + +inst_113: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xffc00000; + valaddr_reg:x3; val_offset:226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 226*FLEN/8, x4, x1, x2) + +inst_114: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 228*FLEN/8, x4, x1, x2) + +inst_115: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xffc55555; + valaddr_reg:x3; val_offset:230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 230*FLEN/8, x4, x1, x2) + +inst_116: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7f800001; + valaddr_reg:x3; val_offset:232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 232*FLEN/8, x4, x1, x2) + +inst_117: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 234*FLEN/8, x4, x1, x2) + +inst_118: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x3f800000; + valaddr_reg:x3; val_offset:236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 236*FLEN/8, x4, x1, x2) + +inst_119: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xbf800000; + valaddr_reg:x3; val_offset:238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 238*FLEN/8, x4, x1, x2) + +inst_120: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x0; + valaddr_reg:x3; val_offset:240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 240*FLEN/8, x4, x1, x2) + +inst_121: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x80000000; + valaddr_reg:x3; val_offset:242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 242*FLEN/8, x4, x1, x2) + +inst_122: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x1; + valaddr_reg:x3; val_offset:244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 244*FLEN/8, x4, x1, x2) + +inst_123: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x80000001; + valaddr_reg:x3; val_offset:246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 246*FLEN/8, x4, x1, x2) + +inst_124: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x2; + valaddr_reg:x3; val_offset:248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 248*FLEN/8, x4, x1, x2) + +inst_125: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 250*FLEN/8, x4, x1, x2) + +inst_126: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7fffff; + valaddr_reg:x3; val_offset:252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 252*FLEN/8, x4, x1, x2) + +inst_127: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x807fffff; + valaddr_reg:x3; val_offset:254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 254*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_2) + +inst_128: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x800000; + valaddr_reg:x3; val_offset:256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 256*FLEN/8, x4, x1, x2) + +inst_129: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x80800000; + valaddr_reg:x3; val_offset:258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 258*FLEN/8, x4, x1, x2) + +inst_130: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x800001; + valaddr_reg:x3; val_offset:260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 260*FLEN/8, x4, x1, x2) + +inst_131: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x80855555; + valaddr_reg:x3; val_offset:262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 262*FLEN/8, x4, x1, x2) + +inst_132: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 264*FLEN/8, x4, x1, x2) + +inst_133: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 266*FLEN/8, x4, x1, x2) + +inst_134: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7f800000; + valaddr_reg:x3; val_offset:268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 268*FLEN/8, x4, x1, x2) + +inst_135: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xff800000; + valaddr_reg:x3; val_offset:270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 270*FLEN/8, x4, x1, x2) + +inst_136: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 272*FLEN/8, x4, x1, x2) + +inst_137: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xffc00000; + valaddr_reg:x3; val_offset:274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 274*FLEN/8, x4, x1, x2) + +inst_138: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 276*FLEN/8, x4, x1, x2) + +inst_139: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xffc55555; + valaddr_reg:x3; val_offset:278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 278*FLEN/8, x4, x1, x2) + +inst_140: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7f800001; + valaddr_reg:x3; val_offset:280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 280*FLEN/8, x4, x1, x2) + +inst_141: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 282*FLEN/8, x4, x1, x2) + +inst_142: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x3f800000; + valaddr_reg:x3; val_offset:284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 284*FLEN/8, x4, x1, x2) + +inst_143: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xbf800000; + valaddr_reg:x3; val_offset:286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 286*FLEN/8, x4, x1, x2) + +inst_144: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x0; + valaddr_reg:x3; val_offset:288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 288*FLEN/8, x4, x1, x2) + +inst_145: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x80000000; + valaddr_reg:x3; val_offset:290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 290*FLEN/8, x4, x1, x2) + +inst_146: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x1; + valaddr_reg:x3; val_offset:292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 292*FLEN/8, x4, x1, x2) + +inst_147: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x80000001; + valaddr_reg:x3; val_offset:294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 294*FLEN/8, x4, x1, x2) + +inst_148: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x2; + valaddr_reg:x3; val_offset:296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 296*FLEN/8, x4, x1, x2) + +inst_149: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 298*FLEN/8, x4, x1, x2) + +inst_150: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7fffff; + valaddr_reg:x3; val_offset:300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 300*FLEN/8, x4, x1, x2) + +inst_151: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x807fffff; + valaddr_reg:x3; val_offset:302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 302*FLEN/8, x4, x1, x2) + +inst_152: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x800000; + valaddr_reg:x3; val_offset:304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 304*FLEN/8, x4, x1, x2) + +inst_153: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x80800000; + valaddr_reg:x3; val_offset:306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 306*FLEN/8, x4, x1, x2) + +inst_154: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x800001; + valaddr_reg:x3; val_offset:308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 308*FLEN/8, x4, x1, x2) + +inst_155: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x80855555; + valaddr_reg:x3; val_offset:310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 310*FLEN/8, x4, x1, x2) + +inst_156: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 312*FLEN/8, x4, x1, x2) + +inst_157: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 314*FLEN/8, x4, x1, x2) + +inst_158: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7f800000; + valaddr_reg:x3; val_offset:316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 316*FLEN/8, x4, x1, x2) + +inst_159: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xff800000; + valaddr_reg:x3; val_offset:318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 318*FLEN/8, x4, x1, x2) + +inst_160: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 320*FLEN/8, x4, x1, x2) + +inst_161: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xffc00000; + valaddr_reg:x3; val_offset:322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 322*FLEN/8, x4, x1, x2) + +inst_162: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 324*FLEN/8, x4, x1, x2) + +inst_163: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xffc55555; + valaddr_reg:x3; val_offset:326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 326*FLEN/8, x4, x1, x2) + +inst_164: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7f800001; + valaddr_reg:x3; val_offset:328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 328*FLEN/8, x4, x1, x2) + +inst_165: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 330*FLEN/8, x4, x1, x2) + +inst_166: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x3f800000; + valaddr_reg:x3; val_offset:332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 332*FLEN/8, x4, x1, x2) + +inst_167: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xbf800000; + valaddr_reg:x3; val_offset:334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 334*FLEN/8, x4, x1, x2) + +inst_168: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x0; + valaddr_reg:x3; val_offset:336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 336*FLEN/8, x4, x1, x2) + +inst_169: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x80000000; + valaddr_reg:x3; val_offset:338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 338*FLEN/8, x4, x1, x2) + +inst_170: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x1; + valaddr_reg:x3; val_offset:340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 340*FLEN/8, x4, x1, x2) + +inst_171: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x80000001; + valaddr_reg:x3; val_offset:342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 342*FLEN/8, x4, x1, x2) + +inst_172: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x2; + valaddr_reg:x3; val_offset:344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 344*FLEN/8, x4, x1, x2) + +inst_173: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 346*FLEN/8, x4, x1, x2) + +inst_174: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7fffff; + valaddr_reg:x3; val_offset:348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 348*FLEN/8, x4, x1, x2) + +inst_175: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x807fffff; + valaddr_reg:x3; val_offset:350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 350*FLEN/8, x4, x1, x2) + +inst_176: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x800000; + valaddr_reg:x3; val_offset:352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 352*FLEN/8, x4, x1, x2) + +inst_177: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x80800000; + valaddr_reg:x3; val_offset:354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 354*FLEN/8, x4, x1, x2) + +inst_178: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x800001; + valaddr_reg:x3; val_offset:356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 356*FLEN/8, x4, x1, x2) + +inst_179: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x80855555; + valaddr_reg:x3; val_offset:358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 358*FLEN/8, x4, x1, x2) + +inst_180: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 360*FLEN/8, x4, x1, x2) + +inst_181: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 362*FLEN/8, x4, x1, x2) + +inst_182: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7f800000; + valaddr_reg:x3; val_offset:364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 364*FLEN/8, x4, x1, x2) + +inst_183: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xff800000; + valaddr_reg:x3; val_offset:366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 366*FLEN/8, x4, x1, x2) + +inst_184: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 368*FLEN/8, x4, x1, x2) + +inst_185: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xffc00000; + valaddr_reg:x3; val_offset:370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 370*FLEN/8, x4, x1, x2) + +inst_186: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 372*FLEN/8, x4, x1, x2) + +inst_187: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xffc55555; + valaddr_reg:x3; val_offset:374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 374*FLEN/8, x4, x1, x2) + +inst_188: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7f800001; + valaddr_reg:x3; val_offset:376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 376*FLEN/8, x4, x1, x2) + +inst_189: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 378*FLEN/8, x4, x1, x2) + +inst_190: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x3f800000; + valaddr_reg:x3; val_offset:380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 380*FLEN/8, x4, x1, x2) + +inst_191: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xbf800000; + valaddr_reg:x3; val_offset:382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 382*FLEN/8, x4, x1, x2) + +inst_192: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x0; + valaddr_reg:x3; val_offset:384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 384*FLEN/8, x4, x1, x2) + +inst_193: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 386*FLEN/8, x4, x1, x2) + +inst_194: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x1; + valaddr_reg:x3; val_offset:388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 388*FLEN/8, x4, x1, x2) + +inst_195: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 390*FLEN/8, x4, x1, x2) + +inst_196: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x2; + valaddr_reg:x3; val_offset:392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 392*FLEN/8, x4, x1, x2) + +inst_197: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 394*FLEN/8, x4, x1, x2) + +inst_198: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 396*FLEN/8, x4, x1, x2) + +inst_199: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 398*FLEN/8, x4, x1, x2) + +inst_200: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x800000; + valaddr_reg:x3; val_offset:400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 400*FLEN/8, x4, x1, x2) + +inst_201: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 402*FLEN/8, x4, x1, x2) + +inst_202: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x800001; + valaddr_reg:x3; val_offset:404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 404*FLEN/8, x4, x1, x2) + +inst_203: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 406*FLEN/8, x4, x1, x2) + +inst_204: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 408*FLEN/8, x4, x1, x2) + +inst_205: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 410*FLEN/8, x4, x1, x2) + +inst_206: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 412*FLEN/8, x4, x1, x2) + +inst_207: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 414*FLEN/8, x4, x1, x2) + +inst_208: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 416*FLEN/8, x4, x1, x2) + +inst_209: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 418*FLEN/8, x4, x1, x2) + +inst_210: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 420*FLEN/8, x4, x1, x2) + +inst_211: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 422*FLEN/8, x4, x1, x2) + +inst_212: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 424*FLEN/8, x4, x1, x2) + +inst_213: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 426*FLEN/8, x4, x1, x2) + +inst_214: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 428*FLEN/8, x4, x1, x2) + +inst_215: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 430*FLEN/8, x4, x1, x2) + +inst_216: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x0; + valaddr_reg:x3; val_offset:432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 432*FLEN/8, x4, x1, x2) + +inst_217: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 434*FLEN/8, x4, x1, x2) + +inst_218: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x1; + valaddr_reg:x3; val_offset:436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 436*FLEN/8, x4, x1, x2) + +inst_219: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 438*FLEN/8, x4, x1, x2) + +inst_220: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x2; + valaddr_reg:x3; val_offset:440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 440*FLEN/8, x4, x1, x2) + +inst_221: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 442*FLEN/8, x4, x1, x2) + +inst_222: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 444*FLEN/8, x4, x1, x2) + +inst_223: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 446*FLEN/8, x4, x1, x2) + +inst_224: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x800000; + valaddr_reg:x3; val_offset:448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 448*FLEN/8, x4, x1, x2) + +inst_225: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 450*FLEN/8, x4, x1, x2) + +inst_226: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x800001; + valaddr_reg:x3; val_offset:452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 452*FLEN/8, x4, x1, x2) + +inst_227: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 454*FLEN/8, x4, x1, x2) + +inst_228: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 456*FLEN/8, x4, x1, x2) + +inst_229: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 458*FLEN/8, x4, x1, x2) + +inst_230: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 460*FLEN/8, x4, x1, x2) + +inst_231: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 462*FLEN/8, x4, x1, x2) + +inst_232: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 464*FLEN/8, x4, x1, x2) + +inst_233: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 466*FLEN/8, x4, x1, x2) + +inst_234: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 468*FLEN/8, x4, x1, x2) + +inst_235: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 470*FLEN/8, x4, x1, x2) + +inst_236: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 472*FLEN/8, x4, x1, x2) + +inst_237: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 474*FLEN/8, x4, x1, x2) + +inst_238: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 476*FLEN/8, x4, x1, x2) + +inst_239: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 478*FLEN/8, x4, x1, x2) + +inst_240: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x0; + valaddr_reg:x3; val_offset:480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 480*FLEN/8, x4, x1, x2) + +inst_241: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x80000000; + valaddr_reg:x3; val_offset:482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 482*FLEN/8, x4, x1, x2) + +inst_242: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x1; + valaddr_reg:x3; val_offset:484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 484*FLEN/8, x4, x1, x2) + +inst_243: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x80000001; + valaddr_reg:x3; val_offset:486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 486*FLEN/8, x4, x1, x2) + +inst_244: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x2; + valaddr_reg:x3; val_offset:488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 488*FLEN/8, x4, x1, x2) + +inst_245: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 490*FLEN/8, x4, x1, x2) + +inst_246: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7fffff; + valaddr_reg:x3; val_offset:492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 492*FLEN/8, x4, x1, x2) + +inst_247: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x807fffff; + valaddr_reg:x3; val_offset:494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 494*FLEN/8, x4, x1, x2) + +inst_248: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x800000; + valaddr_reg:x3; val_offset:496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 496*FLEN/8, x4, x1, x2) + +inst_249: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x80800000; + valaddr_reg:x3; val_offset:498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 498*FLEN/8, x4, x1, x2) + +inst_250: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x800001; + valaddr_reg:x3; val_offset:500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 500*FLEN/8, x4, x1, x2) + +inst_251: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x80855555; + valaddr_reg:x3; val_offset:502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 502*FLEN/8, x4, x1, x2) + +inst_252: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 504*FLEN/8, x4, x1, x2) + +inst_253: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 506*FLEN/8, x4, x1, x2) + +inst_254: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7f800000; + valaddr_reg:x3; val_offset:508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 508*FLEN/8, x4, x1, x2) + +inst_255: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xff800000; + valaddr_reg:x3; val_offset:510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 510*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_3) + +inst_256: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 512*FLEN/8, x4, x1, x2) + +inst_257: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xffc00000; + valaddr_reg:x3; val_offset:514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 514*FLEN/8, x4, x1, x2) + +inst_258: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 516*FLEN/8, x4, x1, x2) + +inst_259: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xffc55555; + valaddr_reg:x3; val_offset:518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 518*FLEN/8, x4, x1, x2) + +inst_260: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7f800001; + valaddr_reg:x3; val_offset:520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 520*FLEN/8, x4, x1, x2) + +inst_261: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 522*FLEN/8, x4, x1, x2) + +inst_262: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x3f800000; + valaddr_reg:x3; val_offset:524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 524*FLEN/8, x4, x1, x2) + +inst_263: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xbf800000; + valaddr_reg:x3; val_offset:526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 526*FLEN/8, x4, x1, x2) + +inst_264: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x0; + valaddr_reg:x3; val_offset:528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 528*FLEN/8, x4, x1, x2) + +inst_265: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x80000000; + valaddr_reg:x3; val_offset:530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 530*FLEN/8, x4, x1, x2) + +inst_266: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x1; + valaddr_reg:x3; val_offset:532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 532*FLEN/8, x4, x1, x2) + +inst_267: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x80000001; + valaddr_reg:x3; val_offset:534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 534*FLEN/8, x4, x1, x2) + +inst_268: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x2; + valaddr_reg:x3; val_offset:536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 536*FLEN/8, x4, x1, x2) + +inst_269: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 538*FLEN/8, x4, x1, x2) + +inst_270: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7fffff; + valaddr_reg:x3; val_offset:540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 540*FLEN/8, x4, x1, x2) + +inst_271: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x807fffff; + valaddr_reg:x3; val_offset:542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 542*FLEN/8, x4, x1, x2) + +inst_272: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x800000; + valaddr_reg:x3; val_offset:544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 544*FLEN/8, x4, x1, x2) + +inst_273: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x80800000; + valaddr_reg:x3; val_offset:546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 546*FLEN/8, x4, x1, x2) + +inst_274: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x800001; + valaddr_reg:x3; val_offset:548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 548*FLEN/8, x4, x1, x2) + +inst_275: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x80855555; + valaddr_reg:x3; val_offset:550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 550*FLEN/8, x4, x1, x2) + +inst_276: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 552*FLEN/8, x4, x1, x2) + +inst_277: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 554*FLEN/8, x4, x1, x2) + +inst_278: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7f800000; + valaddr_reg:x3; val_offset:556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 556*FLEN/8, x4, x1, x2) + +inst_279: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xff800000; + valaddr_reg:x3; val_offset:558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 558*FLEN/8, x4, x1, x2) + +inst_280: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 560*FLEN/8, x4, x1, x2) + +inst_281: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xffc00000; + valaddr_reg:x3; val_offset:562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 562*FLEN/8, x4, x1, x2) + +inst_282: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 564*FLEN/8, x4, x1, x2) + +inst_283: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xffc55555; + valaddr_reg:x3; val_offset:566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 566*FLEN/8, x4, x1, x2) + +inst_284: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7f800001; + valaddr_reg:x3; val_offset:568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 568*FLEN/8, x4, x1, x2) + +inst_285: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 570*FLEN/8, x4, x1, x2) + +inst_286: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x3f800000; + valaddr_reg:x3; val_offset:572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 572*FLEN/8, x4, x1, x2) + +inst_287: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xbf800000; + valaddr_reg:x3; val_offset:574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 574*FLEN/8, x4, x1, x2) + +inst_288: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x0; + valaddr_reg:x3; val_offset:576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 576*FLEN/8, x4, x1, x2) + +inst_289: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x80000000; + valaddr_reg:x3; val_offset:578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 578*FLEN/8, x4, x1, x2) + +inst_290: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x1; + valaddr_reg:x3; val_offset:580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 580*FLEN/8, x4, x1, x2) + +inst_291: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x80000001; + valaddr_reg:x3; val_offset:582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 582*FLEN/8, x4, x1, x2) + +inst_292: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x2; + valaddr_reg:x3; val_offset:584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 584*FLEN/8, x4, x1, x2) + +inst_293: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 586*FLEN/8, x4, x1, x2) + +inst_294: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7fffff; + valaddr_reg:x3; val_offset:588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 588*FLEN/8, x4, x1, x2) + +inst_295: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x807fffff; + valaddr_reg:x3; val_offset:590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 590*FLEN/8, x4, x1, x2) + +inst_296: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x800000; + valaddr_reg:x3; val_offset:592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 592*FLEN/8, x4, x1, x2) + +inst_297: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x80800000; + valaddr_reg:x3; val_offset:594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 594*FLEN/8, x4, x1, x2) + +inst_298: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x800001; + valaddr_reg:x3; val_offset:596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 596*FLEN/8, x4, x1, x2) + +inst_299: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x80855555; + valaddr_reg:x3; val_offset:598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 598*FLEN/8, x4, x1, x2) + +inst_300: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 600*FLEN/8, x4, x1, x2) + +inst_301: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 602*FLEN/8, x4, x1, x2) + +inst_302: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7f800000; + valaddr_reg:x3; val_offset:604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 604*FLEN/8, x4, x1, x2) + +inst_303: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xff800000; + valaddr_reg:x3; val_offset:606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 606*FLEN/8, x4, x1, x2) + +inst_304: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 608*FLEN/8, x4, x1, x2) + +inst_305: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xffc00000; + valaddr_reg:x3; val_offset:610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 610*FLEN/8, x4, x1, x2) + +inst_306: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 612*FLEN/8, x4, x1, x2) + +inst_307: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xffc55555; + valaddr_reg:x3; val_offset:614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 614*FLEN/8, x4, x1, x2) + +inst_308: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7f800001; + valaddr_reg:x3; val_offset:616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 616*FLEN/8, x4, x1, x2) + +inst_309: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 618*FLEN/8, x4, x1, x2) + +inst_310: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x3f800000; + valaddr_reg:x3; val_offset:620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 620*FLEN/8, x4, x1, x2) + +inst_311: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xbf800000; + valaddr_reg:x3; val_offset:622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 622*FLEN/8, x4, x1, x2) + +inst_312: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x0; + valaddr_reg:x3; val_offset:624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 624*FLEN/8, x4, x1, x2) + +inst_313: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x80000000; + valaddr_reg:x3; val_offset:626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 626*FLEN/8, x4, x1, x2) + +inst_314: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x1; + valaddr_reg:x3; val_offset:628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 628*FLEN/8, x4, x1, x2) + +inst_315: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x80000001; + valaddr_reg:x3; val_offset:630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 630*FLEN/8, x4, x1, x2) + +inst_316: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x2; + valaddr_reg:x3; val_offset:632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 632*FLEN/8, x4, x1, x2) + +inst_317: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 634*FLEN/8, x4, x1, x2) + +inst_318: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7fffff; + valaddr_reg:x3; val_offset:636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 636*FLEN/8, x4, x1, x2) + +inst_319: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x807fffff; + valaddr_reg:x3; val_offset:638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 638*FLEN/8, x4, x1, x2) + +inst_320: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x800000; + valaddr_reg:x3; val_offset:640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 640*FLEN/8, x4, x1, x2) + +inst_321: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x80800000; + valaddr_reg:x3; val_offset:642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 642*FLEN/8, x4, x1, x2) + +inst_322: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x800001; + valaddr_reg:x3; val_offset:644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 644*FLEN/8, x4, x1, x2) + +inst_323: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x80855555; + valaddr_reg:x3; val_offset:646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 646*FLEN/8, x4, x1, x2) + +inst_324: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 648*FLEN/8, x4, x1, x2) + +inst_325: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 650*FLEN/8, x4, x1, x2) + +inst_326: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7f800000; + valaddr_reg:x3; val_offset:652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 652*FLEN/8, x4, x1, x2) + +inst_327: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xff800000; + valaddr_reg:x3; val_offset:654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 654*FLEN/8, x4, x1, x2) + +inst_328: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 656*FLEN/8, x4, x1, x2) + +inst_329: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xffc00000; + valaddr_reg:x3; val_offset:658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 658*FLEN/8, x4, x1, x2) + +inst_330: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 660*FLEN/8, x4, x1, x2) + +inst_331: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xffc55555; + valaddr_reg:x3; val_offset:662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 662*FLEN/8, x4, x1, x2) + +inst_332: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7f800001; + valaddr_reg:x3; val_offset:664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 664*FLEN/8, x4, x1, x2) + +inst_333: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 666*FLEN/8, x4, x1, x2) + +inst_334: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x3f800000; + valaddr_reg:x3; val_offset:668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 668*FLEN/8, x4, x1, x2) + +inst_335: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xbf800000; + valaddr_reg:x3; val_offset:670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 670*FLEN/8, x4, x1, x2) + +inst_336: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x0; + valaddr_reg:x3; val_offset:672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 672*FLEN/8, x4, x1, x2) + +inst_337: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 674*FLEN/8, x4, x1, x2) + +inst_338: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x1; + valaddr_reg:x3; val_offset:676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 676*FLEN/8, x4, x1, x2) + +inst_339: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 678*FLEN/8, x4, x1, x2) + +inst_340: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x2; + valaddr_reg:x3; val_offset:680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 680*FLEN/8, x4, x1, x2) + +inst_341: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 682*FLEN/8, x4, x1, x2) + +inst_342: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 684*FLEN/8, x4, x1, x2) + +inst_343: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 686*FLEN/8, x4, x1, x2) + +inst_344: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x800000; + valaddr_reg:x3; val_offset:688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 688*FLEN/8, x4, x1, x2) + +inst_345: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 690*FLEN/8, x4, x1, x2) + +inst_346: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x800001; + valaddr_reg:x3; val_offset:692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 692*FLEN/8, x4, x1, x2) + +inst_347: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 694*FLEN/8, x4, x1, x2) + +inst_348: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 696*FLEN/8, x4, x1, x2) + +inst_349: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 698*FLEN/8, x4, x1, x2) + +inst_350: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 700*FLEN/8, x4, x1, x2) + +inst_351: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 702*FLEN/8, x4, x1, x2) + +inst_352: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 704*FLEN/8, x4, x1, x2) + +inst_353: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 706*FLEN/8, x4, x1, x2) + +inst_354: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 708*FLEN/8, x4, x1, x2) + +inst_355: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 710*FLEN/8, x4, x1, x2) + +inst_356: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 712*FLEN/8, x4, x1, x2) + +inst_357: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 714*FLEN/8, x4, x1, x2) + +inst_358: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 716*FLEN/8, x4, x1, x2) + +inst_359: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 718*FLEN/8, x4, x1, x2) + +inst_360: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x0; + valaddr_reg:x3; val_offset:720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 720*FLEN/8, x4, x1, x2) + +inst_361: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 722*FLEN/8, x4, x1, x2) + +inst_362: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x1; + valaddr_reg:x3; val_offset:724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 724*FLEN/8, x4, x1, x2) + +inst_363: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 726*FLEN/8, x4, x1, x2) + +inst_364: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x2; + valaddr_reg:x3; val_offset:728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 728*FLEN/8, x4, x1, x2) + +inst_365: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 730*FLEN/8, x4, x1, x2) + +inst_366: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 732*FLEN/8, x4, x1, x2) + +inst_367: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 734*FLEN/8, x4, x1, x2) + +inst_368: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x800000; + valaddr_reg:x3; val_offset:736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 736*FLEN/8, x4, x1, x2) + +inst_369: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 738*FLEN/8, x4, x1, x2) + +inst_370: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x800001; + valaddr_reg:x3; val_offset:740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 740*FLEN/8, x4, x1, x2) + +inst_371: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 742*FLEN/8, x4, x1, x2) + +inst_372: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 744*FLEN/8, x4, x1, x2) + +inst_373: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 746*FLEN/8, x4, x1, x2) + +inst_374: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 748*FLEN/8, x4, x1, x2) + +inst_375: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 750*FLEN/8, x4, x1, x2) + +inst_376: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 752*FLEN/8, x4, x1, x2) + +inst_377: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 754*FLEN/8, x4, x1, x2) + +inst_378: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 756*FLEN/8, x4, x1, x2) + +inst_379: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 758*FLEN/8, x4, x1, x2) + +inst_380: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 760*FLEN/8, x4, x1, x2) + +inst_381: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 762*FLEN/8, x4, x1, x2) + +inst_382: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 764*FLEN/8, x4, x1, x2) + +inst_383: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 766*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_4) + +inst_384: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x0; + valaddr_reg:x3; val_offset:768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 768*FLEN/8, x4, x1, x2) + +inst_385: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x80000000; + valaddr_reg:x3; val_offset:770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 770*FLEN/8, x4, x1, x2) + +inst_386: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x1; + valaddr_reg:x3; val_offset:772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 772*FLEN/8, x4, x1, x2) + +inst_387: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x80000001; + valaddr_reg:x3; val_offset:774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 774*FLEN/8, x4, x1, x2) + +inst_388: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x2; + valaddr_reg:x3; val_offset:776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 776*FLEN/8, x4, x1, x2) + +inst_389: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 778*FLEN/8, x4, x1, x2) + +inst_390: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 780*FLEN/8, x4, x1, x2) + +inst_391: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 782*FLEN/8, x4, x1, x2) + +inst_392: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x800000; + valaddr_reg:x3; val_offset:784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 784*FLEN/8, x4, x1, x2) + +inst_393: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x80800000; + valaddr_reg:x3; val_offset:786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 786*FLEN/8, x4, x1, x2) + +inst_394: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x800001; + valaddr_reg:x3; val_offset:788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 788*FLEN/8, x4, x1, x2) + +inst_395: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x80855555; + valaddr_reg:x3; val_offset:790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 790*FLEN/8, x4, x1, x2) + +inst_396: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 792*FLEN/8, x4, x1, x2) + +inst_397: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 794*FLEN/8, x4, x1, x2) + +inst_398: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 796*FLEN/8, x4, x1, x2) + +inst_399: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xff800000; + valaddr_reg:x3; val_offset:798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 798*FLEN/8, x4, x1, x2) + +inst_400: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 800*FLEN/8, x4, x1, x2) + +inst_401: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 802*FLEN/8, x4, x1, x2) + +inst_402: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 804*FLEN/8, x4, x1, x2) + +inst_403: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 806*FLEN/8, x4, x1, x2) + +inst_404: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 808*FLEN/8, x4, x1, x2) + +inst_405: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 810*FLEN/8, x4, x1, x2) + +inst_406: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 812*FLEN/8, x4, x1, x2) + +inst_407: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 814*FLEN/8, x4, x1, x2) + +inst_408: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x0; + valaddr_reg:x3; val_offset:816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 816*FLEN/8, x4, x1, x2) + +inst_409: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x80000000; + valaddr_reg:x3; val_offset:818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 818*FLEN/8, x4, x1, x2) + +inst_410: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x1; + valaddr_reg:x3; val_offset:820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 820*FLEN/8, x4, x1, x2) + +inst_411: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x80000001; + valaddr_reg:x3; val_offset:822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 822*FLEN/8, x4, x1, x2) + +inst_412: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x2; + valaddr_reg:x3; val_offset:824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 824*FLEN/8, x4, x1, x2) + +inst_413: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 826*FLEN/8, x4, x1, x2) + +inst_414: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 828*FLEN/8, x4, x1, x2) + +inst_415: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 830*FLEN/8, x4, x1, x2) + +inst_416: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x800000; + valaddr_reg:x3; val_offset:832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 832*FLEN/8, x4, x1, x2) + +inst_417: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x80800000; + valaddr_reg:x3; val_offset:834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 834*FLEN/8, x4, x1, x2) + +inst_418: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x800001; + valaddr_reg:x3; val_offset:836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 836*FLEN/8, x4, x1, x2) + +inst_419: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x80855555; + valaddr_reg:x3; val_offset:838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 838*FLEN/8, x4, x1, x2) + +inst_420: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 840*FLEN/8, x4, x1, x2) + +inst_421: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 842*FLEN/8, x4, x1, x2) + +inst_422: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 844*FLEN/8, x4, x1, x2) + +inst_423: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xff800000; + valaddr_reg:x3; val_offset:846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 846*FLEN/8, x4, x1, x2) + +inst_424: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 848*FLEN/8, x4, x1, x2) + +inst_425: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 850*FLEN/8, x4, x1, x2) + +inst_426: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 852*FLEN/8, x4, x1, x2) + +inst_427: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 854*FLEN/8, x4, x1, x2) + +inst_428: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 856*FLEN/8, x4, x1, x2) + +inst_429: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 858*FLEN/8, x4, x1, x2) + +inst_430: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 860*FLEN/8, x4, x1, x2) + +inst_431: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 862*FLEN/8, x4, x1, x2) + +inst_432: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x0; + valaddr_reg:x3; val_offset:864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 864*FLEN/8, x4, x1, x2) + +inst_433: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x80000000; + valaddr_reg:x3; val_offset:866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 866*FLEN/8, x4, x1, x2) + +inst_434: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x1; + valaddr_reg:x3; val_offset:868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 868*FLEN/8, x4, x1, x2) + +inst_435: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x80000001; + valaddr_reg:x3; val_offset:870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 870*FLEN/8, x4, x1, x2) + +inst_436: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x2; + valaddr_reg:x3; val_offset:872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 872*FLEN/8, x4, x1, x2) + +inst_437: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 874*FLEN/8, x4, x1, x2) + +inst_438: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7fffff; + valaddr_reg:x3; val_offset:876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 876*FLEN/8, x4, x1, x2) + +inst_439: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x807fffff; + valaddr_reg:x3; val_offset:878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 878*FLEN/8, x4, x1, x2) + +inst_440: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x800000; + valaddr_reg:x3; val_offset:880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 880*FLEN/8, x4, x1, x2) + +inst_441: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x80800000; + valaddr_reg:x3; val_offset:882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 882*FLEN/8, x4, x1, x2) + +inst_442: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x800001; + valaddr_reg:x3; val_offset:884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 884*FLEN/8, x4, x1, x2) + +inst_443: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x80855555; + valaddr_reg:x3; val_offset:886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 886*FLEN/8, x4, x1, x2) + +inst_444: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 888*FLEN/8, x4, x1, x2) + +inst_445: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 890*FLEN/8, x4, x1, x2) + +inst_446: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7f800000; + valaddr_reg:x3; val_offset:892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 892*FLEN/8, x4, x1, x2) + +inst_447: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xff800000; + valaddr_reg:x3; val_offset:894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 894*FLEN/8, x4, x1, x2) + +inst_448: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 896*FLEN/8, x4, x1, x2) + +inst_449: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xffc00000; + valaddr_reg:x3; val_offset:898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 898*FLEN/8, x4, x1, x2) + +inst_450: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 900*FLEN/8, x4, x1, x2) + +inst_451: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xffc55555; + valaddr_reg:x3; val_offset:902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 902*FLEN/8, x4, x1, x2) + +inst_452: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7f800001; + valaddr_reg:x3; val_offset:904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 904*FLEN/8, x4, x1, x2) + +inst_453: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 906*FLEN/8, x4, x1, x2) + +inst_454: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x3f800000; + valaddr_reg:x3; val_offset:908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 908*FLEN/8, x4, x1, x2) + +inst_455: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xbf800000; + valaddr_reg:x3; val_offset:910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 910*FLEN/8, x4, x1, x2) + +inst_456: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x0; + valaddr_reg:x3; val_offset:912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 912*FLEN/8, x4, x1, x2) + +inst_457: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x80000000; + valaddr_reg:x3; val_offset:914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 914*FLEN/8, x4, x1, x2) + +inst_458: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x1; + valaddr_reg:x3; val_offset:916*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 916*FLEN/8, x4, x1, x2) + +inst_459: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x80000001; + valaddr_reg:x3; val_offset:918*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 918*FLEN/8, x4, x1, x2) + +inst_460: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x2; + valaddr_reg:x3; val_offset:920*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 920*FLEN/8, x4, x1, x2) + +inst_461: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:922*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 922*FLEN/8, x4, x1, x2) + +inst_462: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7fffff; + valaddr_reg:x3; val_offset:924*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 924*FLEN/8, x4, x1, x2) + +inst_463: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x807fffff; + valaddr_reg:x3; val_offset:926*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 926*FLEN/8, x4, x1, x2) + +inst_464: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x800000; + valaddr_reg:x3; val_offset:928*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 928*FLEN/8, x4, x1, x2) + +inst_465: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x80800000; + valaddr_reg:x3; val_offset:930*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 930*FLEN/8, x4, x1, x2) + +inst_466: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x800001; + valaddr_reg:x3; val_offset:932*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 932*FLEN/8, x4, x1, x2) + +inst_467: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x80855555; + valaddr_reg:x3; val_offset:934*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 934*FLEN/8, x4, x1, x2) + +inst_468: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:936*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 936*FLEN/8, x4, x1, x2) + +inst_469: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:938*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 938*FLEN/8, x4, x1, x2) + +inst_470: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7f800000; + valaddr_reg:x3; val_offset:940*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 940*FLEN/8, x4, x1, x2) + +inst_471: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xff800000; + valaddr_reg:x3; val_offset:942*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 942*FLEN/8, x4, x1, x2) + +inst_472: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:944*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 944*FLEN/8, x4, x1, x2) + +inst_473: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xffc00000; + valaddr_reg:x3; val_offset:946*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 946*FLEN/8, x4, x1, x2) + +inst_474: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:948*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 948*FLEN/8, x4, x1, x2) + +inst_475: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xffc55555; + valaddr_reg:x3; val_offset:950*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 950*FLEN/8, x4, x1, x2) + +inst_476: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7f800001; + valaddr_reg:x3; val_offset:952*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 952*FLEN/8, x4, x1, x2) + +inst_477: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:954*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 954*FLEN/8, x4, x1, x2) + +inst_478: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x3f800000; + valaddr_reg:x3; val_offset:956*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 956*FLEN/8, x4, x1, x2) + +inst_479: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xbf800000; + valaddr_reg:x3; val_offset:958*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 958*FLEN/8, x4, x1, x2) + +inst_480: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x0; + valaddr_reg:x3; val_offset:960*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 960*FLEN/8, x4, x1, x2) + +inst_481: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x80000000; + valaddr_reg:x3; val_offset:962*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 962*FLEN/8, x4, x1, x2) + +inst_482: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x1; + valaddr_reg:x3; val_offset:964*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 964*FLEN/8, x4, x1, x2) + +inst_483: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x80000001; + valaddr_reg:x3; val_offset:966*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 966*FLEN/8, x4, x1, x2) + +inst_484: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x2; + valaddr_reg:x3; val_offset:968*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 968*FLEN/8, x4, x1, x2) + +inst_485: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:970*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 970*FLEN/8, x4, x1, x2) + +inst_486: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7fffff; + valaddr_reg:x3; val_offset:972*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 972*FLEN/8, x4, x1, x2) + +inst_487: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x807fffff; + valaddr_reg:x3; val_offset:974*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 974*FLEN/8, x4, x1, x2) + +inst_488: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x800000; + valaddr_reg:x3; val_offset:976*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 976*FLEN/8, x4, x1, x2) + +inst_489: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x80800000; + valaddr_reg:x3; val_offset:978*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 978*FLEN/8, x4, x1, x2) + +inst_490: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x800001; + valaddr_reg:x3; val_offset:980*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 980*FLEN/8, x4, x1, x2) + +inst_491: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x80855555; + valaddr_reg:x3; val_offset:982*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 982*FLEN/8, x4, x1, x2) + +inst_492: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:984*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 984*FLEN/8, x4, x1, x2) + +inst_493: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:986*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 986*FLEN/8, x4, x1, x2) + +inst_494: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7f800000; + valaddr_reg:x3; val_offset:988*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 988*FLEN/8, x4, x1, x2) + +inst_495: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xff800000; + valaddr_reg:x3; val_offset:990*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 990*FLEN/8, x4, x1, x2) + +inst_496: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:992*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 992*FLEN/8, x4, x1, x2) + +inst_497: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xffc00000; + valaddr_reg:x3; val_offset:994*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 994*FLEN/8, x4, x1, x2) + +inst_498: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:996*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 996*FLEN/8, x4, x1, x2) + +inst_499: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xffc55555; + valaddr_reg:x3; val_offset:998*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 998*FLEN/8, x4, x1, x2) + +inst_500: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7f800001; + valaddr_reg:x3; val_offset:1000*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1000*FLEN/8, x4, x1, x2) + +inst_501: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:1002*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1002*FLEN/8, x4, x1, x2) + +inst_502: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x3f800000; + valaddr_reg:x3; val_offset:1004*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1004*FLEN/8, x4, x1, x2) + +inst_503: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xbf800000; + valaddr_reg:x3; val_offset:1006*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1006*FLEN/8, x4, x1, x2) + +inst_504: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x0; + valaddr_reg:x3; val_offset:1008*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1008*FLEN/8, x4, x1, x2) + +inst_505: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x80000000; + valaddr_reg:x3; val_offset:1010*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1010*FLEN/8, x4, x1, x2) + +inst_506: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x1; + valaddr_reg:x3; val_offset:1012*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1012*FLEN/8, x4, x1, x2) + +inst_507: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x80000001; + valaddr_reg:x3; val_offset:1014*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1014*FLEN/8, x4, x1, x2) + +inst_508: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x2; + valaddr_reg:x3; val_offset:1016*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1016*FLEN/8, x4, x1, x2) + +inst_509: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:1018*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1018*FLEN/8, x4, x1, x2) + +inst_510: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7fffff; + valaddr_reg:x3; val_offset:1020*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1020*FLEN/8, x4, x1, x2) + +inst_511: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x807fffff; + valaddr_reg:x3; val_offset:1022*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1022*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_5) + +inst_512: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x800000; + valaddr_reg:x3; val_offset:1024*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1024*FLEN/8, x4, x1, x2) + +inst_513: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x80800000; + valaddr_reg:x3; val_offset:1026*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1026*FLEN/8, x4, x1, x2) + +inst_514: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x800001; + valaddr_reg:x3; val_offset:1028*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1028*FLEN/8, x4, x1, x2) + +inst_515: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x80855555; + valaddr_reg:x3; val_offset:1030*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1030*FLEN/8, x4, x1, x2) + +inst_516: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1032*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1032*FLEN/8, x4, x1, x2) + +inst_517: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1034*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1034*FLEN/8, x4, x1, x2) + +inst_518: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7f800000; + valaddr_reg:x3; val_offset:1036*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1036*FLEN/8, x4, x1, x2) + +inst_519: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xff800000; + valaddr_reg:x3; val_offset:1038*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1038*FLEN/8, x4, x1, x2) + +inst_520: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:1040*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1040*FLEN/8, x4, x1, x2) + +inst_521: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xffc00000; + valaddr_reg:x3; val_offset:1042*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1042*FLEN/8, x4, x1, x2) + +inst_522: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:1044*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1044*FLEN/8, x4, x1, x2) + +inst_523: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xffc55555; + valaddr_reg:x3; val_offset:1046*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1046*FLEN/8, x4, x1, x2) + +inst_524: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7f800001; + valaddr_reg:x3; val_offset:1048*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1048*FLEN/8, x4, x1, x2) + +inst_525: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:1050*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1050*FLEN/8, x4, x1, x2) + +inst_526: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x3f800000; + valaddr_reg:x3; val_offset:1052*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1052*FLEN/8, x4, x1, x2) + +inst_527: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xbf800000; + valaddr_reg:x3; val_offset:1054*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1054*FLEN/8, x4, x1, x2) + +inst_528: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x0; + valaddr_reg:x3; val_offset:1056*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1056*FLEN/8, x4, x1, x2) + +inst_529: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:1058*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1058*FLEN/8, x4, x1, x2) + +inst_530: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x1; + valaddr_reg:x3; val_offset:1060*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1060*FLEN/8, x4, x1, x2) + +inst_531: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:1062*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1062*FLEN/8, x4, x1, x2) + +inst_532: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x2; + valaddr_reg:x3; val_offset:1064*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1064*FLEN/8, x4, x1, x2) + +inst_533: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:1066*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1066*FLEN/8, x4, x1, x2) + +inst_534: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:1068*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1068*FLEN/8, x4, x1, x2) + +inst_535: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:1070*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1070*FLEN/8, x4, x1, x2) + +inst_536: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x800000; + valaddr_reg:x3; val_offset:1072*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1072*FLEN/8, x4, x1, x2) + +inst_537: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:1074*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1074*FLEN/8, x4, x1, x2) + +inst_538: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x800001; + valaddr_reg:x3; val_offset:1076*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1076*FLEN/8, x4, x1, x2) + +inst_539: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:1078*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1078*FLEN/8, x4, x1, x2) + +inst_540: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1080*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1080*FLEN/8, x4, x1, x2) + +inst_541: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1082*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1082*FLEN/8, x4, x1, x2) + +inst_542: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:1084*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1084*FLEN/8, x4, x1, x2) + +inst_543: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:1086*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1086*FLEN/8, x4, x1, x2) + +inst_544: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:1088*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1088*FLEN/8, x4, x1, x2) + +inst_545: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:1090*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1090*FLEN/8, x4, x1, x2) + +inst_546: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:1092*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1092*FLEN/8, x4, x1, x2) + +inst_547: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:1094*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1094*FLEN/8, x4, x1, x2) + +inst_548: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:1096*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1096*FLEN/8, x4, x1, x2) + +inst_549: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:1098*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1098*FLEN/8, x4, x1, x2) + +inst_550: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:1100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1100*FLEN/8, x4, x1, x2) + +inst_551: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:1102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1102*FLEN/8, x4, x1, x2) + +inst_552: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x0; + valaddr_reg:x3; val_offset:1104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1104*FLEN/8, x4, x1, x2) + +inst_553: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:1106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1106*FLEN/8, x4, x1, x2) + +inst_554: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x1; + valaddr_reg:x3; val_offset:1108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1108*FLEN/8, x4, x1, x2) + +inst_555: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:1110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1110*FLEN/8, x4, x1, x2) + +inst_556: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x2; + valaddr_reg:x3; val_offset:1112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1112*FLEN/8, x4, x1, x2) + +inst_557: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:1114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1114*FLEN/8, x4, x1, x2) + +inst_558: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:1116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1116*FLEN/8, x4, x1, x2) + +inst_559: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:1118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1118*FLEN/8, x4, x1, x2) + +inst_560: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x800000; + valaddr_reg:x3; val_offset:1120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1120*FLEN/8, x4, x1, x2) + +inst_561: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:1122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1122*FLEN/8, x4, x1, x2) + +inst_562: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x800001; + valaddr_reg:x3; val_offset:1124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1124*FLEN/8, x4, x1, x2) + +inst_563: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:1126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1126*FLEN/8, x4, x1, x2) + +inst_564: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1128*FLEN/8, x4, x1, x2) + +inst_565: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1130*FLEN/8, x4, x1, x2) + +inst_566: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:1132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1132*FLEN/8, x4, x1, x2) + +inst_567: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:1134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1134*FLEN/8, x4, x1, x2) + +inst_568: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:1136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1136*FLEN/8, x4, x1, x2) + +inst_569: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:1138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1138*FLEN/8, x4, x1, x2) + +inst_570: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:1140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1140*FLEN/8, x4, x1, x2) + +inst_571: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:1142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1142*FLEN/8, x4, x1, x2) + +inst_572: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:1144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1144*FLEN/8, x4, x1, x2) + +inst_573: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:1146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1146*FLEN/8, x4, x1, x2) + +inst_574: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:1148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1148*FLEN/8, x4, x1, x2) + +inst_575: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:1150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1150*FLEN/8, x4, x1, x2) + +inst_576: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x80000001; + valaddr_reg:x3; val_offset:1152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1152*FLEN/8, x4, x1, x2) + +inst_577: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x2; + valaddr_reg:x3; val_offset:1154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1154*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_5: + .fill 132*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/F_Zfa/src/fmaxm_b19-01.S b/riscv-test-suite/rv32i_m/F_Zfa/src/fmaxm_b19-01.S new file mode 100644 index 000000000..7447928eb --- /dev/null +++ b/riscv-test-suite/rv32i_m/F_Zfa/src/fmaxm_b19-01.S @@ -0,0 +1,9704 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:32:41 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fmaxm.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fmaxm.s instruction of the RISC-V RV32F_Zicsr_Zfa,RV32FD_Zicsr_Zfa,RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fmaxm_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr_Zfa,RV32IFD_Zicsr_Zfa,RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fmaxm_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f30, rs2==f29, rd==f31,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rd != rs2, rs1==f29, rs2==f31, rd==f29,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f29; op2:f31; dest:f29; op1val:0x7dce622b; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f29, f29, f31, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs2 == rd != rs1, rs1==f31, rs2==f30, rd==f30,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x183299 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f31; op2:f30; dest:f30; op1val:0x7f7fffff; op2val:0x7d183299; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f30, f31, f30, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs1 == rs2 != rd, rs1==f27, rs2==f27, rd==f28,fs1 == 0 and fe1 == 0xfa and fm1 == 0x183299 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f27; op2:f27; dest:f28; op1val:0x7d183299; op2val:0x7d183299; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f28, f27, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs1 == rs2 == rd, rs1==f26, rs2==f26, rd==f26,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f26; op2:f26; dest:f26; op1val:0x7f7fffff; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f26, f26, f26, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f28, rs2==f25, rd==f27,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f28; op2:f25; dest:f27; op1val:0x7dce622b; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f27, f28, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f24, rs2==f28, rd==f25,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f24; op2:f28; dest:f25; op1val:0x7dce622b; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f25, f24, f28, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f25, rs2==f23, rd==f24,fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f25; op2:f23; dest:f24; op1val:0x7d902b16; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f24, f25, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f22, rs2==f24, rd==f23,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f22; op2:f24; dest:f23; op1val:0x7dce622b; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f23, f22, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f23, rs2==f21, rd==f22,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x6a2c24 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f23; op2:f21; dest:f22; op1val:0x7f7fffff; op2val:0x7d6a2c24; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f22, f23, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f20, rs2==f22, rd==f21,fs1 == 0 and fe1 == 0xfa and fm1 == 0x6a2c24 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f20; op2:f22; dest:f21; op1val:0x7d6a2c24; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f21, f20, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f21, rs2==f19, rd==f20,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f21; op2:f19; dest:f20; op1val:0x7f7fffff; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f20, f21, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f18, rs2==f20, rd==f19,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f18; op2:f20; dest:f19; op1val:0x7dce622b; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f19, f18, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f19, rs2==f17, rd==f18,fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f19; op2:f17; dest:f18; op1val:0x7e2fb07b; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f18, f19, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f16, rs2==f18, rd==f17,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f16; op2:f18; dest:f17; op1val:0x7dce622b; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f17, f16, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f17, rs2==f15, rd==f16,fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f17; op2:f15; dest:f16; op1val:0xfdea577e; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f16, f17, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f14, rs2==f16, rd==f15,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f14; op2:f16; dest:f15; op1val:0x7dce622b; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f15, f14, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f15, rs2==f13, rd==f14,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x291dc8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f15; op2:f13; dest:f14; op1val:0x7f7fffff; op2val:0xfd291dc8; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f14, f15, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f12, rs2==f14, rd==f13,fs1 == 1 and fe1 == 0xfa and fm1 == 0x291dc8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f12; op2:f14; dest:f13; op1val:0xfd291dc8; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f13, f12, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f13, rs2==f11, rd==f12,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f13; op2:f11; dest:f12; op1val:0x7f7fffff; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f12, f13, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f10, rs2==f12, rd==f11,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f10; op2:f12; dest:f11; op1val:0x7dce622b; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f11, f10, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f11, rs2==f9, rd==f10,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfb and fm2 == 0x153eee and fcsr == 0 +/* opcode: fmaxm.s ; op1:f11; op2:f9; dest:f10; op1val:0x7f7fffff; op2val:0xfd953eee; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f10, f11, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f8, rs2==f10, rd==f9,fs1 == 1 and fe1 == 0xfb and fm1 == 0x153eee and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f8; op2:f10; dest:f9; op1val:0xfd953eee; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f9, f8, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f9, rs2==f7, rd==f8,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f9; op2:f7; dest:f8; op1val:0x7f7fffff; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f8, f9, f7, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f6, rs2==f8, rd==f7,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f6; op2:f8; dest:f7; op1val:0x7dce622b; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f7, f6, f8, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f7, rs2==f5, rd==f6,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfb and fm2 == 0x1946c8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f7; op2:f5; dest:f6; op1val:0x7f7fffff; op2val:0xfd9946c8; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f6, f7, f5, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f4, rs2==f6, rd==f5,fs1 == 1 and fe1 == 0xfb and fm1 == 0x1946c8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f4; op2:f6; dest:f5; op1val:0xfd9946c8; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f5, f4, f6, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f5, rs2==f3, rd==f4,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f5; op2:f3; dest:f4; op1val:0x7f7fffff; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f4, f5, f3, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f2, rs2==f4, rd==f3,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f2; op2:f4; dest:f3; op1val:0x7dce622b; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f3, f2, f4, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f3, rs2==f1, rd==f2,fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f3; op2:f1; dest:f2; op1val:0xfd2820df; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f2, f3, f1, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f0, rs2==f2, rd==f1,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f0; op2:f2; dest:f1; op1val:0x7dce622b; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f1, f0, f2, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f1,fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x07167c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f1; op2:f30; dest:f31; op1val:0x255707; op2val:0x7e07167c; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f1, f30, 0, 0, x3, 62*FLEN/8, x4, x1, x2) + +inst_32: +// rs2==f0,fs1 == 0 and fe1 == 0xfc and fm1 == 0x07167c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f0; dest:f31; op1val:0x7e07167c; op2val:0x255707; + valaddr_reg:x3; val_offset:64*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f0, 0, 0, x3, 64*FLEN/8, x4, x1, x2) + +inst_33: +// rd==f0,fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f31; op2:f30; dest:f0; op1val:0x255707; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:66*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f0, f31, f30, 0, 0, x3, 66*FLEN/8, x4, x1, x2) + +inst_34: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x255707; + valaddr_reg:x3; val_offset:68*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 68*FLEN/8, x4, x1, x2) + +inst_35: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:70*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 70*FLEN/8, x4, x1, x2) + +inst_36: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x667e2a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x7de67e2a; + valaddr_reg:x3; val_offset:72*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 72*FLEN/8, x4, x1, x2) + +inst_37: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x667e2a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7de67e2a; op2val:0x255707; + valaddr_reg:x3; val_offset:74*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 74*FLEN/8, x4, x1, x2) + +inst_38: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:76*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 76*FLEN/8, x4, x1, x2) + +inst_39: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x357d2c; + valaddr_reg:x3; val_offset:78*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 78*FLEN/8, x4, x1, x2) + +inst_40: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x13d219 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x7e13d219; + valaddr_reg:x3; val_offset:80*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 80*FLEN/8, x4, x1, x2) + +inst_41: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x13d219 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e13d219; op2val:0x255707; + valaddr_reg:x3; val_offset:82*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 82*FLEN/8, x4, x1, x2) + +inst_42: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x357d2c; + valaddr_reg:x3; val_offset:84*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 84*FLEN/8, x4, x1, x2) + +inst_43: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x1c8139; + valaddr_reg:x3; val_offset:86*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 86*FLEN/8, x4, x1, x2) + +inst_44: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x1d8cd6 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x7d9d8cd6; + valaddr_reg:x3; val_offset:88*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 88*FLEN/8, x4, x1, x2) + +inst_45: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d8cd6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d9d8cd6; op2val:0x255707; + valaddr_reg:x3; val_offset:90*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 90*FLEN/8, x4, x1, x2) + +inst_46: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x1c8139; + valaddr_reg:x3; val_offset:92*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 92*FLEN/8, x4, x1, x2) + +inst_47: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:94*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 94*FLEN/8, x4, x1, x2) + +inst_48: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x1f6f2f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x7e1f6f2f; + valaddr_reg:x3; val_offset:96*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 96*FLEN/8, x4, x1, x2) + +inst_49: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x1f6f2f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e1f6f2f; op2val:0x255707; + valaddr_reg:x3; val_offset:98*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 98*FLEN/8, x4, x1, x2) + +inst_50: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 100*FLEN/8, x4, x1, x2) + +inst_51: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x802facf2; + valaddr_reg:x3; val_offset:102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 102*FLEN/8, x4, x1, x2) + +inst_52: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x03c146 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0xfe03c146; + valaddr_reg:x3; val_offset:104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 104*FLEN/8, x4, x1, x2) + +inst_53: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03c146 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03c146; op2val:0x255707; + valaddr_reg:x3; val_offset:106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 106*FLEN/8, x4, x1, x2) + +inst_54: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x802facf2; + valaddr_reg:x3; val_offset:108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 108*FLEN/8, x4, x1, x2) + +inst_55: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x800d858e; + valaddr_reg:x3; val_offset:110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 110*FLEN/8, x4, x1, x2) + +inst_56: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x157915 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0xfd157915; + valaddr_reg:x3; val_offset:112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 112*FLEN/8, x4, x1, x2) + +inst_57: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x157915 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd157915; op2val:0x255707; + valaddr_reg:x3; val_offset:114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 114*FLEN/8, x4, x1, x2) + +inst_58: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x800d858e; + valaddr_reg:x3; val_offset:116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 116*FLEN/8, x4, x1, x2) + +inst_59: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 118*FLEN/8, x4, x1, x2) + +inst_60: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x48a6ca and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0xfdc8a6ca; + valaddr_reg:x3; val_offset:120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 120*FLEN/8, x4, x1, x2) + +inst_61: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x48a6ca and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdc8a6ca; op2val:0x255707; + valaddr_reg:x3; val_offset:122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 122*FLEN/8, x4, x1, x2) + +inst_62: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 124*FLEN/8, x4, x1, x2) + +inst_63: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x8011d249; + valaddr_reg:x3; val_offset:126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 126*FLEN/8, x4, x1, x2) + +inst_64: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x4500e4 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0xfd4500e4; + valaddr_reg:x3; val_offset:128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 128*FLEN/8, x4, x1, x2) + +inst_65: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x4500e4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd4500e4; op2val:0x255707; + valaddr_reg:x3; val_offset:130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 130*FLEN/8, x4, x1, x2) + +inst_66: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x8011d249; + valaddr_reg:x3; val_offset:132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 132*FLEN/8, x4, x1, x2) + +inst_67: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 134*FLEN/8, x4, x1, x2) + +inst_68: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x2b7553 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0xfe2b7553; + valaddr_reg:x3; val_offset:136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 136*FLEN/8, x4, x1, x2) + +inst_69: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2b7553 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2b7553; op2val:0x255707; + valaddr_reg:x3; val_offset:138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 138*FLEN/8, x4, x1, x2) + +inst_70: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 140*FLEN/8, x4, x1, x2) + +inst_71: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x7f0; + valaddr_reg:x3; val_offset:142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 142*FLEN/8, x4, x1, x2) + +inst_72: +// fs1 == 0 and fe1 == 0x80 and fm1 == 0x5b76ec and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x405b76ec; op2val:0x7f0; + valaddr_reg:x3; val_offset:144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 144*FLEN/8, x4, x1, x2) + +inst_73: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x5b76ec and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x405b76ec; + valaddr_reg:x3; val_offset:146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 146*FLEN/8, x4, x1, x2) + +inst_74: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0x80 and fm2 == 0x5b76ec and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x405b76ec; + valaddr_reg:x3; val_offset:148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 148*FLEN/8, x4, x1, x2) + +inst_75: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 150*FLEN/8, x4, x1, x2) + +inst_76: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x183299 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d183299; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 152*FLEN/8, x4, x1, x2) + +inst_77: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0xfa and fm2 == 0x183299 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x7d183299; + valaddr_reg:x3; val_offset:154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 154*FLEN/8, x4, x1, x2) + +inst_78: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 156*FLEN/8, x4, x1, x2) + +inst_79: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 158*FLEN/8, x4, x1, x2) + +inst_80: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x183299 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3435dc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d183299; op2val:0x7f3435dc; + valaddr_reg:x3; val_offset:160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 160*FLEN/8, x4, x1, x2) + +inst_81: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3435dc and fs2 == 0 and fe2 == 0xfa and fm2 == 0x183299 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f3435dc; op2val:0x7d183299; + valaddr_reg:x3; val_offset:162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 162*FLEN/8, x4, x1, x2) + +inst_82: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x183299 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d183299; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 164*FLEN/8, x4, x1, x2) + +inst_83: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 166*FLEN/8, x4, x1, x2) + +inst_84: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 168*FLEN/8, x4, x1, x2) + +inst_85: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 170*FLEN/8, x4, x1, x2) + +inst_86: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x183299 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d183299; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 172*FLEN/8, x4, x1, x2) + +inst_87: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 174*FLEN/8, x4, x1, x2) + +inst_88: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x183299 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d183299; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 176*FLEN/8, x4, x1, x2) + +inst_89: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x183299 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7d183299; + valaddr_reg:x3; val_offset:178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 178*FLEN/8, x4, x1, x2) + +inst_90: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x183299 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d183299; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 180*FLEN/8, x4, x1, x2) + +inst_91: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 182*FLEN/8, x4, x1, x2) + +inst_92: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 184*FLEN/8, x4, x1, x2) + +inst_93: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 186*FLEN/8, x4, x1, x2) + +inst_94: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 188*FLEN/8, x4, x1, x2) + +inst_95: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 190*FLEN/8, x4, x1, x2) + +inst_96: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 192*FLEN/8, x4, x1, x2) + +inst_97: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 194*FLEN/8, x4, x1, x2) + +inst_98: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x183299 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x522917 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d183299; op2val:0xfed22917; + valaddr_reg:x3; val_offset:196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 196*FLEN/8, x4, x1, x2) + +inst_99: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x522917 and fs2 == 0 and fe2 == 0xfa and fm2 == 0x183299 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed22917; op2val:0x7d183299; + valaddr_reg:x3; val_offset:198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 198*FLEN/8, x4, x1, x2) + +inst_100: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x183299 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d183299; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 200*FLEN/8, x4, x1, x2) + +inst_101: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 202*FLEN/8, x4, x1, x2) + +inst_102: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 204*FLEN/8, x4, x1, x2) + +inst_103: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0dc4a8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xdc4a8; + valaddr_reg:x3; val_offset:206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 206*FLEN/8, x4, x1, x2) + +inst_104: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 208*FLEN/8, x4, x1, x2) + +inst_105: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0dc4a8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0xdc4a8; + valaddr_reg:x3; val_offset:210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 210*FLEN/8, x4, x1, x2) + +inst_106: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 212*FLEN/8, x4, x1, x2) + +inst_107: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 214*FLEN/8, x4, x1, x2) + +inst_108: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x357d2c; + valaddr_reg:x3; val_offset:216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 216*FLEN/8, x4, x1, x2) + +inst_109: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x357d2c; + valaddr_reg:x3; val_offset:218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 218*FLEN/8, x4, x1, x2) + +inst_110: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x1c8139; + valaddr_reg:x3; val_offset:220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 220*FLEN/8, x4, x1, x2) + +inst_111: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44f00b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x7f44f00b; + valaddr_reg:x3; val_offset:222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 222*FLEN/8, x4, x1, x2) + +inst_112: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44f00b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0dc4a8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f44f00b; op2val:0xdc4a8; + valaddr_reg:x3; val_offset:224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 224*FLEN/8, x4, x1, x2) + +inst_113: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x1c8139; + valaddr_reg:x3; val_offset:226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 226*FLEN/8, x4, x1, x2) + +inst_114: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 228*FLEN/8, x4, x1, x2) + +inst_115: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 230*FLEN/8, x4, x1, x2) + +inst_116: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x802facf2; + valaddr_reg:x3; val_offset:232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 232*FLEN/8, x4, x1, x2) + +inst_117: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 234*FLEN/8, x4, x1, x2) + +inst_118: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0dc4a8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xdc4a8; + valaddr_reg:x3; val_offset:236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 236*FLEN/8, x4, x1, x2) + +inst_119: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x802facf2; + valaddr_reg:x3; val_offset:238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 238*FLEN/8, x4, x1, x2) + +inst_120: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x800d858e; + valaddr_reg:x3; val_offset:240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 240*FLEN/8, x4, x1, x2) + +inst_121: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x3ad75a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0xfebad75a; + valaddr_reg:x3; val_offset:242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 242*FLEN/8, x4, x1, x2) + +inst_122: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x3ad75a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0dc4a8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfebad75a; op2val:0xdc4a8; + valaddr_reg:x3; val_offset:244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 244*FLEN/8, x4, x1, x2) + +inst_123: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x800d858e; + valaddr_reg:x3; val_offset:246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 246*FLEN/8, x4, x1, x2) + +inst_124: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 248*FLEN/8, x4, x1, x2) + +inst_125: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7ad07d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0xff7ad07d; + valaddr_reg:x3; val_offset:250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 250*FLEN/8, x4, x1, x2) + +inst_126: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7ad07d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0dc4a8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7ad07d; op2val:0xdc4a8; + valaddr_reg:x3; val_offset:252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 252*FLEN/8, x4, x1, x2) + +inst_127: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 254*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_2) + +inst_128: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x8011d249; + valaddr_reg:x3; val_offset:256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 256*FLEN/8, x4, x1, x2) + +inst_129: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x76411d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0xfef6411d; + valaddr_reg:x3; val_offset:258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 258*FLEN/8, x4, x1, x2) + +inst_130: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x76411d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0dc4a8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfef6411d; op2val:0xdc4a8; + valaddr_reg:x3; val_offset:260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 260*FLEN/8, x4, x1, x2) + +inst_131: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x8011d249; + valaddr_reg:x3; val_offset:262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 262*FLEN/8, x4, x1, x2) + +inst_132: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 264*FLEN/8, x4, x1, x2) + +inst_133: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 266*FLEN/8, x4, x1, x2) + +inst_134: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x7f0; + valaddr_reg:x3; val_offset:268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 268*FLEN/8, x4, x1, x2) + +inst_135: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x21d824 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3fa1d824; op2val:0x7f0; + valaddr_reg:x3; val_offset:270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 270*FLEN/8, x4, x1, x2) + +inst_136: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x21d824 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x3fa1d824; + valaddr_reg:x3; val_offset:272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 272*FLEN/8, x4, x1, x2) + +inst_137: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0x7f and fm2 == 0x21d824 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x3fa1d824; + valaddr_reg:x3; val_offset:274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 274*FLEN/8, x4, x1, x2) + +inst_138: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 276*FLEN/8, x4, x1, x2) + +inst_139: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 278*FLEN/8, x4, x1, x2) + +inst_140: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3435dc and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f3435dc; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 280*FLEN/8, x4, x1, x2) + +inst_141: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3435dc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x7f3435dc; + valaddr_reg:x3; val_offset:282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 282*FLEN/8, x4, x1, x2) + +inst_142: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 284*FLEN/8, x4, x1, x2) + +inst_143: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3435dc and fs2 == 0 and fe2 == 0xfa and fm2 == 0x6a2c24 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f3435dc; op2val:0x7d6a2c24; + valaddr_reg:x3; val_offset:286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 286*FLEN/8, x4, x1, x2) + +inst_144: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x6a2c24 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3435dc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d6a2c24; op2val:0x7f3435dc; + valaddr_reg:x3; val_offset:288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 288*FLEN/8, x4, x1, x2) + +inst_145: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3435dc and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f3435dc; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 290*FLEN/8, x4, x1, x2) + +inst_146: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 292*FLEN/8, x4, x1, x2) + +inst_147: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 294*FLEN/8, x4, x1, x2) + +inst_148: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 296*FLEN/8, x4, x1, x2) + +inst_149: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 298*FLEN/8, x4, x1, x2) + +inst_150: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 300*FLEN/8, x4, x1, x2) + +inst_151: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3435dc and fs2 == 1 and fe2 == 0xfa and fm2 == 0x291dc8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f3435dc; op2val:0xfd291dc8; + valaddr_reg:x3; val_offset:302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 302*FLEN/8, x4, x1, x2) + +inst_152: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x291dc8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3435dc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd291dc8; op2val:0x7f3435dc; + valaddr_reg:x3; val_offset:304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 304*FLEN/8, x4, x1, x2) + +inst_153: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3435dc and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f3435dc; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 306*FLEN/8, x4, x1, x2) + +inst_154: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 308*FLEN/8, x4, x1, x2) + +inst_155: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3435dc and fs2 == 1 and fe2 == 0xfb and fm2 == 0x153eee and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f3435dc; op2val:0xfd953eee; + valaddr_reg:x3; val_offset:310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 310*FLEN/8, x4, x1, x2) + +inst_156: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x153eee and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3435dc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd953eee; op2val:0x7f3435dc; + valaddr_reg:x3; val_offset:312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 312*FLEN/8, x4, x1, x2) + +inst_157: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3435dc and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f3435dc; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 314*FLEN/8, x4, x1, x2) + +inst_158: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 316*FLEN/8, x4, x1, x2) + +inst_159: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3435dc and fs2 == 1 and fe2 == 0xfb and fm2 == 0x1946c8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f3435dc; op2val:0xfd9946c8; + valaddr_reg:x3; val_offset:318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 318*FLEN/8, x4, x1, x2) + +inst_160: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x1946c8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3435dc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd9946c8; op2val:0x7f3435dc; + valaddr_reg:x3; val_offset:320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 320*FLEN/8, x4, x1, x2) + +inst_161: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3435dc and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f3435dc; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 322*FLEN/8, x4, x1, x2) + +inst_162: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 324*FLEN/8, x4, x1, x2) + +inst_163: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 326*FLEN/8, x4, x1, x2) + +inst_164: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 328*FLEN/8, x4, x1, x2) + +inst_165: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x07167c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x7e07167c; + valaddr_reg:x3; val_offset:330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 330*FLEN/8, x4, x1, x2) + +inst_166: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x07167c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a156b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e07167c; op2val:0x1a156b; + valaddr_reg:x3; val_offset:332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 332*FLEN/8, x4, x1, x2) + +inst_167: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 334*FLEN/8, x4, x1, x2) + +inst_168: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a156b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x1a156b; + valaddr_reg:x3; val_offset:336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 336*FLEN/8, x4, x1, x2) + +inst_169: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 338*FLEN/8, x4, x1, x2) + +inst_170: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x667e2a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x7de67e2a; + valaddr_reg:x3; val_offset:340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 340*FLEN/8, x4, x1, x2) + +inst_171: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x667e2a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a156b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7de67e2a; op2val:0x1a156b; + valaddr_reg:x3; val_offset:342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 342*FLEN/8, x4, x1, x2) + +inst_172: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 344*FLEN/8, x4, x1, x2) + +inst_173: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x357d2c; + valaddr_reg:x3; val_offset:346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 346*FLEN/8, x4, x1, x2) + +inst_174: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x13d219 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x7e13d219; + valaddr_reg:x3; val_offset:348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 348*FLEN/8, x4, x1, x2) + +inst_175: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x13d219 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a156b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e13d219; op2val:0x1a156b; + valaddr_reg:x3; val_offset:350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 350*FLEN/8, x4, x1, x2) + +inst_176: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x357d2c; + valaddr_reg:x3; val_offset:352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 352*FLEN/8, x4, x1, x2) + +inst_177: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x1c8139; + valaddr_reg:x3; val_offset:354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 354*FLEN/8, x4, x1, x2) + +inst_178: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x1d8cd6 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x7d9d8cd6; + valaddr_reg:x3; val_offset:356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 356*FLEN/8, x4, x1, x2) + +inst_179: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d8cd6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a156b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d9d8cd6; op2val:0x1a156b; + valaddr_reg:x3; val_offset:358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 358*FLEN/8, x4, x1, x2) + +inst_180: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x1c8139; + valaddr_reg:x3; val_offset:360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 360*FLEN/8, x4, x1, x2) + +inst_181: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 362*FLEN/8, x4, x1, x2) + +inst_182: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x1f6f2f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x7e1f6f2f; + valaddr_reg:x3; val_offset:364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 364*FLEN/8, x4, x1, x2) + +inst_183: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x1f6f2f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a156b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e1f6f2f; op2val:0x1a156b; + valaddr_reg:x3; val_offset:366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 366*FLEN/8, x4, x1, x2) + +inst_184: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 368*FLEN/8, x4, x1, x2) + +inst_185: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x802facf2; + valaddr_reg:x3; val_offset:370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 370*FLEN/8, x4, x1, x2) + +inst_186: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 1 and fe2 == 0xfc and fm2 == 0x03c146 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0xfe03c146; + valaddr_reg:x3; val_offset:372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 372*FLEN/8, x4, x1, x2) + +inst_187: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03c146 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a156b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03c146; op2val:0x1a156b; + valaddr_reg:x3; val_offset:374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 374*FLEN/8, x4, x1, x2) + +inst_188: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x802facf2; + valaddr_reg:x3; val_offset:376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 376*FLEN/8, x4, x1, x2) + +inst_189: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x800d858e; + valaddr_reg:x3; val_offset:378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 378*FLEN/8, x4, x1, x2) + +inst_190: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 1 and fe2 == 0xfa and fm2 == 0x157915 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0xfd157915; + valaddr_reg:x3; val_offset:380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 380*FLEN/8, x4, x1, x2) + +inst_191: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x157915 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a156b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd157915; op2val:0x1a156b; + valaddr_reg:x3; val_offset:382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 382*FLEN/8, x4, x1, x2) + +inst_192: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x800d858e; + valaddr_reg:x3; val_offset:384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 384*FLEN/8, x4, x1, x2) + +inst_193: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 386*FLEN/8, x4, x1, x2) + +inst_194: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 1 and fe2 == 0xfb and fm2 == 0x48a6ca and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0xfdc8a6ca; + valaddr_reg:x3; val_offset:388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 388*FLEN/8, x4, x1, x2) + +inst_195: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x48a6ca and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a156b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdc8a6ca; op2val:0x1a156b; + valaddr_reg:x3; val_offset:390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 390*FLEN/8, x4, x1, x2) + +inst_196: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 392*FLEN/8, x4, x1, x2) + +inst_197: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x8011d249; + valaddr_reg:x3; val_offset:394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 394*FLEN/8, x4, x1, x2) + +inst_198: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 1 and fe2 == 0xfa and fm2 == 0x4500e4 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0xfd4500e4; + valaddr_reg:x3; val_offset:396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 396*FLEN/8, x4, x1, x2) + +inst_199: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x4500e4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a156b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd4500e4; op2val:0x1a156b; + valaddr_reg:x3; val_offset:398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 398*FLEN/8, x4, x1, x2) + +inst_200: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x8011d249; + valaddr_reg:x3; val_offset:400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 400*FLEN/8, x4, x1, x2) + +inst_201: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 402*FLEN/8, x4, x1, x2) + +inst_202: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 1 and fe2 == 0xfc and fm2 == 0x2b7553 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0xfe2b7553; + valaddr_reg:x3; val_offset:404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 404*FLEN/8, x4, x1, x2) + +inst_203: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2b7553 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a156b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2b7553; op2val:0x1a156b; + valaddr_reg:x3; val_offset:406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 406*FLEN/8, x4, x1, x2) + +inst_204: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 408*FLEN/8, x4, x1, x2) + +inst_205: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x7f0; + valaddr_reg:x3; val_offset:410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 410*FLEN/8, x4, x1, x2) + +inst_206: +// fs1 == 0 and fe1 == 0x80 and fm1 == 0x194e59 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x40194e59; op2val:0x7f0; + valaddr_reg:x3; val_offset:412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 412*FLEN/8, x4, x1, x2) + +inst_207: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x194e59 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x40194e59; + valaddr_reg:x3; val_offset:414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 414*FLEN/8, x4, x1, x2) + +inst_208: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x194e59 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x40194e59; + valaddr_reg:x3; val_offset:416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 416*FLEN/8, x4, x1, x2) + +inst_209: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 418*FLEN/8, x4, x1, x2) + +inst_210: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x6a2c24 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d6a2c24; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 420*FLEN/8, x4, x1, x2) + +inst_211: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0xfa and fm2 == 0x6a2c24 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x7d6a2c24; + valaddr_reg:x3; val_offset:422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 422*FLEN/8, x4, x1, x2) + +inst_212: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 424*FLEN/8, x4, x1, x2) + +inst_213: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 426*FLEN/8, x4, x1, x2) + +inst_214: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x6a2c24 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d6a2c24; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 428*FLEN/8, x4, x1, x2) + +inst_215: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 430*FLEN/8, x4, x1, x2) + +inst_216: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x6a2c24 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d6a2c24; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 432*FLEN/8, x4, x1, x2) + +inst_217: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 434*FLEN/8, x4, x1, x2) + +inst_218: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x6a2c24 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d6a2c24; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 436*FLEN/8, x4, x1, x2) + +inst_219: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x6a2c24 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7d6a2c24; + valaddr_reg:x3; val_offset:438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 438*FLEN/8, x4, x1, x2) + +inst_220: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x6a2c24 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d6a2c24; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 440*FLEN/8, x4, x1, x2) + +inst_221: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 442*FLEN/8, x4, x1, x2) + +inst_222: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 444*FLEN/8, x4, x1, x2) + +inst_223: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 446*FLEN/8, x4, x1, x2) + +inst_224: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 448*FLEN/8, x4, x1, x2) + +inst_225: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 450*FLEN/8, x4, x1, x2) + +inst_226: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 452*FLEN/8, x4, x1, x2) + +inst_227: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 454*FLEN/8, x4, x1, x2) + +inst_228: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x6a2c24 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x522917 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d6a2c24; op2val:0xfed22917; + valaddr_reg:x3; val_offset:456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 456*FLEN/8, x4, x1, x2) + +inst_229: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x522917 and fs2 == 0 and fe2 == 0xfa and fm2 == 0x6a2c24 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed22917; op2val:0x7d6a2c24; + valaddr_reg:x3; val_offset:458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 458*FLEN/8, x4, x1, x2) + +inst_230: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x6a2c24 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d6a2c24; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 460*FLEN/8, x4, x1, x2) + +inst_231: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 462*FLEN/8, x4, x1, x2) + +inst_232: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 464*FLEN/8, x4, x1, x2) + +inst_233: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x152f10 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x152f10; + valaddr_reg:x3; val_offset:466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 466*FLEN/8, x4, x1, x2) + +inst_234: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 468*FLEN/8, x4, x1, x2) + +inst_235: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x152f10 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x152f10; + valaddr_reg:x3; val_offset:470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 470*FLEN/8, x4, x1, x2) + +inst_236: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 472*FLEN/8, x4, x1, x2) + +inst_237: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 474*FLEN/8, x4, x1, x2) + +inst_238: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x357d2c; + valaddr_reg:x3; val_offset:476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 476*FLEN/8, x4, x1, x2) + +inst_239: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x357d2c; + valaddr_reg:x3; val_offset:478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 478*FLEN/8, x4, x1, x2) + +inst_240: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x1c8139; + valaddr_reg:x3; val_offset:480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 480*FLEN/8, x4, x1, x2) + +inst_241: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44f00b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x7f44f00b; + valaddr_reg:x3; val_offset:482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 482*FLEN/8, x4, x1, x2) + +inst_242: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44f00b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x152f10 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f44f00b; op2val:0x152f10; + valaddr_reg:x3; val_offset:484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 484*FLEN/8, x4, x1, x2) + +inst_243: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x1c8139; + valaddr_reg:x3; val_offset:486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 486*FLEN/8, x4, x1, x2) + +inst_244: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 488*FLEN/8, x4, x1, x2) + +inst_245: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 490*FLEN/8, x4, x1, x2) + +inst_246: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x802facf2; + valaddr_reg:x3; val_offset:492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 492*FLEN/8, x4, x1, x2) + +inst_247: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 494*FLEN/8, x4, x1, x2) + +inst_248: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x152f10 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x152f10; + valaddr_reg:x3; val_offset:496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 496*FLEN/8, x4, x1, x2) + +inst_249: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x802facf2; + valaddr_reg:x3; val_offset:498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 498*FLEN/8, x4, x1, x2) + +inst_250: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x800d858e; + valaddr_reg:x3; val_offset:500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 500*FLEN/8, x4, x1, x2) + +inst_251: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x3ad75a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0xfebad75a; + valaddr_reg:x3; val_offset:502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 502*FLEN/8, x4, x1, x2) + +inst_252: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x3ad75a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x152f10 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfebad75a; op2val:0x152f10; + valaddr_reg:x3; val_offset:504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 504*FLEN/8, x4, x1, x2) + +inst_253: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x800d858e; + valaddr_reg:x3; val_offset:506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 506*FLEN/8, x4, x1, x2) + +inst_254: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 508*FLEN/8, x4, x1, x2) + +inst_255: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7ad07d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0xff7ad07d; + valaddr_reg:x3; val_offset:510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 510*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_3) + +inst_256: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7ad07d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x152f10 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7ad07d; op2val:0x152f10; + valaddr_reg:x3; val_offset:512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 512*FLEN/8, x4, x1, x2) + +inst_257: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 514*FLEN/8, x4, x1, x2) + +inst_258: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x8011d249; + valaddr_reg:x3; val_offset:516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 516*FLEN/8, x4, x1, x2) + +inst_259: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x76411d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0xfef6411d; + valaddr_reg:x3; val_offset:518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 518*FLEN/8, x4, x1, x2) + +inst_260: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x76411d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x152f10 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfef6411d; op2val:0x152f10; + valaddr_reg:x3; val_offset:520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 520*FLEN/8, x4, x1, x2) + +inst_261: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x8011d249; + valaddr_reg:x3; val_offset:522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 522*FLEN/8, x4, x1, x2) + +inst_262: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 524*FLEN/8, x4, x1, x2) + +inst_263: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 526*FLEN/8, x4, x1, x2) + +inst_264: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x7f0; + valaddr_reg:x3; val_offset:528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 528*FLEN/8, x4, x1, x2) + +inst_265: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x7903cc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3ff903cc; op2val:0x7f0; + valaddr_reg:x3; val_offset:530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 530*FLEN/8, x4, x1, x2) + +inst_266: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x7903cc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x3ff903cc; + valaddr_reg:x3; val_offset:532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 532*FLEN/8, x4, x1, x2) + +inst_267: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x7903cc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x3ff903cc; + valaddr_reg:x3; val_offset:534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 534*FLEN/8, x4, x1, x2) + +inst_268: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 536*FLEN/8, x4, x1, x2) + +inst_269: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 538*FLEN/8, x4, x1, x2) + +inst_270: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 540*FLEN/8, x4, x1, x2) + +inst_271: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 542*FLEN/8, x4, x1, x2) + +inst_272: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 544*FLEN/8, x4, x1, x2) + +inst_273: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 546*FLEN/8, x4, x1, x2) + +inst_274: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 548*FLEN/8, x4, x1, x2) + +inst_275: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 550*FLEN/8, x4, x1, x2) + +inst_276: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 552*FLEN/8, x4, x1, x2) + +inst_277: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 554*FLEN/8, x4, x1, x2) + +inst_278: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 556*FLEN/8, x4, x1, x2) + +inst_279: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 558*FLEN/8, x4, x1, x2) + +inst_280: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x07167c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x7e07167c; + valaddr_reg:x3; val_offset:560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 560*FLEN/8, x4, x1, x2) + +inst_281: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x07167c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3f92c0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e07167c; op2val:0x3f92c0; + valaddr_reg:x3; val_offset:562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 562*FLEN/8, x4, x1, x2) + +inst_282: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 564*FLEN/8, x4, x1, x2) + +inst_283: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3f92c0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x3f92c0; + valaddr_reg:x3; val_offset:566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 566*FLEN/8, x4, x1, x2) + +inst_284: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 568*FLEN/8, x4, x1, x2) + +inst_285: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x667e2a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x7de67e2a; + valaddr_reg:x3; val_offset:570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 570*FLEN/8, x4, x1, x2) + +inst_286: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x667e2a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3f92c0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7de67e2a; op2val:0x3f92c0; + valaddr_reg:x3; val_offset:572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 572*FLEN/8, x4, x1, x2) + +inst_287: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 574*FLEN/8, x4, x1, x2) + +inst_288: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x357d2c; + valaddr_reg:x3; val_offset:576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 576*FLEN/8, x4, x1, x2) + +inst_289: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x13d219 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x7e13d219; + valaddr_reg:x3; val_offset:578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 578*FLEN/8, x4, x1, x2) + +inst_290: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x13d219 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3f92c0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e13d219; op2val:0x3f92c0; + valaddr_reg:x3; val_offset:580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 580*FLEN/8, x4, x1, x2) + +inst_291: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x357d2c; + valaddr_reg:x3; val_offset:582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 582*FLEN/8, x4, x1, x2) + +inst_292: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x1c8139; + valaddr_reg:x3; val_offset:584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 584*FLEN/8, x4, x1, x2) + +inst_293: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x1d8cd6 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x7d9d8cd6; + valaddr_reg:x3; val_offset:586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 586*FLEN/8, x4, x1, x2) + +inst_294: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d8cd6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3f92c0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d9d8cd6; op2val:0x3f92c0; + valaddr_reg:x3; val_offset:588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 588*FLEN/8, x4, x1, x2) + +inst_295: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x1c8139; + valaddr_reg:x3; val_offset:590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 590*FLEN/8, x4, x1, x2) + +inst_296: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 592*FLEN/8, x4, x1, x2) + +inst_297: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x1f6f2f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x7e1f6f2f; + valaddr_reg:x3; val_offset:594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 594*FLEN/8, x4, x1, x2) + +inst_298: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x1f6f2f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3f92c0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e1f6f2f; op2val:0x3f92c0; + valaddr_reg:x3; val_offset:596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 596*FLEN/8, x4, x1, x2) + +inst_299: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 598*FLEN/8, x4, x1, x2) + +inst_300: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x802facf2; + valaddr_reg:x3; val_offset:600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 600*FLEN/8, x4, x1, x2) + +inst_301: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x03c146 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0xfe03c146; + valaddr_reg:x3; val_offset:602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 602*FLEN/8, x4, x1, x2) + +inst_302: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03c146 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3f92c0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03c146; op2val:0x3f92c0; + valaddr_reg:x3; val_offset:604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 604*FLEN/8, x4, x1, x2) + +inst_303: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x802facf2; + valaddr_reg:x3; val_offset:606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 606*FLEN/8, x4, x1, x2) + +inst_304: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x800d858e; + valaddr_reg:x3; val_offset:608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 608*FLEN/8, x4, x1, x2) + +inst_305: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x157915 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0xfd157915; + valaddr_reg:x3; val_offset:610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 610*FLEN/8, x4, x1, x2) + +inst_306: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x157915 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3f92c0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd157915; op2val:0x3f92c0; + valaddr_reg:x3; val_offset:612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 612*FLEN/8, x4, x1, x2) + +inst_307: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x800d858e; + valaddr_reg:x3; val_offset:614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 614*FLEN/8, x4, x1, x2) + +inst_308: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 616*FLEN/8, x4, x1, x2) + +inst_309: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x48a6ca and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0xfdc8a6ca; + valaddr_reg:x3; val_offset:618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 618*FLEN/8, x4, x1, x2) + +inst_310: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x48a6ca and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3f92c0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdc8a6ca; op2val:0x3f92c0; + valaddr_reg:x3; val_offset:620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 620*FLEN/8, x4, x1, x2) + +inst_311: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 622*FLEN/8, x4, x1, x2) + +inst_312: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x8011d249; + valaddr_reg:x3; val_offset:624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 624*FLEN/8, x4, x1, x2) + +inst_313: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x4500e4 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0xfd4500e4; + valaddr_reg:x3; val_offset:626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 626*FLEN/8, x4, x1, x2) + +inst_314: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x4500e4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3f92c0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd4500e4; op2val:0x3f92c0; + valaddr_reg:x3; val_offset:628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 628*FLEN/8, x4, x1, x2) + +inst_315: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x8011d249; + valaddr_reg:x3; val_offset:630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 630*FLEN/8, x4, x1, x2) + +inst_316: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 632*FLEN/8, x4, x1, x2) + +inst_317: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x2b7553 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0xfe2b7553; + valaddr_reg:x3; val_offset:634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 634*FLEN/8, x4, x1, x2) + +inst_318: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2b7553 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3f92c0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2b7553; op2val:0x3f92c0; + valaddr_reg:x3; val_offset:636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 636*FLEN/8, x4, x1, x2) + +inst_319: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 638*FLEN/8, x4, x1, x2) + +inst_320: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x7f0; + valaddr_reg:x3; val_offset:640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 640*FLEN/8, x4, x1, x2) + +inst_321: +// fs1 == 0 and fe1 == 0x81 and fm1 == 0x3ad332 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x40bad332; op2val:0x7f0; + valaddr_reg:x3; val_offset:642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 642*FLEN/8, x4, x1, x2) + +inst_322: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x3ad332 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x40bad332; + valaddr_reg:x3; val_offset:644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 644*FLEN/8, x4, x1, x2) + +inst_323: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0x81 and fm2 == 0x3ad332 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x40bad332; + valaddr_reg:x3; val_offset:646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 646*FLEN/8, x4, x1, x2) + +inst_324: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 648*FLEN/8, x4, x1, x2) + +inst_325: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 650*FLEN/8, x4, x1, x2) + +inst_326: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 652*FLEN/8, x4, x1, x2) + +inst_327: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 654*FLEN/8, x4, x1, x2) + +inst_328: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 656*FLEN/8, x4, x1, x2) + +inst_329: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 658*FLEN/8, x4, x1, x2) + +inst_330: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 660*FLEN/8, x4, x1, x2) + +inst_331: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x291dc8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xfd291dc8; + valaddr_reg:x3; val_offset:662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 662*FLEN/8, x4, x1, x2) + +inst_332: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x291dc8 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd291dc8; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 664*FLEN/8, x4, x1, x2) + +inst_333: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 666*FLEN/8, x4, x1, x2) + +inst_334: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 668*FLEN/8, x4, x1, x2) + +inst_335: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfb and fm2 == 0x153eee and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xfd953eee; + valaddr_reg:x3; val_offset:670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 670*FLEN/8, x4, x1, x2) + +inst_336: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x153eee and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd953eee; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 672*FLEN/8, x4, x1, x2) + +inst_337: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 674*FLEN/8, x4, x1, x2) + +inst_338: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 676*FLEN/8, x4, x1, x2) + +inst_339: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfb and fm2 == 0x1946c8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xfd9946c8; + valaddr_reg:x3; val_offset:678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 678*FLEN/8, x4, x1, x2) + +inst_340: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x1946c8 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd9946c8; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 680*FLEN/8, x4, x1, x2) + +inst_341: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 682*FLEN/8, x4, x1, x2) + +inst_342: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 684*FLEN/8, x4, x1, x2) + +inst_343: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 686*FLEN/8, x4, x1, x2) + +inst_344: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 688*FLEN/8, x4, x1, x2) + +inst_345: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x07167c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x7e07167c; + valaddr_reg:x3; val_offset:690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 690*FLEN/8, x4, x1, x2) + +inst_346: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x07167c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2a65f8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e07167c; op2val:0x802a65f8; + valaddr_reg:x3; val_offset:692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 692*FLEN/8, x4, x1, x2) + +inst_347: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 694*FLEN/8, x4, x1, x2) + +inst_348: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2a65f8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x802a65f8; + valaddr_reg:x3; val_offset:696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 696*FLEN/8, x4, x1, x2) + +inst_349: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 698*FLEN/8, x4, x1, x2) + +inst_350: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x667e2a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x7de67e2a; + valaddr_reg:x3; val_offset:700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 700*FLEN/8, x4, x1, x2) + +inst_351: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x667e2a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2a65f8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7de67e2a; op2val:0x802a65f8; + valaddr_reg:x3; val_offset:702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 702*FLEN/8, x4, x1, x2) + +inst_352: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 704*FLEN/8, x4, x1, x2) + +inst_353: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x357d2c; + valaddr_reg:x3; val_offset:706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 706*FLEN/8, x4, x1, x2) + +inst_354: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x13d219 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x7e13d219; + valaddr_reg:x3; val_offset:708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 708*FLEN/8, x4, x1, x2) + +inst_355: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x13d219 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2a65f8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e13d219; op2val:0x802a65f8; + valaddr_reg:x3; val_offset:710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 710*FLEN/8, x4, x1, x2) + +inst_356: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x357d2c; + valaddr_reg:x3; val_offset:712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 712*FLEN/8, x4, x1, x2) + +inst_357: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x1c8139; + valaddr_reg:x3; val_offset:714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 714*FLEN/8, x4, x1, x2) + +inst_358: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x1d8cd6 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x7d9d8cd6; + valaddr_reg:x3; val_offset:716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 716*FLEN/8, x4, x1, x2) + +inst_359: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d8cd6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2a65f8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d9d8cd6; op2val:0x802a65f8; + valaddr_reg:x3; val_offset:718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 718*FLEN/8, x4, x1, x2) + +inst_360: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x1c8139; + valaddr_reg:x3; val_offset:720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 720*FLEN/8, x4, x1, x2) + +inst_361: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 722*FLEN/8, x4, x1, x2) + +inst_362: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x1f6f2f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x7e1f6f2f; + valaddr_reg:x3; val_offset:724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 724*FLEN/8, x4, x1, x2) + +inst_363: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x1f6f2f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2a65f8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e1f6f2f; op2val:0x802a65f8; + valaddr_reg:x3; val_offset:726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 726*FLEN/8, x4, x1, x2) + +inst_364: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 728*FLEN/8, x4, x1, x2) + +inst_365: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x802facf2; + valaddr_reg:x3; val_offset:730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 730*FLEN/8, x4, x1, x2) + +inst_366: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x03c146 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0xfe03c146; + valaddr_reg:x3; val_offset:732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 732*FLEN/8, x4, x1, x2) + +inst_367: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03c146 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2a65f8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03c146; op2val:0x802a65f8; + valaddr_reg:x3; val_offset:734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 734*FLEN/8, x4, x1, x2) + +inst_368: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x802facf2; + valaddr_reg:x3; val_offset:736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 736*FLEN/8, x4, x1, x2) + +inst_369: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x800d858e; + valaddr_reg:x3; val_offset:738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 738*FLEN/8, x4, x1, x2) + +inst_370: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x157915 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0xfd157915; + valaddr_reg:x3; val_offset:740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 740*FLEN/8, x4, x1, x2) + +inst_371: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x157915 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2a65f8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd157915; op2val:0x802a65f8; + valaddr_reg:x3; val_offset:742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 742*FLEN/8, x4, x1, x2) + +inst_372: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x800d858e; + valaddr_reg:x3; val_offset:744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 744*FLEN/8, x4, x1, x2) + +inst_373: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 746*FLEN/8, x4, x1, x2) + +inst_374: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x48a6ca and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0xfdc8a6ca; + valaddr_reg:x3; val_offset:748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 748*FLEN/8, x4, x1, x2) + +inst_375: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x48a6ca and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2a65f8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdc8a6ca; op2val:0x802a65f8; + valaddr_reg:x3; val_offset:750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 750*FLEN/8, x4, x1, x2) + +inst_376: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 752*FLEN/8, x4, x1, x2) + +inst_377: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x8011d249; + valaddr_reg:x3; val_offset:754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 754*FLEN/8, x4, x1, x2) + +inst_378: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x4500e4 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0xfd4500e4; + valaddr_reg:x3; val_offset:756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 756*FLEN/8, x4, x1, x2) + +inst_379: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x4500e4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2a65f8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd4500e4; op2val:0x802a65f8; + valaddr_reg:x3; val_offset:758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 758*FLEN/8, x4, x1, x2) + +inst_380: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x8011d249; + valaddr_reg:x3; val_offset:760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 760*FLEN/8, x4, x1, x2) + +inst_381: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 762*FLEN/8, x4, x1, x2) + +inst_382: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x2b7553 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0xfe2b7553; + valaddr_reg:x3; val_offset:764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 764*FLEN/8, x4, x1, x2) + +inst_383: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2b7553 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2a65f8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2b7553; op2val:0x802a65f8; + valaddr_reg:x3; val_offset:766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 766*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_4) + +inst_384: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 768*FLEN/8, x4, x1, x2) + +inst_385: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x7f0; + valaddr_reg:x3; val_offset:770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 770*FLEN/8, x4, x1, x2) + +inst_386: +// fs1 == 1 and fe1 == 0x80 and fm1 == 0x7931e5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc07931e5; op2val:0x7f0; + valaddr_reg:x3; val_offset:772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 772*FLEN/8, x4, x1, x2) + +inst_387: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x7931e5 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc07931e5; + valaddr_reg:x3; val_offset:774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 774*FLEN/8, x4, x1, x2) + +inst_388: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0x80 and fm2 == 0x7931e5 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0xc07931e5; + valaddr_reg:x3; val_offset:776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 776*FLEN/8, x4, x1, x2) + +inst_389: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 778*FLEN/8, x4, x1, x2) + +inst_390: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x291dc8 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd291dc8; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 780*FLEN/8, x4, x1, x2) + +inst_391: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0xfa and fm2 == 0x291dc8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0xfd291dc8; + valaddr_reg:x3; val_offset:782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 782*FLEN/8, x4, x1, x2) + +inst_392: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 784*FLEN/8, x4, x1, x2) + +inst_393: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 786*FLEN/8, x4, x1, x2) + +inst_394: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x291dc8 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd291dc8; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 788*FLEN/8, x4, x1, x2) + +inst_395: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 790*FLEN/8, x4, x1, x2) + +inst_396: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x291dc8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd291dc8; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 792*FLEN/8, x4, x1, x2) + +inst_397: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 794*FLEN/8, x4, x1, x2) + +inst_398: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x291dc8 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd291dc8; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 796*FLEN/8, x4, x1, x2) + +inst_399: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 798*FLEN/8, x4, x1, x2) + +inst_400: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 800*FLEN/8, x4, x1, x2) + +inst_401: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 802*FLEN/8, x4, x1, x2) + +inst_402: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 804*FLEN/8, x4, x1, x2) + +inst_403: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 806*FLEN/8, x4, x1, x2) + +inst_404: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x291dc8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x522917 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd291dc8; op2val:0xfed22917; + valaddr_reg:x3; val_offset:808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 808*FLEN/8, x4, x1, x2) + +inst_405: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x522917 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x291dc8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed22917; op2val:0xfd291dc8; + valaddr_reg:x3; val_offset:810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 810*FLEN/8, x4, x1, x2) + +inst_406: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x291dc8 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd291dc8; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 812*FLEN/8, x4, x1, x2) + +inst_407: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 814*FLEN/8, x4, x1, x2) + +inst_408: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 816*FLEN/8, x4, x1, x2) + +inst_409: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f4c77 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x800f4c77; + valaddr_reg:x3; val_offset:818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 818*FLEN/8, x4, x1, x2) + +inst_410: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 820*FLEN/8, x4, x1, x2) + +inst_411: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f4c77 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x800f4c77; + valaddr_reg:x3; val_offset:822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 822*FLEN/8, x4, x1, x2) + +inst_412: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 824*FLEN/8, x4, x1, x2) + +inst_413: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 826*FLEN/8, x4, x1, x2) + +inst_414: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x357d2c; + valaddr_reg:x3; val_offset:828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 828*FLEN/8, x4, x1, x2) + +inst_415: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x357d2c; + valaddr_reg:x3; val_offset:830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 830*FLEN/8, x4, x1, x2) + +inst_416: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x1c8139; + valaddr_reg:x3; val_offset:832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 832*FLEN/8, x4, x1, x2) + +inst_417: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44f00b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x7f44f00b; + valaddr_reg:x3; val_offset:834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 834*FLEN/8, x4, x1, x2) + +inst_418: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44f00b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f4c77 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f44f00b; op2val:0x800f4c77; + valaddr_reg:x3; val_offset:836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 836*FLEN/8, x4, x1, x2) + +inst_419: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x1c8139; + valaddr_reg:x3; val_offset:838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 838*FLEN/8, x4, x1, x2) + +inst_420: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 840*FLEN/8, x4, x1, x2) + +inst_421: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 842*FLEN/8, x4, x1, x2) + +inst_422: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x802facf2; + valaddr_reg:x3; val_offset:844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 844*FLEN/8, x4, x1, x2) + +inst_423: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 846*FLEN/8, x4, x1, x2) + +inst_424: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f4c77 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x800f4c77; + valaddr_reg:x3; val_offset:848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 848*FLEN/8, x4, x1, x2) + +inst_425: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x802facf2; + valaddr_reg:x3; val_offset:850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 850*FLEN/8, x4, x1, x2) + +inst_426: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x800d858e; + valaddr_reg:x3; val_offset:852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 852*FLEN/8, x4, x1, x2) + +inst_427: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x3ad75a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0xfebad75a; + valaddr_reg:x3; val_offset:854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 854*FLEN/8, x4, x1, x2) + +inst_428: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x3ad75a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f4c77 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfebad75a; op2val:0x800f4c77; + valaddr_reg:x3; val_offset:856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 856*FLEN/8, x4, x1, x2) + +inst_429: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x800d858e; + valaddr_reg:x3; val_offset:858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 858*FLEN/8, x4, x1, x2) + +inst_430: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 860*FLEN/8, x4, x1, x2) + +inst_431: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7ad07d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0xff7ad07d; + valaddr_reg:x3; val_offset:862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 862*FLEN/8, x4, x1, x2) + +inst_432: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7ad07d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f4c77 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7ad07d; op2val:0x800f4c77; + valaddr_reg:x3; val_offset:864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 864*FLEN/8, x4, x1, x2) + +inst_433: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 866*FLEN/8, x4, x1, x2) + +inst_434: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x8011d249; + valaddr_reg:x3; val_offset:868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 868*FLEN/8, x4, x1, x2) + +inst_435: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x76411d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0xfef6411d; + valaddr_reg:x3; val_offset:870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 870*FLEN/8, x4, x1, x2) + +inst_436: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x76411d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f4c77 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfef6411d; op2val:0x800f4c77; + valaddr_reg:x3; val_offset:872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 872*FLEN/8, x4, x1, x2) + +inst_437: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x8011d249; + valaddr_reg:x3; val_offset:874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 874*FLEN/8, x4, x1, x2) + +inst_438: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 876*FLEN/8, x4, x1, x2) + +inst_439: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 878*FLEN/8, x4, x1, x2) + +inst_440: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x7f0; + valaddr_reg:x3; val_offset:880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 880*FLEN/8, x4, x1, x2) + +inst_441: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x33d5d8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbfb3d5d8; op2val:0x7f0; + valaddr_reg:x3; val_offset:882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 882*FLEN/8, x4, x1, x2) + +inst_442: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x33d5d8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xbfb3d5d8; + valaddr_reg:x3; val_offset:884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 884*FLEN/8, x4, x1, x2) + +inst_443: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0x7f and fm2 == 0x33d5d8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0xbfb3d5d8; + valaddr_reg:x3; val_offset:886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 886*FLEN/8, x4, x1, x2) + +inst_444: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 888*FLEN/8, x4, x1, x2) + +inst_445: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x153eee and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd953eee; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 890*FLEN/8, x4, x1, x2) + +inst_446: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x153eee and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0xfd953eee; + valaddr_reg:x3; val_offset:892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 892*FLEN/8, x4, x1, x2) + +inst_447: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 894*FLEN/8, x4, x1, x2) + +inst_448: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 896*FLEN/8, x4, x1, x2) + +inst_449: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x153eee and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd953eee; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 898*FLEN/8, x4, x1, x2) + +inst_450: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 900*FLEN/8, x4, x1, x2) + +inst_451: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x153eee and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd953eee; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 902*FLEN/8, x4, x1, x2) + +inst_452: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 904*FLEN/8, x4, x1, x2) + +inst_453: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x153eee and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd953eee; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 906*FLEN/8, x4, x1, x2) + +inst_454: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 908*FLEN/8, x4, x1, x2) + +inst_455: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 910*FLEN/8, x4, x1, x2) + +inst_456: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 912*FLEN/8, x4, x1, x2) + +inst_457: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x153eee and fs2 == 1 and fe2 == 0xfd and fm2 == 0x522917 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd953eee; op2val:0xfed22917; + valaddr_reg:x3; val_offset:914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 914*FLEN/8, x4, x1, x2) + +inst_458: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x522917 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x153eee and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed22917; op2val:0xfd953eee; + valaddr_reg:x3; val_offset:916*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 916*FLEN/8, x4, x1, x2) + +inst_459: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x153eee and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd953eee; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:918*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 918*FLEN/8, x4, x1, x2) + +inst_460: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:920*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 920*FLEN/8, x4, x1, x2) + +inst_461: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:922*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 922*FLEN/8, x4, x1, x2) + +inst_462: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1b0098 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x801b0098; + valaddr_reg:x3; val_offset:924*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 924*FLEN/8, x4, x1, x2) + +inst_463: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:926*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 926*FLEN/8, x4, x1, x2) + +inst_464: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1b0098 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x801b0098; + valaddr_reg:x3; val_offset:928*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 928*FLEN/8, x4, x1, x2) + +inst_465: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:930*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 930*FLEN/8, x4, x1, x2) + +inst_466: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:932*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 932*FLEN/8, x4, x1, x2) + +inst_467: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x357d2c; + valaddr_reg:x3; val_offset:934*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 934*FLEN/8, x4, x1, x2) + +inst_468: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x357d2c; + valaddr_reg:x3; val_offset:936*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 936*FLEN/8, x4, x1, x2) + +inst_469: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x1c8139; + valaddr_reg:x3; val_offset:938*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 938*FLEN/8, x4, x1, x2) + +inst_470: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44f00b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x7f44f00b; + valaddr_reg:x3; val_offset:940*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 940*FLEN/8, x4, x1, x2) + +inst_471: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44f00b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1b0098 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f44f00b; op2val:0x801b0098; + valaddr_reg:x3; val_offset:942*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 942*FLEN/8, x4, x1, x2) + +inst_472: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x1c8139; + valaddr_reg:x3; val_offset:944*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 944*FLEN/8, x4, x1, x2) + +inst_473: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:946*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 946*FLEN/8, x4, x1, x2) + +inst_474: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:948*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 948*FLEN/8, x4, x1, x2) + +inst_475: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x802facf2; + valaddr_reg:x3; val_offset:950*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 950*FLEN/8, x4, x1, x2) + +inst_476: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:952*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 952*FLEN/8, x4, x1, x2) + +inst_477: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1b0098 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x801b0098; + valaddr_reg:x3; val_offset:954*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 954*FLEN/8, x4, x1, x2) + +inst_478: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x802facf2; + valaddr_reg:x3; val_offset:956*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 956*FLEN/8, x4, x1, x2) + +inst_479: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x800d858e; + valaddr_reg:x3; val_offset:958*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 958*FLEN/8, x4, x1, x2) + +inst_480: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x3ad75a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0xfebad75a; + valaddr_reg:x3; val_offset:960*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 960*FLEN/8, x4, x1, x2) + +inst_481: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x3ad75a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1b0098 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfebad75a; op2val:0x801b0098; + valaddr_reg:x3; val_offset:962*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 962*FLEN/8, x4, x1, x2) + +inst_482: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x800d858e; + valaddr_reg:x3; val_offset:964*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 964*FLEN/8, x4, x1, x2) + +inst_483: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:966*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 966*FLEN/8, x4, x1, x2) + +inst_484: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7ad07d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0xff7ad07d; + valaddr_reg:x3; val_offset:968*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 968*FLEN/8, x4, x1, x2) + +inst_485: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7ad07d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1b0098 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7ad07d; op2val:0x801b0098; + valaddr_reg:x3; val_offset:970*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 970*FLEN/8, x4, x1, x2) + +inst_486: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:972*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 972*FLEN/8, x4, x1, x2) + +inst_487: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x8011d249; + valaddr_reg:x3; val_offset:974*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 974*FLEN/8, x4, x1, x2) + +inst_488: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x76411d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0xfef6411d; + valaddr_reg:x3; val_offset:976*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 976*FLEN/8, x4, x1, x2) + +inst_489: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x76411d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1b0098 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfef6411d; op2val:0x801b0098; + valaddr_reg:x3; val_offset:978*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 978*FLEN/8, x4, x1, x2) + +inst_490: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x8011d249; + valaddr_reg:x3; val_offset:980*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 980*FLEN/8, x4, x1, x2) + +inst_491: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:982*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 982*FLEN/8, x4, x1, x2) + +inst_492: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:984*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 984*FLEN/8, x4, x1, x2) + +inst_493: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x7f0; + valaddr_reg:x3; val_offset:986*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 986*FLEN/8, x4, x1, x2) + +inst_494: +// fs1 == 1 and fe1 == 0x80 and fm1 == 0x1eb493 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc01eb493; op2val:0x7f0; + valaddr_reg:x3; val_offset:988*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 988*FLEN/8, x4, x1, x2) + +inst_495: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x1eb493 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc01eb493; + valaddr_reg:x3; val_offset:990*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 990*FLEN/8, x4, x1, x2) + +inst_496: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x1eb493 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0xc01eb493; + valaddr_reg:x3; val_offset:992*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 992*FLEN/8, x4, x1, x2) + +inst_497: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:994*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 994*FLEN/8, x4, x1, x2) + +inst_498: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x1946c8 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd9946c8; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:996*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 996*FLEN/8, x4, x1, x2) + +inst_499: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0xfb and fm2 == 0x1946c8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0xfd9946c8; + valaddr_reg:x3; val_offset:998*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 998*FLEN/8, x4, x1, x2) + +inst_500: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1000*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1000*FLEN/8, x4, x1, x2) + +inst_501: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1002*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1002*FLEN/8, x4, x1, x2) + +inst_502: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x1946c8 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd9946c8; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1004*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1004*FLEN/8, x4, x1, x2) + +inst_503: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1006*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1006*FLEN/8, x4, x1, x2) + +inst_504: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x1946c8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd9946c8; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1008*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1008*FLEN/8, x4, x1, x2) + +inst_505: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1010*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1010*FLEN/8, x4, x1, x2) + +inst_506: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x1946c8 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd9946c8; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1012*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1012*FLEN/8, x4, x1, x2) + +inst_507: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1014*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1014*FLEN/8, x4, x1, x2) + +inst_508: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x1946c8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x522917 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd9946c8; op2val:0xfed22917; + valaddr_reg:x3; val_offset:1016*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1016*FLEN/8, x4, x1, x2) + +inst_509: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x522917 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x1946c8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed22917; op2val:0xfd9946c8; + valaddr_reg:x3; val_offset:1018*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1018*FLEN/8, x4, x1, x2) + +inst_510: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x1946c8 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd9946c8; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1020*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1020*FLEN/8, x4, x1, x2) + +inst_511: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1022*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1022*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_5) + +inst_512: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1024*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1024*FLEN/8, x4, x1, x2) + +inst_513: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1bbb48 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x801bbb48; + valaddr_reg:x3; val_offset:1026*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1026*FLEN/8, x4, x1, x2) + +inst_514: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1028*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1028*FLEN/8, x4, x1, x2) + +inst_515: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1bbb48 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x801bbb48; + valaddr_reg:x3; val_offset:1030*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1030*FLEN/8, x4, x1, x2) + +inst_516: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1032*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1032*FLEN/8, x4, x1, x2) + +inst_517: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1034*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1034*FLEN/8, x4, x1, x2) + +inst_518: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1036*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1036*FLEN/8, x4, x1, x2) + +inst_519: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1038*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1038*FLEN/8, x4, x1, x2) + +inst_520: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1040*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1040*FLEN/8, x4, x1, x2) + +inst_521: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44f00b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x7f44f00b; + valaddr_reg:x3; val_offset:1042*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1042*FLEN/8, x4, x1, x2) + +inst_522: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44f00b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1bbb48 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f44f00b; op2val:0x801bbb48; + valaddr_reg:x3; val_offset:1044*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1044*FLEN/8, x4, x1, x2) + +inst_523: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1046*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1046*FLEN/8, x4, x1, x2) + +inst_524: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1048*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1048*FLEN/8, x4, x1, x2) + +inst_525: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1050*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1050*FLEN/8, x4, x1, x2) + +inst_526: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1052*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1052*FLEN/8, x4, x1, x2) + +inst_527: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1054*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1054*FLEN/8, x4, x1, x2) + +inst_528: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1bbb48 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x801bbb48; + valaddr_reg:x3; val_offset:1056*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1056*FLEN/8, x4, x1, x2) + +inst_529: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1058*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1058*FLEN/8, x4, x1, x2) + +inst_530: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1060*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1060*FLEN/8, x4, x1, x2) + +inst_531: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x3ad75a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0xfebad75a; + valaddr_reg:x3; val_offset:1062*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1062*FLEN/8, x4, x1, x2) + +inst_532: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x3ad75a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1bbb48 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfebad75a; op2val:0x801bbb48; + valaddr_reg:x3; val_offset:1064*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1064*FLEN/8, x4, x1, x2) + +inst_533: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1066*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1066*FLEN/8, x4, x1, x2) + +inst_534: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1068*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1068*FLEN/8, x4, x1, x2) + +inst_535: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7ad07d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0xff7ad07d; + valaddr_reg:x3; val_offset:1070*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1070*FLEN/8, x4, x1, x2) + +inst_536: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7ad07d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1bbb48 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7ad07d; op2val:0x801bbb48; + valaddr_reg:x3; val_offset:1072*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1072*FLEN/8, x4, x1, x2) + +inst_537: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1074*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1074*FLEN/8, x4, x1, x2) + +inst_538: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1076*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1076*FLEN/8, x4, x1, x2) + +inst_539: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x76411d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0xfef6411d; + valaddr_reg:x3; val_offset:1078*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1078*FLEN/8, x4, x1, x2) + +inst_540: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x76411d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1bbb48 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfef6411d; op2val:0x801bbb48; + valaddr_reg:x3; val_offset:1080*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1080*FLEN/8, x4, x1, x2) + +inst_541: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1082*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1082*FLEN/8, x4, x1, x2) + +inst_542: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1084*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1084*FLEN/8, x4, x1, x2) + +inst_543: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1086*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1086*FLEN/8, x4, x1, x2) + +inst_544: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x7f0; + valaddr_reg:x3; val_offset:1088*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1088*FLEN/8, x4, x1, x2) + +inst_545: +// fs1 == 1 and fe1 == 0x80 and fm1 == 0x22fdd5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc022fdd5; op2val:0x7f0; + valaddr_reg:x3; val_offset:1090*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1090*FLEN/8, x4, x1, x2) + +inst_546: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x22fdd5 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc022fdd5; + valaddr_reg:x3; val_offset:1092*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1092*FLEN/8, x4, x1, x2) + +inst_547: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0x80 and fm2 == 0x22fdd5 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0xc022fdd5; + valaddr_reg:x3; val_offset:1094*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1094*FLEN/8, x4, x1, x2) + +inst_548: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1096*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1096*FLEN/8, x4, x1, x2) + +inst_549: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1098*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1098*FLEN/8, x4, x1, x2) + +inst_550: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x522917 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed22917; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1100*FLEN/8, x4, x1, x2) + +inst_551: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0xfd and fm2 == 0x522917 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0xfed22917; + valaddr_reg:x3; val_offset:1102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1102*FLEN/8, x4, x1, x2) + +inst_552: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1104*FLEN/8, x4, x1, x2) + +inst_553: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x522917 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed22917; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1106*FLEN/8, x4, x1, x2) + +inst_554: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1108*FLEN/8, x4, x1, x2) + +inst_555: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x522917 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed22917; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1110*FLEN/8, x4, x1, x2) + +inst_556: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1112*FLEN/8, x4, x1, x2) + +inst_557: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x522917 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed22917; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1114*FLEN/8, x4, x1, x2) + +inst_558: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1116*FLEN/8, x4, x1, x2) + +inst_559: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x522917 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed22917; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1118*FLEN/8, x4, x1, x2) + +inst_560: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1120*FLEN/8, x4, x1, x2) + +inst_561: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x07167c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x7e07167c; + valaddr_reg:x3; val_offset:1122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1122*FLEN/8, x4, x1, x2) + +inst_562: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x07167c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f3596 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e07167c; op2val:0x800f3596; + valaddr_reg:x3; val_offset:1124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1124*FLEN/8, x4, x1, x2) + +inst_563: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1126*FLEN/8, x4, x1, x2) + +inst_564: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f3596 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x800f3596; + valaddr_reg:x3; val_offset:1128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1128*FLEN/8, x4, x1, x2) + +inst_565: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1130*FLEN/8, x4, x1, x2) + +inst_566: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x667e2a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x7de67e2a; + valaddr_reg:x3; val_offset:1132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1132*FLEN/8, x4, x1, x2) + +inst_567: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x667e2a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f3596 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7de67e2a; op2val:0x800f3596; + valaddr_reg:x3; val_offset:1134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1134*FLEN/8, x4, x1, x2) + +inst_568: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1136*FLEN/8, x4, x1, x2) + +inst_569: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1138*FLEN/8, x4, x1, x2) + +inst_570: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x13d219 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x7e13d219; + valaddr_reg:x3; val_offset:1140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1140*FLEN/8, x4, x1, x2) + +inst_571: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x13d219 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f3596 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e13d219; op2val:0x800f3596; + valaddr_reg:x3; val_offset:1142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1142*FLEN/8, x4, x1, x2) + +inst_572: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1144*FLEN/8, x4, x1, x2) + +inst_573: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1146*FLEN/8, x4, x1, x2) + +inst_574: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x1d8cd6 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x7d9d8cd6; + valaddr_reg:x3; val_offset:1148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1148*FLEN/8, x4, x1, x2) + +inst_575: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d8cd6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f3596 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d9d8cd6; op2val:0x800f3596; + valaddr_reg:x3; val_offset:1150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1150*FLEN/8, x4, x1, x2) + +inst_576: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1152*FLEN/8, x4, x1, x2) + +inst_577: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1154*FLEN/8, x4, x1, x2) + +inst_578: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x1f6f2f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x7e1f6f2f; + valaddr_reg:x3; val_offset:1156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1156*FLEN/8, x4, x1, x2) + +inst_579: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x1f6f2f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f3596 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e1f6f2f; op2val:0x800f3596; + valaddr_reg:x3; val_offset:1158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1158*FLEN/8, x4, x1, x2) + +inst_580: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1160*FLEN/8, x4, x1, x2) + +inst_581: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1162*FLEN/8, x4, x1, x2) + +inst_582: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x03c146 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0xfe03c146; + valaddr_reg:x3; val_offset:1164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1164*FLEN/8, x4, x1, x2) + +inst_583: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03c146 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f3596 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03c146; op2val:0x800f3596; + valaddr_reg:x3; val_offset:1166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1166*FLEN/8, x4, x1, x2) + +inst_584: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1168*FLEN/8, x4, x1, x2) + +inst_585: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1170*FLEN/8, x4, x1, x2) + +inst_586: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x157915 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0xfd157915; + valaddr_reg:x3; val_offset:1172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1172*FLEN/8, x4, x1, x2) + +inst_587: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x157915 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f3596 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd157915; op2val:0x800f3596; + valaddr_reg:x3; val_offset:1174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1174*FLEN/8, x4, x1, x2) + +inst_588: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1176*FLEN/8, x4, x1, x2) + +inst_589: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1178*FLEN/8, x4, x1, x2) + +inst_590: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x48a6ca and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0xfdc8a6ca; + valaddr_reg:x3; val_offset:1180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1180*FLEN/8, x4, x1, x2) + +inst_591: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x48a6ca and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f3596 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdc8a6ca; op2val:0x800f3596; + valaddr_reg:x3; val_offset:1182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1182*FLEN/8, x4, x1, x2) + +inst_592: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1184*FLEN/8, x4, x1, x2) + +inst_593: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1186*FLEN/8, x4, x1, x2) + +inst_594: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x4500e4 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0xfd4500e4; + valaddr_reg:x3; val_offset:1188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1188*FLEN/8, x4, x1, x2) + +inst_595: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x4500e4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f3596 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd4500e4; op2val:0x800f3596; + valaddr_reg:x3; val_offset:1190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1190*FLEN/8, x4, x1, x2) + +inst_596: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1192*FLEN/8, x4, x1, x2) + +inst_597: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1194*FLEN/8, x4, x1, x2) + +inst_598: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x2b7553 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0xfe2b7553; + valaddr_reg:x3; val_offset:1196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1196*FLEN/8, x4, x1, x2) + +inst_599: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2b7553 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f3596 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2b7553; op2val:0x800f3596; + valaddr_reg:x3; val_offset:1198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1198*FLEN/8, x4, x1, x2) + +inst_600: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1200*FLEN/8, x4, x1, x2) + +inst_601: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x7f0; + valaddr_reg:x3; val_offset:1202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1202*FLEN/8, x4, x1, x2) + +inst_602: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x32c8e8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbfb2c8e8; op2val:0x7f0; + valaddr_reg:x3; val_offset:1204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1204*FLEN/8, x4, x1, x2) + +inst_603: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x32c8e8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xbfb2c8e8; + valaddr_reg:x3; val_offset:1206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1206*FLEN/8, x4, x1, x2) + +inst_604: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0x7f and fm2 == 0x32c8e8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0xbfb2c8e8; + valaddr_reg:x3; val_offset:1208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1208*FLEN/8, x4, x1, x2) + +inst_605: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1210*FLEN/8, x4, x1, x2) + +inst_606: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x07167c and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e07167c; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1212*FLEN/8, x4, x1, x2) + +inst_607: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0xfc and fm2 == 0x07167c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x7e07167c; + valaddr_reg:x3; val_offset:1214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1214*FLEN/8, x4, x1, x2) + +inst_608: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1216*FLEN/8, x4, x1, x2) + +inst_609: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1218*FLEN/8, x4, x1, x2) + +inst_610: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1220*FLEN/8, x4, x1, x2) + +inst_611: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1222*FLEN/8, x4, x1, x2) + +inst_612: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x07167c and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e07167c; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1224*FLEN/8, x4, x1, x2) + +inst_613: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1226*FLEN/8, x4, x1, x2) + +inst_614: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1228*FLEN/8, x4, x1, x2) + +inst_615: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x07167c and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e07167c; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1230*FLEN/8, x4, x1, x2) + +inst_616: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1232*FLEN/8, x4, x1, x2) + +inst_617: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x07167c and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e07167c; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1234*FLEN/8, x4, x1, x2) + +inst_618: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1236*FLEN/8, x4, x1, x2) + +inst_619: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1238*FLEN/8, x4, x1, x2) + +inst_620: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1240*FLEN/8, x4, x1, x2) + +inst_621: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1242*FLEN/8, x4, x1, x2) + +inst_622: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x07167c and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e07167c; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1244*FLEN/8, x4, x1, x2) + +inst_623: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1246*FLEN/8, x4, x1, x2) + +inst_624: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1248*FLEN/8, x4, x1, x2) + +inst_625: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1250*FLEN/8, x4, x1, x2) + +inst_626: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1252*FLEN/8, x4, x1, x2) + +inst_627: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1254*FLEN/8, x4, x1, x2) + +inst_628: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1256*FLEN/8, x4, x1, x2) + +inst_629: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1258*FLEN/8, x4, x1, x2) + +inst_630: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1260*FLEN/8, x4, x1, x2) + +inst_631: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1262*FLEN/8, x4, x1, x2) + +inst_632: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1264*FLEN/8, x4, x1, x2) + +inst_633: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1266*FLEN/8, x4, x1, x2) + +inst_634: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1268*FLEN/8, x4, x1, x2) + +inst_635: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1270*FLEN/8, x4, x1, x2) + +inst_636: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1272*FLEN/8, x4, x1, x2) + +inst_637: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1274*FLEN/8, x4, x1, x2) + +inst_638: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1276*FLEN/8, x4, x1, x2) + +inst_639: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1278*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_6) + +inst_640: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1280*FLEN/8, x4, x1, x2) + +inst_641: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x7f0; + valaddr_reg:x3; val_offset:1282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1282*FLEN/8, x4, x1, x2) + +inst_642: +// fs1 == 0 and fe1 == 0x81 and fm1 == 0x0fa668 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x408fa668; op2val:0x7f0; + valaddr_reg:x3; val_offset:1284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1284*FLEN/8, x4, x1, x2) + +inst_643: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x0fa668 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x408fa668; + valaddr_reg:x3; val_offset:1286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1286*FLEN/8, x4, x1, x2) + +inst_644: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0x81 and fm2 == 0x0fa668 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x408fa668; + valaddr_reg:x3; val_offset:1288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1288*FLEN/8, x4, x1, x2) + +inst_645: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1290*FLEN/8, x4, x1, x2) + +inst_646: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x667e2a and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7de67e2a; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1292*FLEN/8, x4, x1, x2) + +inst_647: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x667e2a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x7de67e2a; + valaddr_reg:x3; val_offset:1294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1294*FLEN/8, x4, x1, x2) + +inst_648: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1296*FLEN/8, x4, x1, x2) + +inst_649: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1298*FLEN/8, x4, x1, x2) + +inst_650: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1300*FLEN/8, x4, x1, x2) + +inst_651: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1302*FLEN/8, x4, x1, x2) + +inst_652: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x667e2a and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7de67e2a; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1304*FLEN/8, x4, x1, x2) + +inst_653: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1306*FLEN/8, x4, x1, x2) + +inst_654: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1308*FLEN/8, x4, x1, x2) + +inst_655: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x667e2a and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7de67e2a; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1310*FLEN/8, x4, x1, x2) + +inst_656: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1312*FLEN/8, x4, x1, x2) + +inst_657: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x667e2a and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7de67e2a; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1314*FLEN/8, x4, x1, x2) + +inst_658: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1316*FLEN/8, x4, x1, x2) + +inst_659: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1318*FLEN/8, x4, x1, x2) + +inst_660: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1320*FLEN/8, x4, x1, x2) + +inst_661: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1322*FLEN/8, x4, x1, x2) + +inst_662: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x667e2a and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7de67e2a; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1324*FLEN/8, x4, x1, x2) + +inst_663: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1326*FLEN/8, x4, x1, x2) + +inst_664: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1328*FLEN/8, x4, x1, x2) + +inst_665: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1330*FLEN/8, x4, x1, x2) + +inst_666: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1332*FLEN/8, x4, x1, x2) + +inst_667: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1334*FLEN/8, x4, x1, x2) + +inst_668: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1336*FLEN/8, x4, x1, x2) + +inst_669: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1338*FLEN/8, x4, x1, x2) + +inst_670: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1340*FLEN/8, x4, x1, x2) + +inst_671: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1342*FLEN/8, x4, x1, x2) + +inst_672: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1344*FLEN/8, x4, x1, x2) + +inst_673: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1346*FLEN/8, x4, x1, x2) + +inst_674: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1348*FLEN/8, x4, x1, x2) + +inst_675: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1350*FLEN/8, x4, x1, x2) + +inst_676: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1352*FLEN/8, x4, x1, x2) + +inst_677: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1354*FLEN/8, x4, x1, x2) + +inst_678: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1356*FLEN/8, x4, x1, x2) + +inst_679: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x7f0; + valaddr_reg:x3; val_offset:1358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1358*FLEN/8, x4, x1, x2) + +inst_680: +// fs1 == 0 and fe1 == 0x80 and fm1 == 0x751a1e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x40751a1e; op2val:0x7f0; + valaddr_reg:x3; val_offset:1360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1360*FLEN/8, x4, x1, x2) + +inst_681: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x751a1e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x40751a1e; + valaddr_reg:x3; val_offset:1362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1362*FLEN/8, x4, x1, x2) + +inst_682: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x751a1e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x40751a1e; + valaddr_reg:x3; val_offset:1364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1364*FLEN/8, x4, x1, x2) + +inst_683: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1366*FLEN/8, x4, x1, x2) + +inst_684: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x13d219 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e13d219; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1368*FLEN/8, x4, x1, x2) + +inst_685: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0xfc and fm2 == 0x13d219 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x7e13d219; + valaddr_reg:x3; val_offset:1370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1370*FLEN/8, x4, x1, x2) + +inst_686: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1372*FLEN/8, x4, x1, x2) + +inst_687: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1374*FLEN/8, x4, x1, x2) + +inst_688: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1376*FLEN/8, x4, x1, x2) + +inst_689: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1378*FLEN/8, x4, x1, x2) + +inst_690: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x13d219 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e13d219; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1380*FLEN/8, x4, x1, x2) + +inst_691: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1382*FLEN/8, x4, x1, x2) + +inst_692: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1384*FLEN/8, x4, x1, x2) + +inst_693: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x13d219 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e13d219; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1386*FLEN/8, x4, x1, x2) + +inst_694: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1388*FLEN/8, x4, x1, x2) + +inst_695: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x13d219 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e13d219; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1390*FLEN/8, x4, x1, x2) + +inst_696: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1392*FLEN/8, x4, x1, x2) + +inst_697: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1394*FLEN/8, x4, x1, x2) + +inst_698: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1396*FLEN/8, x4, x1, x2) + +inst_699: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1398*FLEN/8, x4, x1, x2) + +inst_700: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x13d219 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e13d219; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1400*FLEN/8, x4, x1, x2) + +inst_701: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1402*FLEN/8, x4, x1, x2) + +inst_702: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1404*FLEN/8, x4, x1, x2) + +inst_703: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1406*FLEN/8, x4, x1, x2) + +inst_704: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1408*FLEN/8, x4, x1, x2) + +inst_705: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1410*FLEN/8, x4, x1, x2) + +inst_706: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1412*FLEN/8, x4, x1, x2) + +inst_707: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1414*FLEN/8, x4, x1, x2) + +inst_708: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1416*FLEN/8, x4, x1, x2) + +inst_709: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1418*FLEN/8, x4, x1, x2) + +inst_710: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1420*FLEN/8, x4, x1, x2) + +inst_711: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1422*FLEN/8, x4, x1, x2) + +inst_712: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1424*FLEN/8, x4, x1, x2) + +inst_713: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1426*FLEN/8, x4, x1, x2) + +inst_714: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1428*FLEN/8, x4, x1, x2) + +inst_715: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x7f0; + valaddr_reg:x3; val_offset:1430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1430*FLEN/8, x4, x1, x2) + +inst_716: +// fs1 == 0 and fe1 == 0x81 and fm1 == 0x1d309f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x409d309f; op2val:0x7f0; + valaddr_reg:x3; val_offset:1432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1432*FLEN/8, x4, x1, x2) + +inst_717: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x1d309f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x409d309f; + valaddr_reg:x3; val_offset:1434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1434*FLEN/8, x4, x1, x2) + +inst_718: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0x81 and fm2 == 0x1d309f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x409d309f; + valaddr_reg:x3; val_offset:1436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1436*FLEN/8, x4, x1, x2) + +inst_719: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1438*FLEN/8, x4, x1, x2) + +inst_720: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d8cd6 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d9d8cd6; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1440*FLEN/8, x4, x1, x2) + +inst_721: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x1d8cd6 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x7d9d8cd6; + valaddr_reg:x3; val_offset:1442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1442*FLEN/8, x4, x1, x2) + +inst_722: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1444*FLEN/8, x4, x1, x2) + +inst_723: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1446*FLEN/8, x4, x1, x2) + +inst_724: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44f00b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f44f00b; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1448*FLEN/8, x4, x1, x2) + +inst_725: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44f00b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x7f44f00b; + valaddr_reg:x3; val_offset:1450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1450*FLEN/8, x4, x1, x2) + +inst_726: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1452*FLEN/8, x4, x1, x2) + +inst_727: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d8cd6 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d9d8cd6; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1454*FLEN/8, x4, x1, x2) + +inst_728: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1456*FLEN/8, x4, x1, x2) + +inst_729: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44f00b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f44f00b; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1458*FLEN/8, x4, x1, x2) + +inst_730: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1460*FLEN/8, x4, x1, x2) + +inst_731: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d8cd6 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d9d8cd6; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1462*FLEN/8, x4, x1, x2) + +inst_732: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1464*FLEN/8, x4, x1, x2) + +inst_733: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d8cd6 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d9d8cd6; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1466*FLEN/8, x4, x1, x2) + +inst_734: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1468*FLEN/8, x4, x1, x2) + +inst_735: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44f00b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f44f00b; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1470*FLEN/8, x4, x1, x2) + +inst_736: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1472*FLEN/8, x4, x1, x2) + +inst_737: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44f00b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f44f00b; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1474*FLEN/8, x4, x1, x2) + +inst_738: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1476*FLEN/8, x4, x1, x2) + +inst_739: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44f00b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f44f00b; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1478*FLEN/8, x4, x1, x2) + +inst_740: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1480*FLEN/8, x4, x1, x2) + +inst_741: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d8cd6 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d9d8cd6; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1482*FLEN/8, x4, x1, x2) + +inst_742: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1484*FLEN/8, x4, x1, x2) + +inst_743: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1486*FLEN/8, x4, x1, x2) + +inst_744: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1488*FLEN/8, x4, x1, x2) + +inst_745: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1490*FLEN/8, x4, x1, x2) + +inst_746: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1492*FLEN/8, x4, x1, x2) + +inst_747: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1494*FLEN/8, x4, x1, x2) + +inst_748: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1496*FLEN/8, x4, x1, x2) + +inst_749: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1498*FLEN/8, x4, x1, x2) + +inst_750: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1500*FLEN/8, x4, x1, x2) + +inst_751: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1502*FLEN/8, x4, x1, x2) + +inst_752: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1504*FLEN/8, x4, x1, x2) + +inst_753: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1506*FLEN/8, x4, x1, x2) + +inst_754: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x7f0; + valaddr_reg:x3; val_offset:1508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1508*FLEN/8, x4, x1, x2) + +inst_755: +// fs1 == 0 and fe1 == 0x80 and fm1 == 0x27893a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4027893a; op2val:0x7f0; + valaddr_reg:x3; val_offset:1510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1510*FLEN/8, x4, x1, x2) + +inst_756: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x27893a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x4027893a; + valaddr_reg:x3; val_offset:1512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1512*FLEN/8, x4, x1, x2) + +inst_757: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x27893a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x4027893a; + valaddr_reg:x3; val_offset:1514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1514*FLEN/8, x4, x1, x2) + +inst_758: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1516*FLEN/8, x4, x1, x2) + +inst_759: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x1f6f2f and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e1f6f2f; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1518*FLEN/8, x4, x1, x2) + +inst_760: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0xfc and fm2 == 0x1f6f2f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x7e1f6f2f; + valaddr_reg:x3; val_offset:1520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1520*FLEN/8, x4, x1, x2) + +inst_761: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1522*FLEN/8, x4, x1, x2) + +inst_762: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1524*FLEN/8, x4, x1, x2) + +inst_763: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1526*FLEN/8, x4, x1, x2) + +inst_764: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1528*FLEN/8, x4, x1, x2) + +inst_765: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x1f6f2f and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e1f6f2f; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1530*FLEN/8, x4, x1, x2) + +inst_766: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1532*FLEN/8, x4, x1, x2) + +inst_767: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1534*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_7) + +inst_768: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x1f6f2f and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e1f6f2f; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1536*FLEN/8, x4, x1, x2) + +inst_769: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1538*FLEN/8, x4, x1, x2) + +inst_770: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x1f6f2f and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e1f6f2f; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1540*FLEN/8, x4, x1, x2) + +inst_771: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1542*FLEN/8, x4, x1, x2) + +inst_772: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1544*FLEN/8, x4, x1, x2) + +inst_773: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1546*FLEN/8, x4, x1, x2) + +inst_774: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1548*FLEN/8, x4, x1, x2) + +inst_775: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x1f6f2f and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e1f6f2f; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1550*FLEN/8, x4, x1, x2) + +inst_776: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1552*FLEN/8, x4, x1, x2) + +inst_777: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1554*FLEN/8, x4, x1, x2) + +inst_778: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1556*FLEN/8, x4, x1, x2) + +inst_779: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1558*FLEN/8, x4, x1, x2) + +inst_780: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1560*FLEN/8, x4, x1, x2) + +inst_781: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1562*FLEN/8, x4, x1, x2) + +inst_782: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1564*FLEN/8, x4, x1, x2) + +inst_783: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1566*FLEN/8, x4, x1, x2) + +inst_784: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1568*FLEN/8, x4, x1, x2) + +inst_785: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1570*FLEN/8, x4, x1, x2) + +inst_786: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x7f0; + valaddr_reg:x3; val_offset:1572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1572*FLEN/8, x4, x1, x2) + +inst_787: +// fs1 == 0 and fe1 == 0x81 and fm1 == 0x298a26 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x40a98a26; op2val:0x7f0; + valaddr_reg:x3; val_offset:1574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1574*FLEN/8, x4, x1, x2) + +inst_788: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x298a26 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x40a98a26; + valaddr_reg:x3; val_offset:1576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1576*FLEN/8, x4, x1, x2) + +inst_789: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0x81 and fm2 == 0x298a26 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x40a98a26; + valaddr_reg:x3; val_offset:1578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1578*FLEN/8, x4, x1, x2) + +inst_790: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1580*FLEN/8, x4, x1, x2) + +inst_791: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03c146 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03c146; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1582*FLEN/8, x4, x1, x2) + +inst_792: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x03c146 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0xfe03c146; + valaddr_reg:x3; val_offset:1584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1584*FLEN/8, x4, x1, x2) + +inst_793: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1586*FLEN/8, x4, x1, x2) + +inst_794: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1588*FLEN/8, x4, x1, x2) + +inst_795: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1590*FLEN/8, x4, x1, x2) + +inst_796: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1592*FLEN/8, x4, x1, x2) + +inst_797: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03c146 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03c146; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1594*FLEN/8, x4, x1, x2) + +inst_798: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1596*FLEN/8, x4, x1, x2) + +inst_799: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1598*FLEN/8, x4, x1, x2) + +inst_800: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03c146 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03c146; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1600*FLEN/8, x4, x1, x2) + +inst_801: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1602*FLEN/8, x4, x1, x2) + +inst_802: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03c146 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03c146; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1604*FLEN/8, x4, x1, x2) + +inst_803: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1606*FLEN/8, x4, x1, x2) + +inst_804: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1608*FLEN/8, x4, x1, x2) + +inst_805: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1610*FLEN/8, x4, x1, x2) + +inst_806: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1612*FLEN/8, x4, x1, x2) + +inst_807: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03c146 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03c146; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1614*FLEN/8, x4, x1, x2) + +inst_808: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1616*FLEN/8, x4, x1, x2) + +inst_809: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1618*FLEN/8, x4, x1, x2) + +inst_810: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1620*FLEN/8, x4, x1, x2) + +inst_811: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1622*FLEN/8, x4, x1, x2) + +inst_812: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1624*FLEN/8, x4, x1, x2) + +inst_813: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1626*FLEN/8, x4, x1, x2) + +inst_814: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1628*FLEN/8, x4, x1, x2) + +inst_815: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1630*FLEN/8, x4, x1, x2) + +inst_816: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x7f0; + valaddr_reg:x3; val_offset:1632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1632*FLEN/8, x4, x1, x2) + +inst_817: +// fs1 == 1 and fe1 == 0x81 and fm1 == 0x0c1b1e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc08c1b1e; op2val:0x7f0; + valaddr_reg:x3; val_offset:1634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1634*FLEN/8, x4, x1, x2) + +inst_818: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x0c1b1e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc08c1b1e; + valaddr_reg:x3; val_offset:1636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1636*FLEN/8, x4, x1, x2) + +inst_819: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x0c1b1e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0xc08c1b1e; + valaddr_reg:x3; val_offset:1638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1638*FLEN/8, x4, x1, x2) + +inst_820: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1640*FLEN/8, x4, x1, x2) + +inst_821: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x157915 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd157915; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1642*FLEN/8, x4, x1, x2) + +inst_822: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0xfa and fm2 == 0x157915 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0xfd157915; + valaddr_reg:x3; val_offset:1644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1644*FLEN/8, x4, x1, x2) + +inst_823: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1646*FLEN/8, x4, x1, x2) + +inst_824: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1648*FLEN/8, x4, x1, x2) + +inst_825: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x3ad75a and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfebad75a; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1650*FLEN/8, x4, x1, x2) + +inst_826: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0xfd and fm2 == 0x3ad75a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0xfebad75a; + valaddr_reg:x3; val_offset:1652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1652*FLEN/8, x4, x1, x2) + +inst_827: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1654*FLEN/8, x4, x1, x2) + +inst_828: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x157915 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd157915; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1656*FLEN/8, x4, x1, x2) + +inst_829: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1658*FLEN/8, x4, x1, x2) + +inst_830: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x3ad75a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfebad75a; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1660*FLEN/8, x4, x1, x2) + +inst_831: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1662*FLEN/8, x4, x1, x2) + +inst_832: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x157915 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd157915; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1664*FLEN/8, x4, x1, x2) + +inst_833: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1666*FLEN/8, x4, x1, x2) + +inst_834: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x157915 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd157915; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1668*FLEN/8, x4, x1, x2) + +inst_835: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1670*FLEN/8, x4, x1, x2) + +inst_836: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x3ad75a and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfebad75a; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1672*FLEN/8, x4, x1, x2) + +inst_837: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1674*FLEN/8, x4, x1, x2) + +inst_838: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x3ad75a and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfebad75a; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1676*FLEN/8, x4, x1, x2) + +inst_839: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1678*FLEN/8, x4, x1, x2) + +inst_840: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x3ad75a and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfebad75a; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1680*FLEN/8, x4, x1, x2) + +inst_841: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1682*FLEN/8, x4, x1, x2) + +inst_842: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x157915 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd157915; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1684*FLEN/8, x4, x1, x2) + +inst_843: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1686*FLEN/8, x4, x1, x2) + +inst_844: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1688*FLEN/8, x4, x1, x2) + +inst_845: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1690*FLEN/8, x4, x1, x2) + +inst_846: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1692*FLEN/8, x4, x1, x2) + +inst_847: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1694*FLEN/8, x4, x1, x2) + +inst_848: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1696*FLEN/8, x4, x1, x2) + +inst_849: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x7f0; + valaddr_reg:x3; val_offset:1698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1698*FLEN/8, x4, x1, x2) + +inst_850: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x1ef26a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf9ef26a; op2val:0x7f0; + valaddr_reg:x3; val_offset:1700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1700*FLEN/8, x4, x1, x2) + +inst_851: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x1ef26a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xbf9ef26a; + valaddr_reg:x3; val_offset:1702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1702*FLEN/8, x4, x1, x2) + +inst_852: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0x7f and fm2 == 0x1ef26a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0xbf9ef26a; + valaddr_reg:x3; val_offset:1704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1704*FLEN/8, x4, x1, x2) + +inst_853: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1706*FLEN/8, x4, x1, x2) + +inst_854: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x48a6ca and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdc8a6ca; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1708*FLEN/8, x4, x1, x2) + +inst_855: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0xfb and fm2 == 0x48a6ca and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0xfdc8a6ca; + valaddr_reg:x3; val_offset:1710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1710*FLEN/8, x4, x1, x2) + +inst_856: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1712*FLEN/8, x4, x1, x2) + +inst_857: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1714*FLEN/8, x4, x1, x2) + +inst_858: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7ad07d and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7ad07d; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1716*FLEN/8, x4, x1, x2) + +inst_859: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7ad07d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0xff7ad07d; + valaddr_reg:x3; val_offset:1718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1718*FLEN/8, x4, x1, x2) + +inst_860: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1720*FLEN/8, x4, x1, x2) + +inst_861: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x48a6ca and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdc8a6ca; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1722*FLEN/8, x4, x1, x2) + +inst_862: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1724*FLEN/8, x4, x1, x2) + +inst_863: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7ad07d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7ad07d; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1726*FLEN/8, x4, x1, x2) + +inst_864: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1728*FLEN/8, x4, x1, x2) + +inst_865: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x48a6ca and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdc8a6ca; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1730*FLEN/8, x4, x1, x2) + +inst_866: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1732*FLEN/8, x4, x1, x2) + +inst_867: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x48a6ca and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdc8a6ca; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1734*FLEN/8, x4, x1, x2) + +inst_868: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1736*FLEN/8, x4, x1, x2) + +inst_869: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7ad07d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7ad07d; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1738*FLEN/8, x4, x1, x2) + +inst_870: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1740*FLEN/8, x4, x1, x2) + +inst_871: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7ad07d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7ad07d; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1742*FLEN/8, x4, x1, x2) + +inst_872: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1744*FLEN/8, x4, x1, x2) + +inst_873: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7ad07d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7ad07d; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1746*FLEN/8, x4, x1, x2) + +inst_874: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1748*FLEN/8, x4, x1, x2) + +inst_875: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x48a6ca and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdc8a6ca; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1750*FLEN/8, x4, x1, x2) + +inst_876: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1752*FLEN/8, x4, x1, x2) + +inst_877: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1754*FLEN/8, x4, x1, x2) + +inst_878: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1756*FLEN/8, x4, x1, x2) + +inst_879: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1758*FLEN/8, x4, x1, x2) + +inst_880: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x7f0; + valaddr_reg:x3; val_offset:1760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1760*FLEN/8, x4, x1, x2) + +inst_881: +// fs1 == 1 and fe1 == 0x80 and fm1 == 0x555e8a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc0555e8a; op2val:0x7f0; + valaddr_reg:x3; val_offset:1762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1762*FLEN/8, x4, x1, x2) + +inst_882: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x555e8a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc0555e8a; + valaddr_reg:x3; val_offset:1764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1764*FLEN/8, x4, x1, x2) + +inst_883: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0x80 and fm2 == 0x555e8a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0xc0555e8a; + valaddr_reg:x3; val_offset:1766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1766*FLEN/8, x4, x1, x2) + +inst_884: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1768*FLEN/8, x4, x1, x2) + +inst_885: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x4500e4 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd4500e4; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1770*FLEN/8, x4, x1, x2) + +inst_886: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x4500e4 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0xfd4500e4; + valaddr_reg:x3; val_offset:1772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1772*FLEN/8, x4, x1, x2) + +inst_887: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1774*FLEN/8, x4, x1, x2) + +inst_888: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1776*FLEN/8, x4, x1, x2) + +inst_889: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x76411d and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfef6411d; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1778*FLEN/8, x4, x1, x2) + +inst_890: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x76411d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0xfef6411d; + valaddr_reg:x3; val_offset:1780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1780*FLEN/8, x4, x1, x2) + +inst_891: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1782*FLEN/8, x4, x1, x2) + +inst_892: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x4500e4 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd4500e4; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1784*FLEN/8, x4, x1, x2) + +inst_893: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1786*FLEN/8, x4, x1, x2) + +inst_894: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x76411d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfef6411d; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1788*FLEN/8, x4, x1, x2) + +inst_895: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1790*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_8) + +inst_896: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x4500e4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd4500e4; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1792*FLEN/8, x4, x1, x2) + +inst_897: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1794*FLEN/8, x4, x1, x2) + +inst_898: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x4500e4 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd4500e4; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1796*FLEN/8, x4, x1, x2) + +inst_899: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1798*FLEN/8, x4, x1, x2) + +inst_900: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x76411d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfef6411d; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1800*FLEN/8, x4, x1, x2) + +inst_901: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1802*FLEN/8, x4, x1, x2) + +inst_902: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x76411d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfef6411d; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1804*FLEN/8, x4, x1, x2) + +inst_903: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1806*FLEN/8, x4, x1, x2) + +inst_904: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x76411d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfef6411d; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1808*FLEN/8, x4, x1, x2) + +inst_905: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1810*FLEN/8, x4, x1, x2) + +inst_906: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x4500e4 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd4500e4; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1812*FLEN/8, x4, x1, x2) + +inst_907: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1814*FLEN/8, x4, x1, x2) + +inst_908: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1816*FLEN/8, x4, x1, x2) + +inst_909: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x7f0; + valaddr_reg:x3; val_offset:1818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1818*FLEN/8, x4, x1, x2) + +inst_910: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x517d72 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbfd17d72; op2val:0x7f0; + valaddr_reg:x3; val_offset:1820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1820*FLEN/8, x4, x1, x2) + +inst_911: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x517d72 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xbfd17d72; + valaddr_reg:x3; val_offset:1822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1822*FLEN/8, x4, x1, x2) + +inst_912: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x517d72 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0xbfd17d72; + valaddr_reg:x3; val_offset:1824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1824*FLEN/8, x4, x1, x2) + +inst_913: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1826*FLEN/8, x4, x1, x2) + +inst_914: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2b7553 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2b7553; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1828*FLEN/8, x4, x1, x2) + +inst_915: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0xfc and fm2 == 0x2b7553 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0xfe2b7553; + valaddr_reg:x3; val_offset:1830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1830*FLEN/8, x4, x1, x2) + +inst_916: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1832*FLEN/8, x4, x1, x2) + +inst_917: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1834*FLEN/8, x4, x1, x2) + +inst_918: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1836*FLEN/8, x4, x1, x2) + +inst_919: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1838*FLEN/8, x4, x1, x2) + +inst_920: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2b7553 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2b7553; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1840*FLEN/8, x4, x1, x2) + +inst_921: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1842*FLEN/8, x4, x1, x2) + +inst_922: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1844*FLEN/8, x4, x1, x2) + +inst_923: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2b7553 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2b7553; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1846*FLEN/8, x4, x1, x2) + +inst_924: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1848*FLEN/8, x4, x1, x2) + +inst_925: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2b7553 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2b7553; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1850*FLEN/8, x4, x1, x2) + +inst_926: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1852*FLEN/8, x4, x1, x2) + +inst_927: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1854*FLEN/8, x4, x1, x2) + +inst_928: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1856*FLEN/8, x4, x1, x2) + +inst_929: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1858*FLEN/8, x4, x1, x2) + +inst_930: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2b7553 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2b7553; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1860*FLEN/8, x4, x1, x2) + +inst_931: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x7f0; + valaddr_reg:x3; val_offset:1862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1862*FLEN/8, x4, x1, x2) + +inst_932: +// fs1 == 1 and fe1 == 0x81 and fm1 == 0x365363 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc0b65363; op2val:0x7f0; + valaddr_reg:x3; val_offset:1864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1864*FLEN/8, x4, x1, x2) + +inst_933: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x365363 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc0b65363; + valaddr_reg:x3; val_offset:1866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1866*FLEN/8, x4, x1, x2) + +inst_934: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0x81 and fm2 == 0x365363 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0xc0b65363; + valaddr_reg:x3; val_offset:1868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1868*FLEN/8, x4, x1, x2) + +inst_935: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1870*FLEN/8, x4, x1, x2) + +inst_936: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7f0; + valaddr_reg:x3; val_offset:1872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1872*FLEN/8, x4, x1, x2) + +inst_937: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1874*FLEN/8, x4, x1, x2) + +inst_938: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1876*FLEN/8, x4, x1, x2) + +inst_939: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1878*FLEN/8, x4, x1, x2) + +inst_940: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1880*FLEN/8, x4, x1, x2) + +inst_941: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1882*FLEN/8, x4, x1, x2) + +inst_942: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1884*FLEN/8, x4, x1, x2) + +inst_943: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1886*FLEN/8, x4, x1, x2) + +inst_944: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1888*FLEN/8, x4, x1, x2) + +inst_945: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1890*FLEN/8, x4, x1, x2) + +inst_946: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1892*FLEN/8, x4, x1, x2) + +inst_947: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1894*FLEN/8, x4, x1, x2) + +inst_948: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1896*FLEN/8, x4, x1, x2) + +inst_949: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1898*FLEN/8, x4, x1, x2) + +inst_950: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1900*FLEN/8, x4, x1, x2) + +inst_951: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1902*FLEN/8, x4, x1, x2) + +inst_952: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1904*FLEN/8, x4, x1, x2) + +inst_953: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1906*FLEN/8, x4, x1, x2) + +inst_954: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1908*FLEN/8, x4, x1, x2) + +inst_955: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1910*FLEN/8, x4, x1, x2) + +inst_956: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x183299 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d183299; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1912*FLEN/8, x4, x1, x2) + +inst_957: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1914*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1079736044,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1079736044,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(1079736044,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1067571236,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1067571236,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(1067571236,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1075400281,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1075400281,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(1075400281,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1073284044,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1073284044,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(1073284044,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1085985586,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1085985586,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(1085985586,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3229168101,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3229168101,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(3229168101,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3216233944,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3216233944,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(3216233944,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3223237779,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3223237779,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(3223237779,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3223518677,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3223518677,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(3223518677,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3216165096,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3216165096,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(3216165096,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1083156072,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1083156072,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(1083156072,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1081416222,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1081416222,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(1081416222,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1084043423,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1084043423,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(1084043423,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1076332858,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1076332858,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1076332858,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1084852774,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1084852774,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(1084852774,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3230407454,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3230407454,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(3230407454,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3214865002,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3214865002,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(3214865002,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3226820234,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3226820234,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(3226820234,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3218177394,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3218177394,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(3218177394,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3233174371,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3233174371,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(3233174371,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_5: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_6: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_7: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_8: + .fill 124*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/F_Zfa/src/fminm_b1-01.S b/riscv-test-suite/rv32i_m/F_Zfa/src/fminm_b1-01.S new file mode 100644 index 000000000..b0beeb997 --- /dev/null +++ b/riscv-test-suite/rv32i_m/F_Zfa/src/fminm_b1-01.S @@ -0,0 +1,5889 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:35:43 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fminm.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fminm.s instruction of the RISC-V RV32F_Zicsr_Zfa,RV32FD_Zicsr_Zfa,RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fminm_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr_Zfa,RV32IFD_Zicsr_Zfa,RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fminm_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs2 == rd != rs1, rs1==f30, rs2==f31, rd==f31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f31; dest:f31; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rs2 != rd, rs1==f29, rs2==f29, rd==f30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f29; op2:f29; dest:f30; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f30, f29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs1 == rd != rs2, rs1==f28, rs2==f30, rd==f28,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f28; op2:f30; dest:f28; op1val:0x0; op2val:0x1; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f28, f28, f30, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs1 == rs2 == rd, rs1==f27, rs2==f27, rd==f27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f27; op2:f27; dest:f27; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f27, f27, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f31, rs2==f28, rd==f29,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f31; op2:f28; dest:f29; op1val:0x0; op2val:0x2; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f29, f31, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rs2==f24, rd==f26,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f25; op2:f24; dest:f26; op1val:0x0; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f26, f25, f24, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f24, rs2==f26, rd==f25,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f24; op2:f26; dest:f25; op1val:0x0; op2val:0x7fffff; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f25, f24, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f26, rs2==f25, rd==f24,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f26; op2:f25; dest:f24; op1val:0x0; op2val:0x807fffff; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f24, f26, f25, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f22, rs2==f21, rd==f23,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f22; op2:f21; dest:f23; op1val:0x0; op2val:0x800000; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f23, f22, f21, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rs2==f23, rd==f22,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f21; op2:f23; dest:f22; op1val:0x0; op2val:0x80800000; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f22, f21, f23, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f23, rs2==f22, rd==f21,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f23; op2:f22; dest:f21; op1val:0x0; op2val:0x800001; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f21, f23, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rs2==f18, rd==f20,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f19; op2:f18; dest:f20; op1val:0x0; op2val:0x80855555; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f20, f19, f18, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f18, rs2==f20, rd==f19,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f18; op2:f20; dest:f19; op1val:0x0; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f19, f18, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f20, rs2==f19, rd==f18,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f20; op2:f19; dest:f18; op1val:0x0; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f18, f20, f19, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f16, rs2==f15, rd==f17,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f16; op2:f15; dest:f17; op1val:0x0; op2val:0x7f800000; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f17, f16, f15, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rs2==f17, rd==f16,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f15; op2:f17; dest:f16; op1val:0x0; op2val:0xff800000; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f16, f15, f17, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f17, rs2==f16, rd==f15,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f17; op2:f16; dest:f15; op1val:0x0; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f15, f17, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rs2==f12, rd==f14,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f13; op2:f12; dest:f14; op1val:0x0; op2val:0xffc00000; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f14, f13, f12, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f12, rs2==f14, rd==f13,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f12; op2:f14; dest:f13; op1val:0x0; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f13, f12, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f14, rs2==f13, rd==f12,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f14; op2:f13; dest:f12; op1val:0x0; op2val:0xffc55555; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f12, f14, f13, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f10, rs2==f9, rd==f11,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f10; op2:f9; dest:f11; op1val:0x0; op2val:0x7f800001; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f11, f10, f9, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rs2==f11, rd==f10,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f9; op2:f11; dest:f10; op1val:0x0; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f10, f9, f11, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f11, rs2==f10, rd==f9,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f11; op2:f10; dest:f9; op1val:0x0; op2val:0x3f800000; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f9, f11, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rs2==f6, rd==f8,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f7; op2:f6; dest:f8; op1val:0x0; op2val:0xbf800000; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f8, f7, f6, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f6, rs2==f8, rd==f7,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f6; op2:f8; dest:f7; op1val:0x80000000; op2val:0x0; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f7, f6, f8, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f8, rs2==f7, rd==f6,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f8; op2:f7; dest:f6; op1val:0x80000000; op2val:0x80000000; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f6, f8, f7, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f4, rs2==f3, rd==f5,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f4; op2:f3; dest:f5; op1val:0x80000000; op2val:0x1; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f5, f4, f3, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rs2==f5, rd==f4,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f3; op2:f5; dest:f4; op1val:0x80000000; op2val:0x80000001; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f4, f3, f5, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f5, rs2==f4, rd==f3,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f5; op2:f4; dest:f3; op1val:0x80000000; op2val:0x2; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f3, f5, f4, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rs2==f0, rd==f2,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f1; op2:f0; dest:f2; op1val:0x80000000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f2, f1, f0, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f0, rs2==f2, rd==f1,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f0; op2:f2; dest:f1; op1val:0x80000000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f1, f0, f2, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f2, rs2==f1, rd==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f2; op2:f1; dest:f0; op1val:0x80000000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f0, f2, f1, 0, 0, x3, 62*FLEN/8, x4, x1, x2) + +inst_32: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x800000; + valaddr_reg:x3; val_offset:64*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 64*FLEN/8, x4, x1, x2) + +inst_33: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x80800000; + valaddr_reg:x3; val_offset:66*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 66*FLEN/8, x4, x1, x2) + +inst_34: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x800001; + valaddr_reg:x3; val_offset:68*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 68*FLEN/8, x4, x1, x2) + +inst_35: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x80855555; + valaddr_reg:x3; val_offset:70*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 70*FLEN/8, x4, x1, x2) + +inst_36: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:72*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 72*FLEN/8, x4, x1, x2) + +inst_37: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:74*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 74*FLEN/8, x4, x1, x2) + +inst_38: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:76*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 76*FLEN/8, x4, x1, x2) + +inst_39: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xff800000; + valaddr_reg:x3; val_offset:78*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 78*FLEN/8, x4, x1, x2) + +inst_40: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:80*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 80*FLEN/8, x4, x1, x2) + +inst_41: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:82*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 82*FLEN/8, x4, x1, x2) + +inst_42: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:84*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 84*FLEN/8, x4, x1, x2) + +inst_43: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:86*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 86*FLEN/8, x4, x1, x2) + +inst_44: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:88*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 88*FLEN/8, x4, x1, x2) + +inst_45: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:90*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 90*FLEN/8, x4, x1, x2) + +inst_46: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:92*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 92*FLEN/8, x4, x1, x2) + +inst_47: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:94*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 94*FLEN/8, x4, x1, x2) + +inst_48: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x0; + valaddr_reg:x3; val_offset:96*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 96*FLEN/8, x4, x1, x2) + +inst_49: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x80000000; + valaddr_reg:x3; val_offset:98*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 98*FLEN/8, x4, x1, x2) + +inst_50: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x1; + valaddr_reg:x3; val_offset:100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 100*FLEN/8, x4, x1, x2) + +inst_51: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x80000001; + valaddr_reg:x3; val_offset:102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 102*FLEN/8, x4, x1, x2) + +inst_52: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x2; + valaddr_reg:x3; val_offset:104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 104*FLEN/8, x4, x1, x2) + +inst_53: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 106*FLEN/8, x4, x1, x2) + +inst_54: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7fffff; + valaddr_reg:x3; val_offset:108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 108*FLEN/8, x4, x1, x2) + +inst_55: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x807fffff; + valaddr_reg:x3; val_offset:110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 110*FLEN/8, x4, x1, x2) + +inst_56: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x800000; + valaddr_reg:x3; val_offset:112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 112*FLEN/8, x4, x1, x2) + +inst_57: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x80800000; + valaddr_reg:x3; val_offset:114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 114*FLEN/8, x4, x1, x2) + +inst_58: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x800001; + valaddr_reg:x3; val_offset:116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 116*FLEN/8, x4, x1, x2) + +inst_59: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x80855555; + valaddr_reg:x3; val_offset:118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 118*FLEN/8, x4, x1, x2) + +inst_60: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 120*FLEN/8, x4, x1, x2) + +inst_61: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 122*FLEN/8, x4, x1, x2) + +inst_62: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7f800000; + valaddr_reg:x3; val_offset:124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 124*FLEN/8, x4, x1, x2) + +inst_63: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xff800000; + valaddr_reg:x3; val_offset:126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 126*FLEN/8, x4, x1, x2) + +inst_64: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 128*FLEN/8, x4, x1, x2) + +inst_65: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xffc00000; + valaddr_reg:x3; val_offset:130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 130*FLEN/8, x4, x1, x2) + +inst_66: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 132*FLEN/8, x4, x1, x2) + +inst_67: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xffc55555; + valaddr_reg:x3; val_offset:134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 134*FLEN/8, x4, x1, x2) + +inst_68: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7f800001; + valaddr_reg:x3; val_offset:136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 136*FLEN/8, x4, x1, x2) + +inst_69: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 138*FLEN/8, x4, x1, x2) + +inst_70: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x3f800000; + valaddr_reg:x3; val_offset:140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 140*FLEN/8, x4, x1, x2) + +inst_71: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xbf800000; + valaddr_reg:x3; val_offset:142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 142*FLEN/8, x4, x1, x2) + +inst_72: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x0; + valaddr_reg:x3; val_offset:144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 144*FLEN/8, x4, x1, x2) + +inst_73: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x80000000; + valaddr_reg:x3; val_offset:146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 146*FLEN/8, x4, x1, x2) + +inst_74: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x1; + valaddr_reg:x3; val_offset:148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 148*FLEN/8, x4, x1, x2) + +inst_75: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x80000001; + valaddr_reg:x3; val_offset:150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 150*FLEN/8, x4, x1, x2) + +inst_76: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x2; + valaddr_reg:x3; val_offset:152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 152*FLEN/8, x4, x1, x2) + +inst_77: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 154*FLEN/8, x4, x1, x2) + +inst_78: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7fffff; + valaddr_reg:x3; val_offset:156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 156*FLEN/8, x4, x1, x2) + +inst_79: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x807fffff; + valaddr_reg:x3; val_offset:158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 158*FLEN/8, x4, x1, x2) + +inst_80: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x800000; + valaddr_reg:x3; val_offset:160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 160*FLEN/8, x4, x1, x2) + +inst_81: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x80800000; + valaddr_reg:x3; val_offset:162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 162*FLEN/8, x4, x1, x2) + +inst_82: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x800001; + valaddr_reg:x3; val_offset:164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 164*FLEN/8, x4, x1, x2) + +inst_83: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x80855555; + valaddr_reg:x3; val_offset:166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 166*FLEN/8, x4, x1, x2) + +inst_84: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 168*FLEN/8, x4, x1, x2) + +inst_85: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 170*FLEN/8, x4, x1, x2) + +inst_86: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7f800000; + valaddr_reg:x3; val_offset:172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 172*FLEN/8, x4, x1, x2) + +inst_87: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xff800000; + valaddr_reg:x3; val_offset:174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 174*FLEN/8, x4, x1, x2) + +inst_88: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 176*FLEN/8, x4, x1, x2) + +inst_89: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xffc00000; + valaddr_reg:x3; val_offset:178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 178*FLEN/8, x4, x1, x2) + +inst_90: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 180*FLEN/8, x4, x1, x2) + +inst_91: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xffc55555; + valaddr_reg:x3; val_offset:182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 182*FLEN/8, x4, x1, x2) + +inst_92: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7f800001; + valaddr_reg:x3; val_offset:184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 184*FLEN/8, x4, x1, x2) + +inst_93: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 186*FLEN/8, x4, x1, x2) + +inst_94: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x3f800000; + valaddr_reg:x3; val_offset:188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 188*FLEN/8, x4, x1, x2) + +inst_95: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xbf800000; + valaddr_reg:x3; val_offset:190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 190*FLEN/8, x4, x1, x2) + +inst_96: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x0; + valaddr_reg:x3; val_offset:192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 192*FLEN/8, x4, x1, x2) + +inst_97: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x80000000; + valaddr_reg:x3; val_offset:194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 194*FLEN/8, x4, x1, x2) + +inst_98: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x1; + valaddr_reg:x3; val_offset:196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 196*FLEN/8, x4, x1, x2) + +inst_99: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x80000001; + valaddr_reg:x3; val_offset:198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 198*FLEN/8, x4, x1, x2) + +inst_100: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x2; + valaddr_reg:x3; val_offset:200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 200*FLEN/8, x4, x1, x2) + +inst_101: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 202*FLEN/8, x4, x1, x2) + +inst_102: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7fffff; + valaddr_reg:x3; val_offset:204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 204*FLEN/8, x4, x1, x2) + +inst_103: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x807fffff; + valaddr_reg:x3; val_offset:206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 206*FLEN/8, x4, x1, x2) + +inst_104: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x800000; + valaddr_reg:x3; val_offset:208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 208*FLEN/8, x4, x1, x2) + +inst_105: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x80800000; + valaddr_reg:x3; val_offset:210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 210*FLEN/8, x4, x1, x2) + +inst_106: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x800001; + valaddr_reg:x3; val_offset:212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 212*FLEN/8, x4, x1, x2) + +inst_107: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x80855555; + valaddr_reg:x3; val_offset:214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 214*FLEN/8, x4, x1, x2) + +inst_108: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 216*FLEN/8, x4, x1, x2) + +inst_109: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 218*FLEN/8, x4, x1, x2) + +inst_110: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7f800000; + valaddr_reg:x3; val_offset:220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 220*FLEN/8, x4, x1, x2) + +inst_111: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xff800000; + valaddr_reg:x3; val_offset:222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 222*FLEN/8, x4, x1, x2) + +inst_112: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 224*FLEN/8, x4, x1, x2) + +inst_113: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xffc00000; + valaddr_reg:x3; val_offset:226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 226*FLEN/8, x4, x1, x2) + +inst_114: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 228*FLEN/8, x4, x1, x2) + +inst_115: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xffc55555; + valaddr_reg:x3; val_offset:230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 230*FLEN/8, x4, x1, x2) + +inst_116: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7f800001; + valaddr_reg:x3; val_offset:232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 232*FLEN/8, x4, x1, x2) + +inst_117: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 234*FLEN/8, x4, x1, x2) + +inst_118: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x3f800000; + valaddr_reg:x3; val_offset:236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 236*FLEN/8, x4, x1, x2) + +inst_119: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xbf800000; + valaddr_reg:x3; val_offset:238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 238*FLEN/8, x4, x1, x2) + +inst_120: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x0; + valaddr_reg:x3; val_offset:240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 240*FLEN/8, x4, x1, x2) + +inst_121: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x80000000; + valaddr_reg:x3; val_offset:242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 242*FLEN/8, x4, x1, x2) + +inst_122: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x1; + valaddr_reg:x3; val_offset:244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 244*FLEN/8, x4, x1, x2) + +inst_123: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x80000001; + valaddr_reg:x3; val_offset:246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 246*FLEN/8, x4, x1, x2) + +inst_124: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x2; + valaddr_reg:x3; val_offset:248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 248*FLEN/8, x4, x1, x2) + +inst_125: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 250*FLEN/8, x4, x1, x2) + +inst_126: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7fffff; + valaddr_reg:x3; val_offset:252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 252*FLEN/8, x4, x1, x2) + +inst_127: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x807fffff; + valaddr_reg:x3; val_offset:254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 254*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_2) + +inst_128: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x800000; + valaddr_reg:x3; val_offset:256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 256*FLEN/8, x4, x1, x2) + +inst_129: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x80800000; + valaddr_reg:x3; val_offset:258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 258*FLEN/8, x4, x1, x2) + +inst_130: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x800001; + valaddr_reg:x3; val_offset:260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 260*FLEN/8, x4, x1, x2) + +inst_131: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x80855555; + valaddr_reg:x3; val_offset:262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 262*FLEN/8, x4, x1, x2) + +inst_132: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 264*FLEN/8, x4, x1, x2) + +inst_133: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 266*FLEN/8, x4, x1, x2) + +inst_134: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7f800000; + valaddr_reg:x3; val_offset:268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 268*FLEN/8, x4, x1, x2) + +inst_135: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xff800000; + valaddr_reg:x3; val_offset:270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 270*FLEN/8, x4, x1, x2) + +inst_136: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 272*FLEN/8, x4, x1, x2) + +inst_137: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xffc00000; + valaddr_reg:x3; val_offset:274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 274*FLEN/8, x4, x1, x2) + +inst_138: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 276*FLEN/8, x4, x1, x2) + +inst_139: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xffc55555; + valaddr_reg:x3; val_offset:278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 278*FLEN/8, x4, x1, x2) + +inst_140: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7f800001; + valaddr_reg:x3; val_offset:280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 280*FLEN/8, x4, x1, x2) + +inst_141: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 282*FLEN/8, x4, x1, x2) + +inst_142: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x3f800000; + valaddr_reg:x3; val_offset:284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 284*FLEN/8, x4, x1, x2) + +inst_143: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xbf800000; + valaddr_reg:x3; val_offset:286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 286*FLEN/8, x4, x1, x2) + +inst_144: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x0; + valaddr_reg:x3; val_offset:288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 288*FLEN/8, x4, x1, x2) + +inst_145: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x80000000; + valaddr_reg:x3; val_offset:290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 290*FLEN/8, x4, x1, x2) + +inst_146: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x1; + valaddr_reg:x3; val_offset:292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 292*FLEN/8, x4, x1, x2) + +inst_147: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x80000001; + valaddr_reg:x3; val_offset:294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 294*FLEN/8, x4, x1, x2) + +inst_148: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x2; + valaddr_reg:x3; val_offset:296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 296*FLEN/8, x4, x1, x2) + +inst_149: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 298*FLEN/8, x4, x1, x2) + +inst_150: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7fffff; + valaddr_reg:x3; val_offset:300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 300*FLEN/8, x4, x1, x2) + +inst_151: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x807fffff; + valaddr_reg:x3; val_offset:302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 302*FLEN/8, x4, x1, x2) + +inst_152: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x800000; + valaddr_reg:x3; val_offset:304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 304*FLEN/8, x4, x1, x2) + +inst_153: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x80800000; + valaddr_reg:x3; val_offset:306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 306*FLEN/8, x4, x1, x2) + +inst_154: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x800001; + valaddr_reg:x3; val_offset:308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 308*FLEN/8, x4, x1, x2) + +inst_155: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x80855555; + valaddr_reg:x3; val_offset:310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 310*FLEN/8, x4, x1, x2) + +inst_156: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 312*FLEN/8, x4, x1, x2) + +inst_157: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 314*FLEN/8, x4, x1, x2) + +inst_158: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7f800000; + valaddr_reg:x3; val_offset:316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 316*FLEN/8, x4, x1, x2) + +inst_159: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xff800000; + valaddr_reg:x3; val_offset:318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 318*FLEN/8, x4, x1, x2) + +inst_160: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 320*FLEN/8, x4, x1, x2) + +inst_161: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xffc00000; + valaddr_reg:x3; val_offset:322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 322*FLEN/8, x4, x1, x2) + +inst_162: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 324*FLEN/8, x4, x1, x2) + +inst_163: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xffc55555; + valaddr_reg:x3; val_offset:326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 326*FLEN/8, x4, x1, x2) + +inst_164: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7f800001; + valaddr_reg:x3; val_offset:328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 328*FLEN/8, x4, x1, x2) + +inst_165: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 330*FLEN/8, x4, x1, x2) + +inst_166: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x3f800000; + valaddr_reg:x3; val_offset:332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 332*FLEN/8, x4, x1, x2) + +inst_167: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xbf800000; + valaddr_reg:x3; val_offset:334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 334*FLEN/8, x4, x1, x2) + +inst_168: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x0; + valaddr_reg:x3; val_offset:336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 336*FLEN/8, x4, x1, x2) + +inst_169: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x80000000; + valaddr_reg:x3; val_offset:338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 338*FLEN/8, x4, x1, x2) + +inst_170: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x1; + valaddr_reg:x3; val_offset:340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 340*FLEN/8, x4, x1, x2) + +inst_171: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x80000001; + valaddr_reg:x3; val_offset:342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 342*FLEN/8, x4, x1, x2) + +inst_172: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x2; + valaddr_reg:x3; val_offset:344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 344*FLEN/8, x4, x1, x2) + +inst_173: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 346*FLEN/8, x4, x1, x2) + +inst_174: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7fffff; + valaddr_reg:x3; val_offset:348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 348*FLEN/8, x4, x1, x2) + +inst_175: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x807fffff; + valaddr_reg:x3; val_offset:350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 350*FLEN/8, x4, x1, x2) + +inst_176: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x800000; + valaddr_reg:x3; val_offset:352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 352*FLEN/8, x4, x1, x2) + +inst_177: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x80800000; + valaddr_reg:x3; val_offset:354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 354*FLEN/8, x4, x1, x2) + +inst_178: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x800001; + valaddr_reg:x3; val_offset:356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 356*FLEN/8, x4, x1, x2) + +inst_179: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x80855555; + valaddr_reg:x3; val_offset:358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 358*FLEN/8, x4, x1, x2) + +inst_180: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 360*FLEN/8, x4, x1, x2) + +inst_181: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 362*FLEN/8, x4, x1, x2) + +inst_182: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7f800000; + valaddr_reg:x3; val_offset:364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 364*FLEN/8, x4, x1, x2) + +inst_183: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xff800000; + valaddr_reg:x3; val_offset:366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 366*FLEN/8, x4, x1, x2) + +inst_184: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 368*FLEN/8, x4, x1, x2) + +inst_185: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xffc00000; + valaddr_reg:x3; val_offset:370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 370*FLEN/8, x4, x1, x2) + +inst_186: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 372*FLEN/8, x4, x1, x2) + +inst_187: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xffc55555; + valaddr_reg:x3; val_offset:374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 374*FLEN/8, x4, x1, x2) + +inst_188: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7f800001; + valaddr_reg:x3; val_offset:376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 376*FLEN/8, x4, x1, x2) + +inst_189: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 378*FLEN/8, x4, x1, x2) + +inst_190: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x3f800000; + valaddr_reg:x3; val_offset:380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 380*FLEN/8, x4, x1, x2) + +inst_191: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xbf800000; + valaddr_reg:x3; val_offset:382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 382*FLEN/8, x4, x1, x2) + +inst_192: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x0; + valaddr_reg:x3; val_offset:384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 384*FLEN/8, x4, x1, x2) + +inst_193: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 386*FLEN/8, x4, x1, x2) + +inst_194: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x1; + valaddr_reg:x3; val_offset:388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 388*FLEN/8, x4, x1, x2) + +inst_195: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 390*FLEN/8, x4, x1, x2) + +inst_196: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x2; + valaddr_reg:x3; val_offset:392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 392*FLEN/8, x4, x1, x2) + +inst_197: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 394*FLEN/8, x4, x1, x2) + +inst_198: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 396*FLEN/8, x4, x1, x2) + +inst_199: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 398*FLEN/8, x4, x1, x2) + +inst_200: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x800000; + valaddr_reg:x3; val_offset:400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 400*FLEN/8, x4, x1, x2) + +inst_201: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 402*FLEN/8, x4, x1, x2) + +inst_202: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x800001; + valaddr_reg:x3; val_offset:404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 404*FLEN/8, x4, x1, x2) + +inst_203: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 406*FLEN/8, x4, x1, x2) + +inst_204: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 408*FLEN/8, x4, x1, x2) + +inst_205: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 410*FLEN/8, x4, x1, x2) + +inst_206: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 412*FLEN/8, x4, x1, x2) + +inst_207: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 414*FLEN/8, x4, x1, x2) + +inst_208: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 416*FLEN/8, x4, x1, x2) + +inst_209: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 418*FLEN/8, x4, x1, x2) + +inst_210: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 420*FLEN/8, x4, x1, x2) + +inst_211: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 422*FLEN/8, x4, x1, x2) + +inst_212: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 424*FLEN/8, x4, x1, x2) + +inst_213: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 426*FLEN/8, x4, x1, x2) + +inst_214: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 428*FLEN/8, x4, x1, x2) + +inst_215: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 430*FLEN/8, x4, x1, x2) + +inst_216: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x0; + valaddr_reg:x3; val_offset:432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 432*FLEN/8, x4, x1, x2) + +inst_217: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 434*FLEN/8, x4, x1, x2) + +inst_218: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x1; + valaddr_reg:x3; val_offset:436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 436*FLEN/8, x4, x1, x2) + +inst_219: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 438*FLEN/8, x4, x1, x2) + +inst_220: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x2; + valaddr_reg:x3; val_offset:440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 440*FLEN/8, x4, x1, x2) + +inst_221: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 442*FLEN/8, x4, x1, x2) + +inst_222: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 444*FLEN/8, x4, x1, x2) + +inst_223: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 446*FLEN/8, x4, x1, x2) + +inst_224: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x800000; + valaddr_reg:x3; val_offset:448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 448*FLEN/8, x4, x1, x2) + +inst_225: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 450*FLEN/8, x4, x1, x2) + +inst_226: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x800001; + valaddr_reg:x3; val_offset:452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 452*FLEN/8, x4, x1, x2) + +inst_227: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 454*FLEN/8, x4, x1, x2) + +inst_228: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 456*FLEN/8, x4, x1, x2) + +inst_229: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 458*FLEN/8, x4, x1, x2) + +inst_230: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 460*FLEN/8, x4, x1, x2) + +inst_231: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 462*FLEN/8, x4, x1, x2) + +inst_232: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 464*FLEN/8, x4, x1, x2) + +inst_233: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 466*FLEN/8, x4, x1, x2) + +inst_234: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 468*FLEN/8, x4, x1, x2) + +inst_235: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 470*FLEN/8, x4, x1, x2) + +inst_236: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 472*FLEN/8, x4, x1, x2) + +inst_237: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 474*FLEN/8, x4, x1, x2) + +inst_238: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 476*FLEN/8, x4, x1, x2) + +inst_239: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 478*FLEN/8, x4, x1, x2) + +inst_240: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x0; + valaddr_reg:x3; val_offset:480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 480*FLEN/8, x4, x1, x2) + +inst_241: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x80000000; + valaddr_reg:x3; val_offset:482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 482*FLEN/8, x4, x1, x2) + +inst_242: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x1; + valaddr_reg:x3; val_offset:484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 484*FLEN/8, x4, x1, x2) + +inst_243: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x80000001; + valaddr_reg:x3; val_offset:486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 486*FLEN/8, x4, x1, x2) + +inst_244: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x2; + valaddr_reg:x3; val_offset:488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 488*FLEN/8, x4, x1, x2) + +inst_245: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 490*FLEN/8, x4, x1, x2) + +inst_246: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7fffff; + valaddr_reg:x3; val_offset:492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 492*FLEN/8, x4, x1, x2) + +inst_247: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x807fffff; + valaddr_reg:x3; val_offset:494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 494*FLEN/8, x4, x1, x2) + +inst_248: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x800000; + valaddr_reg:x3; val_offset:496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 496*FLEN/8, x4, x1, x2) + +inst_249: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x80800000; + valaddr_reg:x3; val_offset:498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 498*FLEN/8, x4, x1, x2) + +inst_250: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x800001; + valaddr_reg:x3; val_offset:500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 500*FLEN/8, x4, x1, x2) + +inst_251: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x80855555; + valaddr_reg:x3; val_offset:502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 502*FLEN/8, x4, x1, x2) + +inst_252: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 504*FLEN/8, x4, x1, x2) + +inst_253: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 506*FLEN/8, x4, x1, x2) + +inst_254: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7f800000; + valaddr_reg:x3; val_offset:508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 508*FLEN/8, x4, x1, x2) + +inst_255: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xff800000; + valaddr_reg:x3; val_offset:510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 510*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_3) + +inst_256: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 512*FLEN/8, x4, x1, x2) + +inst_257: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xffc00000; + valaddr_reg:x3; val_offset:514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 514*FLEN/8, x4, x1, x2) + +inst_258: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 516*FLEN/8, x4, x1, x2) + +inst_259: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xffc55555; + valaddr_reg:x3; val_offset:518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 518*FLEN/8, x4, x1, x2) + +inst_260: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7f800001; + valaddr_reg:x3; val_offset:520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 520*FLEN/8, x4, x1, x2) + +inst_261: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 522*FLEN/8, x4, x1, x2) + +inst_262: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x3f800000; + valaddr_reg:x3; val_offset:524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 524*FLEN/8, x4, x1, x2) + +inst_263: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xbf800000; + valaddr_reg:x3; val_offset:526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 526*FLEN/8, x4, x1, x2) + +inst_264: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x0; + valaddr_reg:x3; val_offset:528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 528*FLEN/8, x4, x1, x2) + +inst_265: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x80000000; + valaddr_reg:x3; val_offset:530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 530*FLEN/8, x4, x1, x2) + +inst_266: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x1; + valaddr_reg:x3; val_offset:532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 532*FLEN/8, x4, x1, x2) + +inst_267: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x80000001; + valaddr_reg:x3; val_offset:534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 534*FLEN/8, x4, x1, x2) + +inst_268: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x2; + valaddr_reg:x3; val_offset:536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 536*FLEN/8, x4, x1, x2) + +inst_269: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 538*FLEN/8, x4, x1, x2) + +inst_270: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7fffff; + valaddr_reg:x3; val_offset:540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 540*FLEN/8, x4, x1, x2) + +inst_271: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x807fffff; + valaddr_reg:x3; val_offset:542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 542*FLEN/8, x4, x1, x2) + +inst_272: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x800000; + valaddr_reg:x3; val_offset:544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 544*FLEN/8, x4, x1, x2) + +inst_273: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x80800000; + valaddr_reg:x3; val_offset:546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 546*FLEN/8, x4, x1, x2) + +inst_274: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x800001; + valaddr_reg:x3; val_offset:548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 548*FLEN/8, x4, x1, x2) + +inst_275: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x80855555; + valaddr_reg:x3; val_offset:550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 550*FLEN/8, x4, x1, x2) + +inst_276: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 552*FLEN/8, x4, x1, x2) + +inst_277: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 554*FLEN/8, x4, x1, x2) + +inst_278: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7f800000; + valaddr_reg:x3; val_offset:556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 556*FLEN/8, x4, x1, x2) + +inst_279: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xff800000; + valaddr_reg:x3; val_offset:558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 558*FLEN/8, x4, x1, x2) + +inst_280: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 560*FLEN/8, x4, x1, x2) + +inst_281: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xffc00000; + valaddr_reg:x3; val_offset:562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 562*FLEN/8, x4, x1, x2) + +inst_282: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 564*FLEN/8, x4, x1, x2) + +inst_283: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xffc55555; + valaddr_reg:x3; val_offset:566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 566*FLEN/8, x4, x1, x2) + +inst_284: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7f800001; + valaddr_reg:x3; val_offset:568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 568*FLEN/8, x4, x1, x2) + +inst_285: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 570*FLEN/8, x4, x1, x2) + +inst_286: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x3f800000; + valaddr_reg:x3; val_offset:572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 572*FLEN/8, x4, x1, x2) + +inst_287: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xbf800000; + valaddr_reg:x3; val_offset:574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 574*FLEN/8, x4, x1, x2) + +inst_288: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x0; + valaddr_reg:x3; val_offset:576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 576*FLEN/8, x4, x1, x2) + +inst_289: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x80000000; + valaddr_reg:x3; val_offset:578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 578*FLEN/8, x4, x1, x2) + +inst_290: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x1; + valaddr_reg:x3; val_offset:580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 580*FLEN/8, x4, x1, x2) + +inst_291: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x80000001; + valaddr_reg:x3; val_offset:582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 582*FLEN/8, x4, x1, x2) + +inst_292: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x2; + valaddr_reg:x3; val_offset:584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 584*FLEN/8, x4, x1, x2) + +inst_293: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 586*FLEN/8, x4, x1, x2) + +inst_294: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7fffff; + valaddr_reg:x3; val_offset:588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 588*FLEN/8, x4, x1, x2) + +inst_295: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x807fffff; + valaddr_reg:x3; val_offset:590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 590*FLEN/8, x4, x1, x2) + +inst_296: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x800000; + valaddr_reg:x3; val_offset:592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 592*FLEN/8, x4, x1, x2) + +inst_297: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x80800000; + valaddr_reg:x3; val_offset:594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 594*FLEN/8, x4, x1, x2) + +inst_298: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x800001; + valaddr_reg:x3; val_offset:596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 596*FLEN/8, x4, x1, x2) + +inst_299: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x80855555; + valaddr_reg:x3; val_offset:598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 598*FLEN/8, x4, x1, x2) + +inst_300: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 600*FLEN/8, x4, x1, x2) + +inst_301: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 602*FLEN/8, x4, x1, x2) + +inst_302: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7f800000; + valaddr_reg:x3; val_offset:604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 604*FLEN/8, x4, x1, x2) + +inst_303: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xff800000; + valaddr_reg:x3; val_offset:606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 606*FLEN/8, x4, x1, x2) + +inst_304: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 608*FLEN/8, x4, x1, x2) + +inst_305: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xffc00000; + valaddr_reg:x3; val_offset:610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 610*FLEN/8, x4, x1, x2) + +inst_306: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 612*FLEN/8, x4, x1, x2) + +inst_307: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xffc55555; + valaddr_reg:x3; val_offset:614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 614*FLEN/8, x4, x1, x2) + +inst_308: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7f800001; + valaddr_reg:x3; val_offset:616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 616*FLEN/8, x4, x1, x2) + +inst_309: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 618*FLEN/8, x4, x1, x2) + +inst_310: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x3f800000; + valaddr_reg:x3; val_offset:620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 620*FLEN/8, x4, x1, x2) + +inst_311: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xbf800000; + valaddr_reg:x3; val_offset:622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 622*FLEN/8, x4, x1, x2) + +inst_312: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x0; + valaddr_reg:x3; val_offset:624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 624*FLEN/8, x4, x1, x2) + +inst_313: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x80000000; + valaddr_reg:x3; val_offset:626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 626*FLEN/8, x4, x1, x2) + +inst_314: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x1; + valaddr_reg:x3; val_offset:628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 628*FLEN/8, x4, x1, x2) + +inst_315: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x80000001; + valaddr_reg:x3; val_offset:630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 630*FLEN/8, x4, x1, x2) + +inst_316: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x2; + valaddr_reg:x3; val_offset:632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 632*FLEN/8, x4, x1, x2) + +inst_317: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 634*FLEN/8, x4, x1, x2) + +inst_318: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7fffff; + valaddr_reg:x3; val_offset:636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 636*FLEN/8, x4, x1, x2) + +inst_319: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x807fffff; + valaddr_reg:x3; val_offset:638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 638*FLEN/8, x4, x1, x2) + +inst_320: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x800000; + valaddr_reg:x3; val_offset:640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 640*FLEN/8, x4, x1, x2) + +inst_321: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x80800000; + valaddr_reg:x3; val_offset:642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 642*FLEN/8, x4, x1, x2) + +inst_322: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x800001; + valaddr_reg:x3; val_offset:644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 644*FLEN/8, x4, x1, x2) + +inst_323: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x80855555; + valaddr_reg:x3; val_offset:646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 646*FLEN/8, x4, x1, x2) + +inst_324: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 648*FLEN/8, x4, x1, x2) + +inst_325: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 650*FLEN/8, x4, x1, x2) + +inst_326: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7f800000; + valaddr_reg:x3; val_offset:652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 652*FLEN/8, x4, x1, x2) + +inst_327: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xff800000; + valaddr_reg:x3; val_offset:654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 654*FLEN/8, x4, x1, x2) + +inst_328: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 656*FLEN/8, x4, x1, x2) + +inst_329: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xffc00000; + valaddr_reg:x3; val_offset:658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 658*FLEN/8, x4, x1, x2) + +inst_330: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 660*FLEN/8, x4, x1, x2) + +inst_331: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xffc55555; + valaddr_reg:x3; val_offset:662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 662*FLEN/8, x4, x1, x2) + +inst_332: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7f800001; + valaddr_reg:x3; val_offset:664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 664*FLEN/8, x4, x1, x2) + +inst_333: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 666*FLEN/8, x4, x1, x2) + +inst_334: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x3f800000; + valaddr_reg:x3; val_offset:668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 668*FLEN/8, x4, x1, x2) + +inst_335: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xbf800000; + valaddr_reg:x3; val_offset:670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 670*FLEN/8, x4, x1, x2) + +inst_336: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x0; + valaddr_reg:x3; val_offset:672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 672*FLEN/8, x4, x1, x2) + +inst_337: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 674*FLEN/8, x4, x1, x2) + +inst_338: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x1; + valaddr_reg:x3; val_offset:676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 676*FLEN/8, x4, x1, x2) + +inst_339: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 678*FLEN/8, x4, x1, x2) + +inst_340: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x2; + valaddr_reg:x3; val_offset:680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 680*FLEN/8, x4, x1, x2) + +inst_341: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 682*FLEN/8, x4, x1, x2) + +inst_342: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 684*FLEN/8, x4, x1, x2) + +inst_343: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 686*FLEN/8, x4, x1, x2) + +inst_344: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x800000; + valaddr_reg:x3; val_offset:688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 688*FLEN/8, x4, x1, x2) + +inst_345: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 690*FLEN/8, x4, x1, x2) + +inst_346: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x800001; + valaddr_reg:x3; val_offset:692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 692*FLEN/8, x4, x1, x2) + +inst_347: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 694*FLEN/8, x4, x1, x2) + +inst_348: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 696*FLEN/8, x4, x1, x2) + +inst_349: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 698*FLEN/8, x4, x1, x2) + +inst_350: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 700*FLEN/8, x4, x1, x2) + +inst_351: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 702*FLEN/8, x4, x1, x2) + +inst_352: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 704*FLEN/8, x4, x1, x2) + +inst_353: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 706*FLEN/8, x4, x1, x2) + +inst_354: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 708*FLEN/8, x4, x1, x2) + +inst_355: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 710*FLEN/8, x4, x1, x2) + +inst_356: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 712*FLEN/8, x4, x1, x2) + +inst_357: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 714*FLEN/8, x4, x1, x2) + +inst_358: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 716*FLEN/8, x4, x1, x2) + +inst_359: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 718*FLEN/8, x4, x1, x2) + +inst_360: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x0; + valaddr_reg:x3; val_offset:720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 720*FLEN/8, x4, x1, x2) + +inst_361: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 722*FLEN/8, x4, x1, x2) + +inst_362: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x1; + valaddr_reg:x3; val_offset:724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 724*FLEN/8, x4, x1, x2) + +inst_363: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 726*FLEN/8, x4, x1, x2) + +inst_364: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x2; + valaddr_reg:x3; val_offset:728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 728*FLEN/8, x4, x1, x2) + +inst_365: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 730*FLEN/8, x4, x1, x2) + +inst_366: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 732*FLEN/8, x4, x1, x2) + +inst_367: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 734*FLEN/8, x4, x1, x2) + +inst_368: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x800000; + valaddr_reg:x3; val_offset:736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 736*FLEN/8, x4, x1, x2) + +inst_369: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 738*FLEN/8, x4, x1, x2) + +inst_370: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x800001; + valaddr_reg:x3; val_offset:740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 740*FLEN/8, x4, x1, x2) + +inst_371: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 742*FLEN/8, x4, x1, x2) + +inst_372: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 744*FLEN/8, x4, x1, x2) + +inst_373: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 746*FLEN/8, x4, x1, x2) + +inst_374: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 748*FLEN/8, x4, x1, x2) + +inst_375: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 750*FLEN/8, x4, x1, x2) + +inst_376: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 752*FLEN/8, x4, x1, x2) + +inst_377: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 754*FLEN/8, x4, x1, x2) + +inst_378: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 756*FLEN/8, x4, x1, x2) + +inst_379: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 758*FLEN/8, x4, x1, x2) + +inst_380: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 760*FLEN/8, x4, x1, x2) + +inst_381: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 762*FLEN/8, x4, x1, x2) + +inst_382: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 764*FLEN/8, x4, x1, x2) + +inst_383: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 766*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_4) + +inst_384: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x0; + valaddr_reg:x3; val_offset:768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 768*FLEN/8, x4, x1, x2) + +inst_385: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x80000000; + valaddr_reg:x3; val_offset:770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 770*FLEN/8, x4, x1, x2) + +inst_386: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x1; + valaddr_reg:x3; val_offset:772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 772*FLEN/8, x4, x1, x2) + +inst_387: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x80000001; + valaddr_reg:x3; val_offset:774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 774*FLEN/8, x4, x1, x2) + +inst_388: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x2; + valaddr_reg:x3; val_offset:776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 776*FLEN/8, x4, x1, x2) + +inst_389: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 778*FLEN/8, x4, x1, x2) + +inst_390: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 780*FLEN/8, x4, x1, x2) + +inst_391: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 782*FLEN/8, x4, x1, x2) + +inst_392: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x800000; + valaddr_reg:x3; val_offset:784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 784*FLEN/8, x4, x1, x2) + +inst_393: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x80800000; + valaddr_reg:x3; val_offset:786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 786*FLEN/8, x4, x1, x2) + +inst_394: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x800001; + valaddr_reg:x3; val_offset:788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 788*FLEN/8, x4, x1, x2) + +inst_395: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x80855555; + valaddr_reg:x3; val_offset:790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 790*FLEN/8, x4, x1, x2) + +inst_396: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 792*FLEN/8, x4, x1, x2) + +inst_397: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 794*FLEN/8, x4, x1, x2) + +inst_398: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 796*FLEN/8, x4, x1, x2) + +inst_399: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xff800000; + valaddr_reg:x3; val_offset:798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 798*FLEN/8, x4, x1, x2) + +inst_400: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 800*FLEN/8, x4, x1, x2) + +inst_401: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 802*FLEN/8, x4, x1, x2) + +inst_402: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 804*FLEN/8, x4, x1, x2) + +inst_403: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 806*FLEN/8, x4, x1, x2) + +inst_404: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 808*FLEN/8, x4, x1, x2) + +inst_405: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 810*FLEN/8, x4, x1, x2) + +inst_406: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 812*FLEN/8, x4, x1, x2) + +inst_407: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 814*FLEN/8, x4, x1, x2) + +inst_408: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x0; + valaddr_reg:x3; val_offset:816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 816*FLEN/8, x4, x1, x2) + +inst_409: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x80000000; + valaddr_reg:x3; val_offset:818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 818*FLEN/8, x4, x1, x2) + +inst_410: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x1; + valaddr_reg:x3; val_offset:820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 820*FLEN/8, x4, x1, x2) + +inst_411: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x80000001; + valaddr_reg:x3; val_offset:822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 822*FLEN/8, x4, x1, x2) + +inst_412: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x2; + valaddr_reg:x3; val_offset:824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 824*FLEN/8, x4, x1, x2) + +inst_413: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 826*FLEN/8, x4, x1, x2) + +inst_414: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 828*FLEN/8, x4, x1, x2) + +inst_415: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 830*FLEN/8, x4, x1, x2) + +inst_416: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x800000; + valaddr_reg:x3; val_offset:832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 832*FLEN/8, x4, x1, x2) + +inst_417: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x80800000; + valaddr_reg:x3; val_offset:834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 834*FLEN/8, x4, x1, x2) + +inst_418: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x800001; + valaddr_reg:x3; val_offset:836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 836*FLEN/8, x4, x1, x2) + +inst_419: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x80855555; + valaddr_reg:x3; val_offset:838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 838*FLEN/8, x4, x1, x2) + +inst_420: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 840*FLEN/8, x4, x1, x2) + +inst_421: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 842*FLEN/8, x4, x1, x2) + +inst_422: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 844*FLEN/8, x4, x1, x2) + +inst_423: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xff800000; + valaddr_reg:x3; val_offset:846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 846*FLEN/8, x4, x1, x2) + +inst_424: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 848*FLEN/8, x4, x1, x2) + +inst_425: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 850*FLEN/8, x4, x1, x2) + +inst_426: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 852*FLEN/8, x4, x1, x2) + +inst_427: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 854*FLEN/8, x4, x1, x2) + +inst_428: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 856*FLEN/8, x4, x1, x2) + +inst_429: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 858*FLEN/8, x4, x1, x2) + +inst_430: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 860*FLEN/8, x4, x1, x2) + +inst_431: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 862*FLEN/8, x4, x1, x2) + +inst_432: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x0; + valaddr_reg:x3; val_offset:864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 864*FLEN/8, x4, x1, x2) + +inst_433: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x80000000; + valaddr_reg:x3; val_offset:866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 866*FLEN/8, x4, x1, x2) + +inst_434: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x1; + valaddr_reg:x3; val_offset:868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 868*FLEN/8, x4, x1, x2) + +inst_435: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x80000001; + valaddr_reg:x3; val_offset:870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 870*FLEN/8, x4, x1, x2) + +inst_436: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x2; + valaddr_reg:x3; val_offset:872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 872*FLEN/8, x4, x1, x2) + +inst_437: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 874*FLEN/8, x4, x1, x2) + +inst_438: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7fffff; + valaddr_reg:x3; val_offset:876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 876*FLEN/8, x4, x1, x2) + +inst_439: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x807fffff; + valaddr_reg:x3; val_offset:878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 878*FLEN/8, x4, x1, x2) + +inst_440: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x800000; + valaddr_reg:x3; val_offset:880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 880*FLEN/8, x4, x1, x2) + +inst_441: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x80800000; + valaddr_reg:x3; val_offset:882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 882*FLEN/8, x4, x1, x2) + +inst_442: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x800001; + valaddr_reg:x3; val_offset:884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 884*FLEN/8, x4, x1, x2) + +inst_443: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x80855555; + valaddr_reg:x3; val_offset:886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 886*FLEN/8, x4, x1, x2) + +inst_444: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 888*FLEN/8, x4, x1, x2) + +inst_445: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 890*FLEN/8, x4, x1, x2) + +inst_446: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7f800000; + valaddr_reg:x3; val_offset:892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 892*FLEN/8, x4, x1, x2) + +inst_447: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xff800000; + valaddr_reg:x3; val_offset:894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 894*FLEN/8, x4, x1, x2) + +inst_448: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 896*FLEN/8, x4, x1, x2) + +inst_449: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xffc00000; + valaddr_reg:x3; val_offset:898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 898*FLEN/8, x4, x1, x2) + +inst_450: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 900*FLEN/8, x4, x1, x2) + +inst_451: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xffc55555; + valaddr_reg:x3; val_offset:902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 902*FLEN/8, x4, x1, x2) + +inst_452: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7f800001; + valaddr_reg:x3; val_offset:904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 904*FLEN/8, x4, x1, x2) + +inst_453: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 906*FLEN/8, x4, x1, x2) + +inst_454: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x3f800000; + valaddr_reg:x3; val_offset:908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 908*FLEN/8, x4, x1, x2) + +inst_455: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xbf800000; + valaddr_reg:x3; val_offset:910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 910*FLEN/8, x4, x1, x2) + +inst_456: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x0; + valaddr_reg:x3; val_offset:912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 912*FLEN/8, x4, x1, x2) + +inst_457: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x80000000; + valaddr_reg:x3; val_offset:914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 914*FLEN/8, x4, x1, x2) + +inst_458: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x1; + valaddr_reg:x3; val_offset:916*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 916*FLEN/8, x4, x1, x2) + +inst_459: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x80000001; + valaddr_reg:x3; val_offset:918*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 918*FLEN/8, x4, x1, x2) + +inst_460: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x2; + valaddr_reg:x3; val_offset:920*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 920*FLEN/8, x4, x1, x2) + +inst_461: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:922*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 922*FLEN/8, x4, x1, x2) + +inst_462: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7fffff; + valaddr_reg:x3; val_offset:924*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 924*FLEN/8, x4, x1, x2) + +inst_463: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x807fffff; + valaddr_reg:x3; val_offset:926*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 926*FLEN/8, x4, x1, x2) + +inst_464: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x800000; + valaddr_reg:x3; val_offset:928*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 928*FLEN/8, x4, x1, x2) + +inst_465: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x80800000; + valaddr_reg:x3; val_offset:930*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 930*FLEN/8, x4, x1, x2) + +inst_466: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x800001; + valaddr_reg:x3; val_offset:932*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 932*FLEN/8, x4, x1, x2) + +inst_467: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x80855555; + valaddr_reg:x3; val_offset:934*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 934*FLEN/8, x4, x1, x2) + +inst_468: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:936*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 936*FLEN/8, x4, x1, x2) + +inst_469: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:938*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 938*FLEN/8, x4, x1, x2) + +inst_470: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7f800000; + valaddr_reg:x3; val_offset:940*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 940*FLEN/8, x4, x1, x2) + +inst_471: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xff800000; + valaddr_reg:x3; val_offset:942*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 942*FLEN/8, x4, x1, x2) + +inst_472: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:944*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 944*FLEN/8, x4, x1, x2) + +inst_473: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xffc00000; + valaddr_reg:x3; val_offset:946*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 946*FLEN/8, x4, x1, x2) + +inst_474: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:948*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 948*FLEN/8, x4, x1, x2) + +inst_475: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xffc55555; + valaddr_reg:x3; val_offset:950*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 950*FLEN/8, x4, x1, x2) + +inst_476: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7f800001; + valaddr_reg:x3; val_offset:952*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 952*FLEN/8, x4, x1, x2) + +inst_477: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:954*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 954*FLEN/8, x4, x1, x2) + +inst_478: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x3f800000; + valaddr_reg:x3; val_offset:956*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 956*FLEN/8, x4, x1, x2) + +inst_479: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xbf800000; + valaddr_reg:x3; val_offset:958*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 958*FLEN/8, x4, x1, x2) + +inst_480: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x0; + valaddr_reg:x3; val_offset:960*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 960*FLEN/8, x4, x1, x2) + +inst_481: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x80000000; + valaddr_reg:x3; val_offset:962*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 962*FLEN/8, x4, x1, x2) + +inst_482: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x1; + valaddr_reg:x3; val_offset:964*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 964*FLEN/8, x4, x1, x2) + +inst_483: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x80000001; + valaddr_reg:x3; val_offset:966*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 966*FLEN/8, x4, x1, x2) + +inst_484: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x2; + valaddr_reg:x3; val_offset:968*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 968*FLEN/8, x4, x1, x2) + +inst_485: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:970*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 970*FLEN/8, x4, x1, x2) + +inst_486: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7fffff; + valaddr_reg:x3; val_offset:972*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 972*FLEN/8, x4, x1, x2) + +inst_487: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x807fffff; + valaddr_reg:x3; val_offset:974*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 974*FLEN/8, x4, x1, x2) + +inst_488: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x800000; + valaddr_reg:x3; val_offset:976*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 976*FLEN/8, x4, x1, x2) + +inst_489: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x80800000; + valaddr_reg:x3; val_offset:978*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 978*FLEN/8, x4, x1, x2) + +inst_490: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x800001; + valaddr_reg:x3; val_offset:980*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 980*FLEN/8, x4, x1, x2) + +inst_491: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x80855555; + valaddr_reg:x3; val_offset:982*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 982*FLEN/8, x4, x1, x2) + +inst_492: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:984*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 984*FLEN/8, x4, x1, x2) + +inst_493: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:986*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 986*FLEN/8, x4, x1, x2) + +inst_494: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7f800000; + valaddr_reg:x3; val_offset:988*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 988*FLEN/8, x4, x1, x2) + +inst_495: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xff800000; + valaddr_reg:x3; val_offset:990*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 990*FLEN/8, x4, x1, x2) + +inst_496: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:992*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 992*FLEN/8, x4, x1, x2) + +inst_497: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xffc00000; + valaddr_reg:x3; val_offset:994*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 994*FLEN/8, x4, x1, x2) + +inst_498: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:996*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 996*FLEN/8, x4, x1, x2) + +inst_499: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xffc55555; + valaddr_reg:x3; val_offset:998*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 998*FLEN/8, x4, x1, x2) + +inst_500: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7f800001; + valaddr_reg:x3; val_offset:1000*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1000*FLEN/8, x4, x1, x2) + +inst_501: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:1002*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1002*FLEN/8, x4, x1, x2) + +inst_502: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x3f800000; + valaddr_reg:x3; val_offset:1004*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1004*FLEN/8, x4, x1, x2) + +inst_503: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xbf800000; + valaddr_reg:x3; val_offset:1006*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1006*FLEN/8, x4, x1, x2) + +inst_504: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x0; + valaddr_reg:x3; val_offset:1008*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1008*FLEN/8, x4, x1, x2) + +inst_505: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x80000000; + valaddr_reg:x3; val_offset:1010*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1010*FLEN/8, x4, x1, x2) + +inst_506: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x1; + valaddr_reg:x3; val_offset:1012*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1012*FLEN/8, x4, x1, x2) + +inst_507: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x80000001; + valaddr_reg:x3; val_offset:1014*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1014*FLEN/8, x4, x1, x2) + +inst_508: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x2; + valaddr_reg:x3; val_offset:1016*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1016*FLEN/8, x4, x1, x2) + +inst_509: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:1018*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1018*FLEN/8, x4, x1, x2) + +inst_510: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7fffff; + valaddr_reg:x3; val_offset:1020*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1020*FLEN/8, x4, x1, x2) + +inst_511: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x807fffff; + valaddr_reg:x3; val_offset:1022*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1022*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_5) + +inst_512: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x800000; + valaddr_reg:x3; val_offset:1024*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1024*FLEN/8, x4, x1, x2) + +inst_513: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x80800000; + valaddr_reg:x3; val_offset:1026*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1026*FLEN/8, x4, x1, x2) + +inst_514: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x800001; + valaddr_reg:x3; val_offset:1028*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1028*FLEN/8, x4, x1, x2) + +inst_515: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x80855555; + valaddr_reg:x3; val_offset:1030*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1030*FLEN/8, x4, x1, x2) + +inst_516: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1032*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1032*FLEN/8, x4, x1, x2) + +inst_517: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1034*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1034*FLEN/8, x4, x1, x2) + +inst_518: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7f800000; + valaddr_reg:x3; val_offset:1036*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1036*FLEN/8, x4, x1, x2) + +inst_519: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xff800000; + valaddr_reg:x3; val_offset:1038*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1038*FLEN/8, x4, x1, x2) + +inst_520: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:1040*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1040*FLEN/8, x4, x1, x2) + +inst_521: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xffc00000; + valaddr_reg:x3; val_offset:1042*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1042*FLEN/8, x4, x1, x2) + +inst_522: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:1044*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1044*FLEN/8, x4, x1, x2) + +inst_523: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xffc55555; + valaddr_reg:x3; val_offset:1046*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1046*FLEN/8, x4, x1, x2) + +inst_524: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7f800001; + valaddr_reg:x3; val_offset:1048*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1048*FLEN/8, x4, x1, x2) + +inst_525: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:1050*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1050*FLEN/8, x4, x1, x2) + +inst_526: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x3f800000; + valaddr_reg:x3; val_offset:1052*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1052*FLEN/8, x4, x1, x2) + +inst_527: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xbf800000; + valaddr_reg:x3; val_offset:1054*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1054*FLEN/8, x4, x1, x2) + +inst_528: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x0; + valaddr_reg:x3; val_offset:1056*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1056*FLEN/8, x4, x1, x2) + +inst_529: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:1058*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1058*FLEN/8, x4, x1, x2) + +inst_530: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x1; + valaddr_reg:x3; val_offset:1060*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1060*FLEN/8, x4, x1, x2) + +inst_531: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:1062*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1062*FLEN/8, x4, x1, x2) + +inst_532: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x2; + valaddr_reg:x3; val_offset:1064*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1064*FLEN/8, x4, x1, x2) + +inst_533: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:1066*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1066*FLEN/8, x4, x1, x2) + +inst_534: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:1068*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1068*FLEN/8, x4, x1, x2) + +inst_535: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:1070*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1070*FLEN/8, x4, x1, x2) + +inst_536: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x800000; + valaddr_reg:x3; val_offset:1072*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1072*FLEN/8, x4, x1, x2) + +inst_537: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:1074*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1074*FLEN/8, x4, x1, x2) + +inst_538: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x800001; + valaddr_reg:x3; val_offset:1076*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1076*FLEN/8, x4, x1, x2) + +inst_539: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:1078*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1078*FLEN/8, x4, x1, x2) + +inst_540: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1080*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1080*FLEN/8, x4, x1, x2) + +inst_541: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1082*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1082*FLEN/8, x4, x1, x2) + +inst_542: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:1084*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1084*FLEN/8, x4, x1, x2) + +inst_543: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:1086*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1086*FLEN/8, x4, x1, x2) + +inst_544: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:1088*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1088*FLEN/8, x4, x1, x2) + +inst_545: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:1090*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1090*FLEN/8, x4, x1, x2) + +inst_546: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:1092*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1092*FLEN/8, x4, x1, x2) + +inst_547: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:1094*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1094*FLEN/8, x4, x1, x2) + +inst_548: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:1096*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1096*FLEN/8, x4, x1, x2) + +inst_549: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:1098*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1098*FLEN/8, x4, x1, x2) + +inst_550: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:1100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1100*FLEN/8, x4, x1, x2) + +inst_551: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:1102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1102*FLEN/8, x4, x1, x2) + +inst_552: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x0; + valaddr_reg:x3; val_offset:1104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1104*FLEN/8, x4, x1, x2) + +inst_553: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:1106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1106*FLEN/8, x4, x1, x2) + +inst_554: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x1; + valaddr_reg:x3; val_offset:1108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1108*FLEN/8, x4, x1, x2) + +inst_555: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:1110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1110*FLEN/8, x4, x1, x2) + +inst_556: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x2; + valaddr_reg:x3; val_offset:1112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1112*FLEN/8, x4, x1, x2) + +inst_557: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:1114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1114*FLEN/8, x4, x1, x2) + +inst_558: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:1116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1116*FLEN/8, x4, x1, x2) + +inst_559: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:1118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1118*FLEN/8, x4, x1, x2) + +inst_560: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x800000; + valaddr_reg:x3; val_offset:1120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1120*FLEN/8, x4, x1, x2) + +inst_561: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:1122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1122*FLEN/8, x4, x1, x2) + +inst_562: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x800001; + valaddr_reg:x3; val_offset:1124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1124*FLEN/8, x4, x1, x2) + +inst_563: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:1126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1126*FLEN/8, x4, x1, x2) + +inst_564: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1128*FLEN/8, x4, x1, x2) + +inst_565: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1130*FLEN/8, x4, x1, x2) + +inst_566: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:1132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1132*FLEN/8, x4, x1, x2) + +inst_567: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:1134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1134*FLEN/8, x4, x1, x2) + +inst_568: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:1136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1136*FLEN/8, x4, x1, x2) + +inst_569: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:1138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1138*FLEN/8, x4, x1, x2) + +inst_570: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:1140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1140*FLEN/8, x4, x1, x2) + +inst_571: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:1142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1142*FLEN/8, x4, x1, x2) + +inst_572: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:1144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1144*FLEN/8, x4, x1, x2) + +inst_573: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:1146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1146*FLEN/8, x4, x1, x2) + +inst_574: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:1148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1148*FLEN/8, x4, x1, x2) + +inst_575: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:1150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1150*FLEN/8, x4, x1, x2) + +inst_576: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x80000000; + valaddr_reg:x3; val_offset:1152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1152*FLEN/8, x4, x1, x2) + +inst_577: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x80000001; + valaddr_reg:x3; val_offset:1154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1154*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_5: + .fill 132*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/F_Zfa/src/fminm_b19-01.S b/riscv-test-suite/rv32i_m/F_Zfa/src/fminm_b19-01.S new file mode 100644 index 000000000..ce89f881f --- /dev/null +++ b/riscv-test-suite/rv32i_m/F_Zfa/src/fminm_b19-01.S @@ -0,0 +1,10074 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:35:43 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fminm.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fminm.s instruction of the RISC-V RV32F_Zicsr_Zfa,RV32FD_Zicsr_Zfa,RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fminm_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr_Zfa,RV32IFD_Zicsr_Zfa,RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fminm_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs2 == rd != rs1, rs1==f30, rs2==f31, rd==f31,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f31; dest:f31; op1val:0x7f222105; op2val:0x7f222105; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rs2 != rd, rs1==f29, rs2==f29, rd==f30,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f29; op2:f29; dest:f30; op1val:0x7f222105; op2val:0x7f222105; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f30, f29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs1 == rd != rs2, rs1==f28, rs2==f30, rd==f28,fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f28; op2:f30; dest:f28; op1val:0x7ec45459; op2val:0x7f222105; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f28, f28, f30, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs1 == rs2 == rd, rs1==f27, rs2==f27, rd==f27,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f27; op2:f27; dest:f27; op1val:0x7f222105; op2val:0x7f222105; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f27, f27, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f31, rs2==f28, rd==f29,fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f31; op2:f28; dest:f29; op1val:0x7eb70362; op2val:0x7f222105; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f29, f31, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rs2==f24, rd==f26,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f25; op2:f24; dest:f26; op1val:0x7f222105; op2val:0x7e587392; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f26, f25, f24, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f24, rs2==f26, rd==f25,fs1 == 0 and fe1 == 0xfb and fm1 == 0x01b404 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f24; op2:f26; dest:f25; op1val:0x7d81b404; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f25, f24, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f26, rs2==f25, rd==f24,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x01b404 and fcsr == 0 +/* opcode: fminm.s ; op1:f26; op2:f25; dest:f24; op1val:0x7f7fffff; op2val:0x7d81b404; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f24, f26, f25, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f22, rs2==f21, rd==f23,fs1 == 0 and fe1 == 0xfb and fm1 == 0x01b404 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f22; op2:f21; dest:f23; op1val:0x7d81b404; op2val:0x7e587392; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f23, f22, f21, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rs2==f23, rd==f22,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x01b404 and fcsr == 0 +/* opcode: fminm.s ; op1:f21; op2:f23; dest:f22; op1val:0x7f222105; op2val:0x7d81b404; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f22, f21, f23, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f23, rs2==f22, rd==f21,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f23; op2:f22; dest:f21; op1val:0x7f222105; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f21, f23, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rs2==f18, rd==f20,fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f19; op2:f18; dest:f20; op1val:0x7f2eabd8; op2val:0x7f222105; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f20, f19, f18, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f18, rs2==f20, rd==f19,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f18; op2:f20; dest:f19; op1val:0x7f222105; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f19, f18, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f20, rs2==f19, rd==f18,fs1 == 0 and fe1 == 0xfb and fm1 == 0x01b404 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f20; op2:f19; dest:f18; op1val:0x7d81b404; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f18, f20, f19, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f16, rs2==f15, rd==f17,fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x01b404 and fcsr == 0 +/* opcode: fminm.s ; op1:f16; op2:f15; dest:f17; op1val:0xff7fffff; op2val:0x7d81b404; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f17, f16, f15, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rs2==f17, rd==f16,fs1 == 0 and fe1 == 0xfb and fm1 == 0x01b404 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f15; op2:f17; dest:f16; op1val:0x7d81b404; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f16, f15, f17, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f17, rs2==f16, rd==f15,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f17; op2:f16; dest:f15; op1val:0x7f222105; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f15, f17, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rs2==f12, rd==f14,fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f13; op2:f12; dest:f14; op1val:0xfee4815a; op2val:0x7f222105; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f14, f13, f12, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f12, rs2==f14, rd==f13,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f12; op2:f14; dest:f13; op1val:0x7f222105; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f13, f12, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f14, rs2==f13, rd==f12,fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f14; op2:f13; dest:f12; op1val:0xfe9ffb35; op2val:0x7f222105; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f12, f14, f13, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f10, rs2==f9, rd==f11,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f10; op2:f9; dest:f11; op1val:0x7f222105; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f11, f10, f9, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rs2==f11, rd==f10,fs1 == 0 and fe1 == 0xfb and fm1 == 0x01b404 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f9; op2:f11; dest:f10; op1val:0x7d81b404; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f10, f9, f11, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f11, rs2==f10, rd==f9,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f11; op2:f10; dest:f9; op1val:0x7f222105; op2val:0xfc538835; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f9, f11, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rs2==f6, rd==f8,fs1 == 0 and fe1 == 0xf7 and fm1 == 0x4f866d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f7; op2:f6; dest:f8; op1val:0x7bcf866d; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f8, f7, f6, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f6, rs2==f8, rd==f7,fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xf7 and fm2 == 0x4f866d and fcsr == 0 +/* opcode: fminm.s ; op1:f6; op2:f8; dest:f7; op1val:0xff7fffff; op2val:0x7bcf866d; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f7, f6, f8, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f8, rs2==f7, rd==f6,fs1 == 0 and fe1 == 0xf7 and fm1 == 0x4f866d and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f8; op2:f7; dest:f6; op1val:0x7bcf866d; op2val:0xfc538835; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f6, f8, f7, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f4, rs2==f3, rd==f5,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xf7 and fm2 == 0x4f866d and fcsr == 0 +/* opcode: fminm.s ; op1:f4; op2:f3; dest:f5; op1val:0x7f222105; op2val:0x7bcf866d; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f5, f4, f3, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rs2==f5, rd==f4,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f3; op2:f5; dest:f4; op1val:0x7f222105; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f4, f3, f5, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f5, rs2==f4, rd==f3,fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x39f704 and fcsr == 0 +/* opcode: fminm.s ; op1:f5; op2:f4; dest:f3; op1val:0x177770; op2val:0x7f39f704; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f3, f5, f4, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rs2==f0, rd==f2,fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x177770 and fcsr == 0 +/* opcode: fminm.s ; op1:f1; op2:f0; dest:f2; op1val:0x7f39f704; op2val:0x177770; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f2, f1, f0, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f0, rs2==f2, rd==f1,fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f0; op2:f2; dest:f1; op1val:0x177770; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f1, f0, f2, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f2, rs2==f1, rd==f0,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x177770 and fcsr == 0 +/* opcode: fminm.s ; op1:f2; op2:f1; dest:f0; op1val:0x7f222105; op2val:0x177770; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f0, f2, f1, 0, 0, x3, 62*FLEN/8, x4, x1, x2) + +inst_32: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x3229c1; + valaddr_reg:x3; val_offset:64*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 64*FLEN/8, x4, x1, x2) + +inst_33: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x177770; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:66*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 66*FLEN/8, x4, x1, x2) + +inst_34: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x177770 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x177770; + valaddr_reg:x3; val_offset:68*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 68*FLEN/8, x4, x1, x2) + +inst_35: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x177770; op2val:0x3229c1; + valaddr_reg:x3; val_offset:70*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 70*FLEN/8, x4, x1, x2) + +inst_36: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:72*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 72*FLEN/8, x4, x1, x2) + +inst_37: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x177770; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:74*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 74*FLEN/8, x4, x1, x2) + +inst_38: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x27935b; + valaddr_reg:x3; val_offset:76*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 76*FLEN/8, x4, x1, x2) + +inst_39: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x177770; op2val:0x27935b; + valaddr_reg:x3; val_offset:78*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 78*FLEN/8, x4, x1, x2) + +inst_40: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x33da99; + valaddr_reg:x3; val_offset:80*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 80*FLEN/8, x4, x1, x2) + +inst_41: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x177770; op2val:0x33da99; + valaddr_reg:x3; val_offset:82*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 82*FLEN/8, x4, x1, x2) + +inst_42: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:84*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 84*FLEN/8, x4, x1, x2) + +inst_43: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x177770; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:86*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 86*FLEN/8, x4, x1, x2) + +inst_44: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x177770 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x177770; + valaddr_reg:x3; val_offset:88*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 88*FLEN/8, x4, x1, x2) + +inst_45: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x177770; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:90*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 90*FLEN/8, x4, x1, x2) + +inst_46: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x802c9686; + valaddr_reg:x3; val_offset:92*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 92*FLEN/8, x4, x1, x2) + +inst_47: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x177770; op2val:0x802c9686; + valaddr_reg:x3; val_offset:94*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 94*FLEN/8, x4, x1, x2) + +inst_48: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x801fb335; + valaddr_reg:x3; val_offset:96*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 96*FLEN/8, x4, x1, x2) + +inst_49: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x5b0376 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x177770; op2val:0xff5b0376; + valaddr_reg:x3; val_offset:98*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 98*FLEN/8, x4, x1, x2) + +inst_50: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x177770 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0x177770; + valaddr_reg:x3; val_offset:100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 100*FLEN/8, x4, x1, x2) + +inst_51: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x177770; op2val:0x801fb335; + valaddr_reg:x3; val_offset:102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 102*FLEN/8, x4, x1, x2) + +inst_52: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 104*FLEN/8, x4, x1, x2) + +inst_53: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x177770; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 106*FLEN/8, x4, x1, x2) + +inst_54: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x800642ea; + valaddr_reg:x3; val_offset:108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 108*FLEN/8, x4, x1, x2) + +inst_55: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0258be and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x258be; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 110*FLEN/8, x4, x1, x2) + +inst_56: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0258be and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x258be; + valaddr_reg:x3; val_offset:112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 112*FLEN/8, x4, x1, x2) + +inst_57: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0258be and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x258be; op2val:0x800642ea; + valaddr_reg:x3; val_offset:114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 114*FLEN/8, x4, x1, x2) + +inst_58: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0258be and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x258be; + valaddr_reg:x3; val_offset:116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 116*FLEN/8, x4, x1, x2) + +inst_59: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x7f0; + valaddr_reg:x3; val_offset:118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 118*FLEN/8, x4, x1, x2) + +inst_60: +// fs1 == 0 and fe1 == 0x80 and fm1 == 0x09ec91 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4009ec91; op2val:0x7f0; + valaddr_reg:x3; val_offset:120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 120*FLEN/8, x4, x1, x2) + +inst_61: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x09ec91 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x4009ec91; + valaddr_reg:x3; val_offset:122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 122*FLEN/8, x4, x1, x2) + +inst_62: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x09ec91 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x4009ec91; + valaddr_reg:x3; val_offset:124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 124*FLEN/8, x4, x1, x2) + +inst_63: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 126*FLEN/8, x4, x1, x2) + +inst_64: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 128*FLEN/8, x4, x1, x2) + +inst_65: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 130*FLEN/8, x4, x1, x2) + +inst_66: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x7e587392; + valaddr_reg:x3; val_offset:132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 132*FLEN/8, x4, x1, x2) + +inst_67: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x1d1047 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d1d1047; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 134*FLEN/8, x4, x1, x2) + +inst_68: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x1d1047 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7d1d1047; + valaddr_reg:x3; val_offset:136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 136*FLEN/8, x4, x1, x2) + +inst_69: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x1d1047 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d1d1047; op2val:0x7e587392; + valaddr_reg:x3; val_offset:138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 138*FLEN/8, x4, x1, x2) + +inst_70: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0xfa and fm2 == 0x1d1047 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x7d1d1047; + valaddr_reg:x3; val_offset:140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 140*FLEN/8, x4, x1, x2) + +inst_71: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 142*FLEN/8, x4, x1, x2) + +inst_72: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 144*FLEN/8, x4, x1, x2) + +inst_73: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 146*FLEN/8, x4, x1, x2) + +inst_74: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x1d1047 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d1d1047; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 148*FLEN/8, x4, x1, x2) + +inst_75: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x1d1047 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7d1d1047; + valaddr_reg:x3; val_offset:150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 150*FLEN/8, x4, x1, x2) + +inst_76: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x1d1047 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d1d1047; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 152*FLEN/8, x4, x1, x2) + +inst_77: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 154*FLEN/8, x4, x1, x2) + +inst_78: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 156*FLEN/8, x4, x1, x2) + +inst_79: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 158*FLEN/8, x4, x1, x2) + +inst_80: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 160*FLEN/8, x4, x1, x2) + +inst_81: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 162*FLEN/8, x4, x1, x2) + +inst_82: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x1d1047 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d1d1047; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 164*FLEN/8, x4, x1, x2) + +inst_83: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0xfc538835; + valaddr_reg:x3; val_offset:166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 166*FLEN/8, x4, x1, x2) + +inst_84: +// fs1 == 0 and fe1 == 0xf6 and fm1 == 0x7b4d3e and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7b7b4d3e; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 168*FLEN/8, x4, x1, x2) + +inst_85: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xf6 and fm2 == 0x7b4d3e and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7b7b4d3e; + valaddr_reg:x3; val_offset:170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 170*FLEN/8, x4, x1, x2) + +inst_86: +// fs1 == 0 and fe1 == 0xf6 and fm1 == 0x7b4d3e and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7b7b4d3e; op2val:0xfc538835; + valaddr_reg:x3; val_offset:172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 172*FLEN/8, x4, x1, x2) + +inst_87: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0xf6 and fm2 == 0x7b4d3e and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x7b7b4d3e; + valaddr_reg:x3; val_offset:174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 174*FLEN/8, x4, x1, x2) + +inst_88: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 176*FLEN/8, x4, x1, x2) + +inst_89: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x39f704 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0x7f39f704; + valaddr_reg:x3; val_offset:178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 178*FLEN/8, x4, x1, x2) + +inst_90: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0e3558 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f39f704; op2val:0xe3558; + valaddr_reg:x3; val_offset:180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 180*FLEN/8, x4, x1, x2) + +inst_91: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 182*FLEN/8, x4, x1, x2) + +inst_92: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0e3558 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0xe3558; + valaddr_reg:x3; val_offset:184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 184*FLEN/8, x4, x1, x2) + +inst_93: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x3229c1; + valaddr_reg:x3; val_offset:186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 186*FLEN/8, x4, x1, x2) + +inst_94: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 188*FLEN/8, x4, x1, x2) + +inst_95: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0e3558 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xe3558; + valaddr_reg:x3; val_offset:190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 190*FLEN/8, x4, x1, x2) + +inst_96: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0x3229c1; + valaddr_reg:x3; val_offset:192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 192*FLEN/8, x4, x1, x2) + +inst_97: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 194*FLEN/8, x4, x1, x2) + +inst_98: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 196*FLEN/8, x4, x1, x2) + +inst_99: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x27935b; + valaddr_reg:x3; val_offset:198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 198*FLEN/8, x4, x1, x2) + +inst_100: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0x27935b; + valaddr_reg:x3; val_offset:200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 200*FLEN/8, x4, x1, x2) + +inst_101: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x33da99; + valaddr_reg:x3; val_offset:202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 202*FLEN/8, x4, x1, x2) + +inst_102: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0x33da99; + valaddr_reg:x3; val_offset:204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 204*FLEN/8, x4, x1, x2) + +inst_103: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 206*FLEN/8, x4, x1, x2) + +inst_104: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 208*FLEN/8, x4, x1, x2) + +inst_105: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0e3558 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xe3558; + valaddr_reg:x3; val_offset:210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 210*FLEN/8, x4, x1, x2) + +inst_106: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 212*FLEN/8, x4, x1, x2) + +inst_107: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x802c9686; + valaddr_reg:x3; val_offset:214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 214*FLEN/8, x4, x1, x2) + +inst_108: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0x802c9686; + valaddr_reg:x3; val_offset:216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 216*FLEN/8, x4, x1, x2) + +inst_109: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x801fb335; + valaddr_reg:x3; val_offset:218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 218*FLEN/8, x4, x1, x2) + +inst_110: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x5b0376 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0xff5b0376; + valaddr_reg:x3; val_offset:220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 220*FLEN/8, x4, x1, x2) + +inst_111: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0e3558 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0xe3558; + valaddr_reg:x3; val_offset:222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 222*FLEN/8, x4, x1, x2) + +inst_112: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0x801fb335; + valaddr_reg:x3; val_offset:224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 224*FLEN/8, x4, x1, x2) + +inst_113: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 226*FLEN/8, x4, x1, x2) + +inst_114: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 228*FLEN/8, x4, x1, x2) + +inst_115: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x800642ea; + valaddr_reg:x3; val_offset:230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 230*FLEN/8, x4, x1, x2) + +inst_116: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x016bbc and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x16bbc; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 232*FLEN/8, x4, x1, x2) + +inst_117: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x016bbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x16bbc; + valaddr_reg:x3; val_offset:234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 234*FLEN/8, x4, x1, x2) + +inst_118: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x016bbc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x16bbc; op2val:0x800642ea; + valaddr_reg:x3; val_offset:236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 236*FLEN/8, x4, x1, x2) + +inst_119: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x016bbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x16bbc; + valaddr_reg:x3; val_offset:238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 238*FLEN/8, x4, x1, x2) + +inst_120: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x7f0; + valaddr_reg:x3; val_offset:240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 240*FLEN/8, x4, x1, x2) + +inst_121: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x2704c6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3fa704c6; op2val:0x7f0; + valaddr_reg:x3; val_offset:242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 242*FLEN/8, x4, x1, x2) + +inst_122: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x2704c6 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x3fa704c6; + valaddr_reg:x3; val_offset:244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 244*FLEN/8, x4, x1, x2) + +inst_123: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x2704c6 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x3fa704c6; + valaddr_reg:x3; val_offset:246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 246*FLEN/8, x4, x1, x2) + +inst_124: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 248*FLEN/8, x4, x1, x2) + +inst_125: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x7e587392; + valaddr_reg:x3; val_offset:250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 250*FLEN/8, x4, x1, x2) + +inst_126: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x12691b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d12691b; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 252*FLEN/8, x4, x1, x2) + +inst_127: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x12691b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7d12691b; + valaddr_reg:x3; val_offset:254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 254*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_2) + +inst_128: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x12691b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d12691b; op2val:0x7e587392; + valaddr_reg:x3; val_offset:256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 256*FLEN/8, x4, x1, x2) + +inst_129: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0xfa and fm2 == 0x12691b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x7d12691b; + valaddr_reg:x3; val_offset:258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 258*FLEN/8, x4, x1, x2) + +inst_130: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 260*FLEN/8, x4, x1, x2) + +inst_131: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 262*FLEN/8, x4, x1, x2) + +inst_132: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 264*FLEN/8, x4, x1, x2) + +inst_133: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x12691b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d12691b; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 266*FLEN/8, x4, x1, x2) + +inst_134: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x12691b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7d12691b; + valaddr_reg:x3; val_offset:268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 268*FLEN/8, x4, x1, x2) + +inst_135: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x12691b and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d12691b; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 270*FLEN/8, x4, x1, x2) + +inst_136: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 272*FLEN/8, x4, x1, x2) + +inst_137: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 274*FLEN/8, x4, x1, x2) + +inst_138: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 276*FLEN/8, x4, x1, x2) + +inst_139: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 278*FLEN/8, x4, x1, x2) + +inst_140: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 280*FLEN/8, x4, x1, x2) + +inst_141: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x12691b and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d12691b; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 282*FLEN/8, x4, x1, x2) + +inst_142: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0xfc538835; + valaddr_reg:x3; val_offset:284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 284*FLEN/8, x4, x1, x2) + +inst_143: +// fs1 == 0 and fe1 == 0xf6 and fm1 == 0x6a41c5 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7b6a41c5; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 286*FLEN/8, x4, x1, x2) + +inst_144: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xf6 and fm2 == 0x6a41c5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7b6a41c5; + valaddr_reg:x3; val_offset:288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 288*FLEN/8, x4, x1, x2) + +inst_145: +// fs1 == 0 and fe1 == 0xf6 and fm1 == 0x6a41c5 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7b6a41c5; op2val:0xfc538835; + valaddr_reg:x3; val_offset:290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 290*FLEN/8, x4, x1, x2) + +inst_146: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0xf6 and fm2 == 0x6a41c5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x7b6a41c5; + valaddr_reg:x3; val_offset:292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 292*FLEN/8, x4, x1, x2) + +inst_147: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 294*FLEN/8, x4, x1, x2) + +inst_148: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x39f704 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0x7f39f704; + valaddr_reg:x3; val_offset:296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 296*FLEN/8, x4, x1, x2) + +inst_149: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0d3ea3 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f39f704; op2val:0xd3ea3; + valaddr_reg:x3; val_offset:298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 298*FLEN/8, x4, x1, x2) + +inst_150: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 300*FLEN/8, x4, x1, x2) + +inst_151: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0d3ea3 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0xd3ea3; + valaddr_reg:x3; val_offset:302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 302*FLEN/8, x4, x1, x2) + +inst_152: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x3229c1; + valaddr_reg:x3; val_offset:304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 304*FLEN/8, x4, x1, x2) + +inst_153: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 306*FLEN/8, x4, x1, x2) + +inst_154: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0d3ea3 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xd3ea3; + valaddr_reg:x3; val_offset:308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 308*FLEN/8, x4, x1, x2) + +inst_155: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0x3229c1; + valaddr_reg:x3; val_offset:310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 310*FLEN/8, x4, x1, x2) + +inst_156: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 312*FLEN/8, x4, x1, x2) + +inst_157: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 314*FLEN/8, x4, x1, x2) + +inst_158: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x27935b; + valaddr_reg:x3; val_offset:316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 316*FLEN/8, x4, x1, x2) + +inst_159: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0x27935b; + valaddr_reg:x3; val_offset:318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 318*FLEN/8, x4, x1, x2) + +inst_160: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x33da99; + valaddr_reg:x3; val_offset:320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 320*FLEN/8, x4, x1, x2) + +inst_161: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0x33da99; + valaddr_reg:x3; val_offset:322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 322*FLEN/8, x4, x1, x2) + +inst_162: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 324*FLEN/8, x4, x1, x2) + +inst_163: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 326*FLEN/8, x4, x1, x2) + +inst_164: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0d3ea3 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xd3ea3; + valaddr_reg:x3; val_offset:328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 328*FLEN/8, x4, x1, x2) + +inst_165: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 330*FLEN/8, x4, x1, x2) + +inst_166: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x802c9686; + valaddr_reg:x3; val_offset:332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 332*FLEN/8, x4, x1, x2) + +inst_167: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0x802c9686; + valaddr_reg:x3; val_offset:334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 334*FLEN/8, x4, x1, x2) + +inst_168: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x801fb335; + valaddr_reg:x3; val_offset:336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 336*FLEN/8, x4, x1, x2) + +inst_169: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x5b0376 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0xff5b0376; + valaddr_reg:x3; val_offset:338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 338*FLEN/8, x4, x1, x2) + +inst_170: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0d3ea3 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0xd3ea3; + valaddr_reg:x3; val_offset:340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 340*FLEN/8, x4, x1, x2) + +inst_171: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0x801fb335; + valaddr_reg:x3; val_offset:342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 342*FLEN/8, x4, x1, x2) + +inst_172: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 344*FLEN/8, x4, x1, x2) + +inst_173: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 346*FLEN/8, x4, x1, x2) + +inst_174: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x800642ea; + valaddr_reg:x3; val_offset:348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 348*FLEN/8, x4, x1, x2) + +inst_175: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x015310 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x15310; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 350*FLEN/8, x4, x1, x2) + +inst_176: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x015310 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x15310; + valaddr_reg:x3; val_offset:352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 352*FLEN/8, x4, x1, x2) + +inst_177: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x015310 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x15310; op2val:0x800642ea; + valaddr_reg:x3; val_offset:354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 354*FLEN/8, x4, x1, x2) + +inst_178: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x015310 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x15310; + valaddr_reg:x3; val_offset:356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 356*FLEN/8, x4, x1, x2) + +inst_179: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x7f0; + valaddr_reg:x3; val_offset:358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 358*FLEN/8, x4, x1, x2) + +inst_180: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x1bb0c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f9bb0c0; op2val:0x7f0; + valaddr_reg:x3; val_offset:360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 360*FLEN/8, x4, x1, x2) + +inst_181: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x1bb0c0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x3f9bb0c0; + valaddr_reg:x3; val_offset:362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 362*FLEN/8, x4, x1, x2) + +inst_182: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x1bb0c0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x3f9bb0c0; + valaddr_reg:x3; val_offset:364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 364*FLEN/8, x4, x1, x2) + +inst_183: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x7f222105; + valaddr_reg:x3; val_offset:366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 366*FLEN/8, x4, x1, x2) + +inst_184: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7f222105; + valaddr_reg:x3; val_offset:368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 368*FLEN/8, x4, x1, x2) + +inst_185: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 370*FLEN/8, x4, x1, x2) + +inst_186: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x7e587392; + valaddr_reg:x3; val_offset:372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 372*FLEN/8, x4, x1, x2) + +inst_187: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 374*FLEN/8, x4, x1, x2) + +inst_188: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 376*FLEN/8, x4, x1, x2) + +inst_189: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 378*FLEN/8, x4, x1, x2) + +inst_190: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 380*FLEN/8, x4, x1, x2) + +inst_191: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 382*FLEN/8, x4, x1, x2) + +inst_192: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x0bbcad and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7d8bbcad; + valaddr_reg:x3; val_offset:384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 384*FLEN/8, x4, x1, x2) + +inst_193: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x0bbcad and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d8bbcad; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 386*FLEN/8, x4, x1, x2) + +inst_194: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 388*FLEN/8, x4, x1, x2) + +inst_195: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 390*FLEN/8, x4, x1, x2) + +inst_196: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x7e587392; + valaddr_reg:x3; val_offset:392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 392*FLEN/8, x4, x1, x2) + +inst_197: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 394*FLEN/8, x4, x1, x2) + +inst_198: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x36cde1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xfd36cde1; + valaddr_reg:x3; val_offset:396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 396*FLEN/8, x4, x1, x2) + +inst_199: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x36cde1 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd36cde1; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 398*FLEN/8, x4, x1, x2) + +inst_200: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 400*FLEN/8, x4, x1, x2) + +inst_201: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 402*FLEN/8, x4, x1, x2) + +inst_202: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x7ff856 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xfcfff856; + valaddr_reg:x3; val_offset:404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 404*FLEN/8, x4, x1, x2) + +inst_203: +// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x7ff856 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfcfff856; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 406*FLEN/8, x4, x1, x2) + +inst_204: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 408*FLEN/8, x4, x1, x2) + +inst_205: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 410*FLEN/8, x4, x1, x2) + +inst_206: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x7e587392; + valaddr_reg:x3; val_offset:412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 412*FLEN/8, x4, x1, x2) + +inst_207: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0xfc538835; + valaddr_reg:x3; val_offset:414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 414*FLEN/8, x4, x1, x2) + +inst_208: +// fs1 == 0 and fe1 == 0xf9 and fm1 == 0x2d2942 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x043521 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7cad2942; op2val:0xfe043521; + valaddr_reg:x3; val_offset:416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 416*FLEN/8, x4, x1, x2) + +inst_209: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x043521 and fs2 == 0 and fe2 == 0xf9 and fm2 == 0x2d2942 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe043521; op2val:0x7cad2942; + valaddr_reg:x3; val_offset:418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 418*FLEN/8, x4, x1, x2) + +inst_210: +// fs1 == 0 and fe1 == 0xf9 and fm1 == 0x2d2942 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7cad2942; op2val:0xfc538835; + valaddr_reg:x3; val_offset:420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 420*FLEN/8, x4, x1, x2) + +inst_211: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0xf9 and fm2 == 0x2d2942 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x7cad2942; + valaddr_reg:x3; val_offset:422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 422*FLEN/8, x4, x1, x2) + +inst_212: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 424*FLEN/8, x4, x1, x2) + +inst_213: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x14c59d and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x7d94c59d; + valaddr_reg:x3; val_offset:426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 426*FLEN/8, x4, x1, x2) + +inst_214: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x14c59d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x4e52b1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d94c59d; op2val:0x4e52b1; + valaddr_reg:x3; val_offset:428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 428*FLEN/8, x4, x1, x2) + +inst_215: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 430*FLEN/8, x4, x1, x2) + +inst_216: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x4e52b1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x4e52b1; + valaddr_reg:x3; val_offset:432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 432*FLEN/8, x4, x1, x2) + +inst_217: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x3229c1; + valaddr_reg:x3; val_offset:434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 434*FLEN/8, x4, x1, x2) + +inst_218: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x0aa123 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x7e0aa123; + valaddr_reg:x3; val_offset:436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 436*FLEN/8, x4, x1, x2) + +inst_219: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0aa123 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x4e52b1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0aa123; op2val:0x4e52b1; + valaddr_reg:x3; val_offset:438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 438*FLEN/8, x4, x1, x2) + +inst_220: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x3229c1; + valaddr_reg:x3; val_offset:440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 440*FLEN/8, x4, x1, x2) + +inst_221: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 442*FLEN/8, x4, x1, x2) + +inst_222: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x209de3 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x7e209de3; + valaddr_reg:x3; val_offset:444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 444*FLEN/8, x4, x1, x2) + +inst_223: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x209de3 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x4e52b1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e209de3; op2val:0x4e52b1; + valaddr_reg:x3; val_offset:446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 446*FLEN/8, x4, x1, x2) + +inst_224: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 448*FLEN/8, x4, x1, x2) + +inst_225: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x27935b; + valaddr_reg:x3; val_offset:450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 450*FLEN/8, x4, x1, x2) + +inst_226: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x5abd82 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x7ddabd82; + valaddr_reg:x3; val_offset:452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 452*FLEN/8, x4, x1, x2) + +inst_227: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x5abd82 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x4e52b1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ddabd82; op2val:0x4e52b1; + valaddr_reg:x3; val_offset:454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 454*FLEN/8, x4, x1, x2) + +inst_228: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x27935b; + valaddr_reg:x3; val_offset:456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 456*FLEN/8, x4, x1, x2) + +inst_229: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x33da99; + valaddr_reg:x3; val_offset:458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 458*FLEN/8, x4, x1, x2) + +inst_230: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x0f4d55 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x7e0f4d55; + valaddr_reg:x3; val_offset:460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 460*FLEN/8, x4, x1, x2) + +inst_231: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0f4d55 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x4e52b1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0f4d55; op2val:0x4e52b1; + valaddr_reg:x3; val_offset:462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 462*FLEN/8, x4, x1, x2) + +inst_232: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x33da99; + valaddr_reg:x3; val_offset:464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 464*FLEN/8, x4, x1, x2) + +inst_233: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 466*FLEN/8, x4, x1, x2) + +inst_234: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x03130e and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0xfe03130e; + valaddr_reg:x3; val_offset:468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 468*FLEN/8, x4, x1, x2) + +inst_235: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03130e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x4e52b1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03130e; op2val:0x4e52b1; + valaddr_reg:x3; val_offset:470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 470*FLEN/8, x4, x1, x2) + +inst_236: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 472*FLEN/8, x4, x1, x2) + +inst_237: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x802c9686; + valaddr_reg:x3; val_offset:474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 474*FLEN/8, x4, x1, x2) + +inst_238: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x7671c2 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0xfdf671c2; + valaddr_reg:x3; val_offset:476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 476*FLEN/8, x4, x1, x2) + +inst_239: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x7671c2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x4e52b1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdf671c2; op2val:0x4e52b1; + valaddr_reg:x3; val_offset:478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 478*FLEN/8, x4, x1, x2) + +inst_240: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x802c9686; + valaddr_reg:x3; val_offset:480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 480*FLEN/8, x4, x1, x2) + +inst_241: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x801fb335; + valaddr_reg:x3; val_offset:482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 482*FLEN/8, x4, x1, x2) + +inst_242: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x2f35f8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0xfdaf35f8; + valaddr_reg:x3; val_offset:484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 484*FLEN/8, x4, x1, x2) + +inst_243: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x2f35f8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x4e52b1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdaf35f8; op2val:0x4e52b1; + valaddr_reg:x3; val_offset:486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 486*FLEN/8, x4, x1, x2) + +inst_244: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x801fb335; + valaddr_reg:x3; val_offset:488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 488*FLEN/8, x4, x1, x2) + +inst_245: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 490*FLEN/8, x4, x1, x2) + +inst_246: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x048fde and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0xfe048fde; + valaddr_reg:x3; val_offset:492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 492*FLEN/8, x4, x1, x2) + +inst_247: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x048fde and fs2 == 0 and fe2 == 0x00 and fm2 == 0x4e52b1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe048fde; op2val:0x4e52b1; + valaddr_reg:x3; val_offset:494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 494*FLEN/8, x4, x1, x2) + +inst_248: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 496*FLEN/8, x4, x1, x2) + +inst_249: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x800642ea; + valaddr_reg:x3; val_offset:498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 498*FLEN/8, x4, x1, x2) + +inst_250: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x07d511 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x2d09bb and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d511; op2val:0xfe2d09bb; + valaddr_reg:x3; val_offset:500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 500*FLEN/8, x4, x1, x2) + +inst_251: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2d09bb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x07d511 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2d09bb; op2val:0x7d511; + valaddr_reg:x3; val_offset:502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 502*FLEN/8, x4, x1, x2) + +inst_252: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x07d511 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d511; op2val:0x800642ea; + valaddr_reg:x3; val_offset:504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 504*FLEN/8, x4, x1, x2) + +inst_253: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x07d511 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x7d511; + valaddr_reg:x3; val_offset:506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 506*FLEN/8, x4, x1, x2) + +inst_254: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x7f0; + valaddr_reg:x3; val_offset:508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 508*FLEN/8, x4, x1, x2) + +inst_255: +// fs1 == 0 and fe1 == 0x81 and fm1 == 0x662bb0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x40e62bb0; op2val:0x7f0; + valaddr_reg:x3; val_offset:510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 510*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_3) + +inst_256: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x662bb0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x40e62bb0; + valaddr_reg:x3; val_offset:512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 512*FLEN/8, x4, x1, x2) + +inst_257: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x662bb0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x40e62bb0; + valaddr_reg:x3; val_offset:514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 514*FLEN/8, x4, x1, x2) + +inst_258: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 516*FLEN/8, x4, x1, x2) + +inst_259: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x7e587392; + valaddr_reg:x3; val_offset:518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 518*FLEN/8, x4, x1, x2) + +inst_260: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x0bbcad and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d8bbcad; op2val:0x7e587392; + valaddr_reg:x3; val_offset:520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 520*FLEN/8, x4, x1, x2) + +inst_261: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x0bbcad and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x7d8bbcad; + valaddr_reg:x3; val_offset:522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 522*FLEN/8, x4, x1, x2) + +inst_262: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 524*FLEN/8, x4, x1, x2) + +inst_263: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x0bbcad and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d8bbcad; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 526*FLEN/8, x4, x1, x2) + +inst_264: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x0bbcad and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7d8bbcad; + valaddr_reg:x3; val_offset:528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 528*FLEN/8, x4, x1, x2) + +inst_265: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x0bbcad and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d8bbcad; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 530*FLEN/8, x4, x1, x2) + +inst_266: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 532*FLEN/8, x4, x1, x2) + +inst_267: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 534*FLEN/8, x4, x1, x2) + +inst_268: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 536*FLEN/8, x4, x1, x2) + +inst_269: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 538*FLEN/8, x4, x1, x2) + +inst_270: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 540*FLEN/8, x4, x1, x2) + +inst_271: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x0bbcad and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d8bbcad; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 542*FLEN/8, x4, x1, x2) + +inst_272: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0xfc538835; + valaddr_reg:x3; val_offset:544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 544*FLEN/8, x4, x1, x2) + +inst_273: +// fs1 == 0 and fe1 == 0xf7 and fm1 == 0x5f9448 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7bdf9448; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 546*FLEN/8, x4, x1, x2) + +inst_274: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xf7 and fm2 == 0x5f9448 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7bdf9448; + valaddr_reg:x3; val_offset:548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 548*FLEN/8, x4, x1, x2) + +inst_275: +// fs1 == 0 and fe1 == 0xf7 and fm1 == 0x5f9448 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7bdf9448; op2val:0xfc538835; + valaddr_reg:x3; val_offset:550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 550*FLEN/8, x4, x1, x2) + +inst_276: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0xf7 and fm2 == 0x5f9448 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x7bdf9448; + valaddr_reg:x3; val_offset:552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 552*FLEN/8, x4, x1, x2) + +inst_277: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 554*FLEN/8, x4, x1, x2) + +inst_278: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x39f704 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0x7f39f704; + valaddr_reg:x3; val_offset:556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 556*FLEN/8, x4, x1, x2) + +inst_279: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x19482d and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f39f704; op2val:0x19482d; + valaddr_reg:x3; val_offset:558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 558*FLEN/8, x4, x1, x2) + +inst_280: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 560*FLEN/8, x4, x1, x2) + +inst_281: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x19482d and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x19482d; + valaddr_reg:x3; val_offset:562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 562*FLEN/8, x4, x1, x2) + +inst_282: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x3229c1; + valaddr_reg:x3; val_offset:564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 564*FLEN/8, x4, x1, x2) + +inst_283: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 566*FLEN/8, x4, x1, x2) + +inst_284: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x19482d and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x19482d; + valaddr_reg:x3; val_offset:568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 568*FLEN/8, x4, x1, x2) + +inst_285: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0x3229c1; + valaddr_reg:x3; val_offset:570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 570*FLEN/8, x4, x1, x2) + +inst_286: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 572*FLEN/8, x4, x1, x2) + +inst_287: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 574*FLEN/8, x4, x1, x2) + +inst_288: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x27935b; + valaddr_reg:x3; val_offset:576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 576*FLEN/8, x4, x1, x2) + +inst_289: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0x27935b; + valaddr_reg:x3; val_offset:578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 578*FLEN/8, x4, x1, x2) + +inst_290: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x33da99; + valaddr_reg:x3; val_offset:580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 580*FLEN/8, x4, x1, x2) + +inst_291: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0x33da99; + valaddr_reg:x3; val_offset:582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 582*FLEN/8, x4, x1, x2) + +inst_292: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 584*FLEN/8, x4, x1, x2) + +inst_293: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 586*FLEN/8, x4, x1, x2) + +inst_294: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x19482d and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x19482d; + valaddr_reg:x3; val_offset:588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 588*FLEN/8, x4, x1, x2) + +inst_295: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 590*FLEN/8, x4, x1, x2) + +inst_296: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x802c9686; + valaddr_reg:x3; val_offset:592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 592*FLEN/8, x4, x1, x2) + +inst_297: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0x802c9686; + valaddr_reg:x3; val_offset:594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 594*FLEN/8, x4, x1, x2) + +inst_298: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x801fb335; + valaddr_reg:x3; val_offset:596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 596*FLEN/8, x4, x1, x2) + +inst_299: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x5b0376 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0xff5b0376; + valaddr_reg:x3; val_offset:598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 598*FLEN/8, x4, x1, x2) + +inst_300: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x19482d and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0x19482d; + valaddr_reg:x3; val_offset:600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 600*FLEN/8, x4, x1, x2) + +inst_301: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0x801fb335; + valaddr_reg:x3; val_offset:602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 602*FLEN/8, x4, x1, x2) + +inst_302: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 604*FLEN/8, x4, x1, x2) + +inst_303: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 606*FLEN/8, x4, x1, x2) + +inst_304: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x800642ea; + valaddr_reg:x3; val_offset:608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 608*FLEN/8, x4, x1, x2) + +inst_305: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x028737 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x28737; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 610*FLEN/8, x4, x1, x2) + +inst_306: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x028737 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x28737; + valaddr_reg:x3; val_offset:612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 612*FLEN/8, x4, x1, x2) + +inst_307: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x028737 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x28737; op2val:0x800642ea; + valaddr_reg:x3; val_offset:614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 614*FLEN/8, x4, x1, x2) + +inst_308: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x028737 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x28737; + valaddr_reg:x3; val_offset:616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 616*FLEN/8, x4, x1, x2) + +inst_309: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x7f0; + valaddr_reg:x3; val_offset:618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 618*FLEN/8, x4, x1, x2) + +inst_310: +// fs1 == 0 and fe1 == 0x80 and fm1 == 0x149808 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x40149808; op2val:0x7f0; + valaddr_reg:x3; val_offset:620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 620*FLEN/8, x4, x1, x2) + +inst_311: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x149808 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x40149808; + valaddr_reg:x3; val_offset:622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 622*FLEN/8, x4, x1, x2) + +inst_312: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x149808 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x40149808; + valaddr_reg:x3; val_offset:624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 624*FLEN/8, x4, x1, x2) + +inst_313: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x7f222105; + valaddr_reg:x3; val_offset:626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 626*FLEN/8, x4, x1, x2) + +inst_314: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7f222105; + valaddr_reg:x3; val_offset:628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 628*FLEN/8, x4, x1, x2) + +inst_315: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 630*FLEN/8, x4, x1, x2) + +inst_316: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 632*FLEN/8, x4, x1, x2) + +inst_317: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 634*FLEN/8, x4, x1, x2) + +inst_318: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 636*FLEN/8, x4, x1, x2) + +inst_319: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 638*FLEN/8, x4, x1, x2) + +inst_320: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 640*FLEN/8, x4, x1, x2) + +inst_321: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 642*FLEN/8, x4, x1, x2) + +inst_322: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 644*FLEN/8, x4, x1, x2) + +inst_323: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 646*FLEN/8, x4, x1, x2) + +inst_324: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x36cde1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xfd36cde1; + valaddr_reg:x3; val_offset:648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 648*FLEN/8, x4, x1, x2) + +inst_325: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x36cde1 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd36cde1; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 650*FLEN/8, x4, x1, x2) + +inst_326: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 652*FLEN/8, x4, x1, x2) + +inst_327: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 654*FLEN/8, x4, x1, x2) + +inst_328: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x7ff856 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xfcfff856; + valaddr_reg:x3; val_offset:656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 656*FLEN/8, x4, x1, x2) + +inst_329: +// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x7ff856 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfcfff856; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 658*FLEN/8, x4, x1, x2) + +inst_330: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 660*FLEN/8, x4, x1, x2) + +inst_331: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 662*FLEN/8, x4, x1, x2) + +inst_332: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 664*FLEN/8, x4, x1, x2) + +inst_333: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0xfc538835; + valaddr_reg:x3; val_offset:666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 666*FLEN/8, x4, x1, x2) + +inst_334: +// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x14b67a and fs2 == 1 and fe2 == 0xfc and fm2 == 0x043521 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc94b67a; op2val:0xfe043521; + valaddr_reg:x3; val_offset:668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 668*FLEN/8, x4, x1, x2) + +inst_335: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x043521 and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x14b67a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe043521; op2val:0xfc94b67a; + valaddr_reg:x3; val_offset:670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 670*FLEN/8, x4, x1, x2) + +inst_336: +// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x14b67a and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc94b67a; op2val:0xfc538835; + valaddr_reg:x3; val_offset:672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 672*FLEN/8, x4, x1, x2) + +inst_337: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x14b67a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0xfc94b67a; + valaddr_reg:x3; val_offset:674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 674*FLEN/8, x4, x1, x2) + +inst_338: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 676*FLEN/8, x4, x1, x2) + +inst_339: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x14c59d and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x7d94c59d; + valaddr_reg:x3; val_offset:678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 678*FLEN/8, x4, x1, x2) + +inst_340: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x14c59d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x4343c4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d94c59d; op2val:0x804343c4; + valaddr_reg:x3; val_offset:680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 680*FLEN/8, x4, x1, x2) + +inst_341: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 682*FLEN/8, x4, x1, x2) + +inst_342: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x4343c4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x804343c4; + valaddr_reg:x3; val_offset:684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 684*FLEN/8, x4, x1, x2) + +inst_343: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x3229c1; + valaddr_reg:x3; val_offset:686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 686*FLEN/8, x4, x1, x2) + +inst_344: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x0aa123 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x7e0aa123; + valaddr_reg:x3; val_offset:688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 688*FLEN/8, x4, x1, x2) + +inst_345: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0aa123 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x4343c4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0aa123; op2val:0x804343c4; + valaddr_reg:x3; val_offset:690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 690*FLEN/8, x4, x1, x2) + +inst_346: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x3229c1; + valaddr_reg:x3; val_offset:692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 692*FLEN/8, x4, x1, x2) + +inst_347: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 694*FLEN/8, x4, x1, x2) + +inst_348: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x209de3 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x7e209de3; + valaddr_reg:x3; val_offset:696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 696*FLEN/8, x4, x1, x2) + +inst_349: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x209de3 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x4343c4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e209de3; op2val:0x804343c4; + valaddr_reg:x3; val_offset:698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 698*FLEN/8, x4, x1, x2) + +inst_350: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 700*FLEN/8, x4, x1, x2) + +inst_351: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x27935b; + valaddr_reg:x3; val_offset:702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 702*FLEN/8, x4, x1, x2) + +inst_352: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x5abd82 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x7ddabd82; + valaddr_reg:x3; val_offset:704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 704*FLEN/8, x4, x1, x2) + +inst_353: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x5abd82 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x4343c4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ddabd82; op2val:0x804343c4; + valaddr_reg:x3; val_offset:706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 706*FLEN/8, x4, x1, x2) + +inst_354: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x27935b; + valaddr_reg:x3; val_offset:708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 708*FLEN/8, x4, x1, x2) + +inst_355: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x33da99; + valaddr_reg:x3; val_offset:710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 710*FLEN/8, x4, x1, x2) + +inst_356: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x0f4d55 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x7e0f4d55; + valaddr_reg:x3; val_offset:712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 712*FLEN/8, x4, x1, x2) + +inst_357: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0f4d55 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x4343c4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0f4d55; op2val:0x804343c4; + valaddr_reg:x3; val_offset:714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 714*FLEN/8, x4, x1, x2) + +inst_358: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x33da99; + valaddr_reg:x3; val_offset:716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 716*FLEN/8, x4, x1, x2) + +inst_359: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 718*FLEN/8, x4, x1, x2) + +inst_360: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x03130e and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0xfe03130e; + valaddr_reg:x3; val_offset:720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 720*FLEN/8, x4, x1, x2) + +inst_361: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03130e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x4343c4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03130e; op2val:0x804343c4; + valaddr_reg:x3; val_offset:722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 722*FLEN/8, x4, x1, x2) + +inst_362: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 724*FLEN/8, x4, x1, x2) + +inst_363: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x802c9686; + valaddr_reg:x3; val_offset:726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 726*FLEN/8, x4, x1, x2) + +inst_364: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x7671c2 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0xfdf671c2; + valaddr_reg:x3; val_offset:728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 728*FLEN/8, x4, x1, x2) + +inst_365: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x7671c2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x4343c4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdf671c2; op2val:0x804343c4; + valaddr_reg:x3; val_offset:730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 730*FLEN/8, x4, x1, x2) + +inst_366: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x802c9686; + valaddr_reg:x3; val_offset:732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 732*FLEN/8, x4, x1, x2) + +inst_367: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x801fb335; + valaddr_reg:x3; val_offset:734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 734*FLEN/8, x4, x1, x2) + +inst_368: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x2f35f8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0xfdaf35f8; + valaddr_reg:x3; val_offset:736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 736*FLEN/8, x4, x1, x2) + +inst_369: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x2f35f8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x4343c4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdaf35f8; op2val:0x804343c4; + valaddr_reg:x3; val_offset:738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 738*FLEN/8, x4, x1, x2) + +inst_370: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x801fb335; + valaddr_reg:x3; val_offset:740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 740*FLEN/8, x4, x1, x2) + +inst_371: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 742*FLEN/8, x4, x1, x2) + +inst_372: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x048fde and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0xfe048fde; + valaddr_reg:x3; val_offset:744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 744*FLEN/8, x4, x1, x2) + +inst_373: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x048fde and fs2 == 1 and fe2 == 0x00 and fm2 == 0x4343c4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe048fde; op2val:0x804343c4; + valaddr_reg:x3; val_offset:746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 746*FLEN/8, x4, x1, x2) + +inst_374: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 748*FLEN/8, x4, x1, x2) + +inst_375: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x800642ea; + valaddr_reg:x3; val_offset:750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 750*FLEN/8, x4, x1, x2) + +inst_376: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x06b9fa and fs2 == 1 and fe2 == 0xfc and fm2 == 0x2d09bb and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8006b9fa; op2val:0xfe2d09bb; + valaddr_reg:x3; val_offset:752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 752*FLEN/8, x4, x1, x2) + +inst_377: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2d09bb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x06b9fa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2d09bb; op2val:0x8006b9fa; + valaddr_reg:x3; val_offset:754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 754*FLEN/8, x4, x1, x2) + +inst_378: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x06b9fa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8006b9fa; op2val:0x800642ea; + valaddr_reg:x3; val_offset:756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 756*FLEN/8, x4, x1, x2) + +inst_379: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x06b9fa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x8006b9fa; + valaddr_reg:x3; val_offset:758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 758*FLEN/8, x4, x1, x2) + +inst_380: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x7f0; + valaddr_reg:x3; val_offset:760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 760*FLEN/8, x4, x1, x2) + +inst_381: +// fs1 == 1 and fe1 == 0x81 and fm1 == 0x45ac58 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc0c5ac58; op2val:0x7f0; + valaddr_reg:x3; val_offset:762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 762*FLEN/8, x4, x1, x2) + +inst_382: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x45ac58 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc0c5ac58; + valaddr_reg:x3; val_offset:764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 764*FLEN/8, x4, x1, x2) + +inst_383: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x45ac58 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0xc0c5ac58; + valaddr_reg:x3; val_offset:766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 766*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_4) + +inst_384: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 768*FLEN/8, x4, x1, x2) + +inst_385: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x7e587392; + valaddr_reg:x3; val_offset:770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 770*FLEN/8, x4, x1, x2) + +inst_386: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x36cde1 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd36cde1; op2val:0x7e587392; + valaddr_reg:x3; val_offset:772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 772*FLEN/8, x4, x1, x2) + +inst_387: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0xfa and fm2 == 0x36cde1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0xfd36cde1; + valaddr_reg:x3; val_offset:774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 774*FLEN/8, x4, x1, x2) + +inst_388: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 776*FLEN/8, x4, x1, x2) + +inst_389: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x36cde1 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd36cde1; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 778*FLEN/8, x4, x1, x2) + +inst_390: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 780*FLEN/8, x4, x1, x2) + +inst_391: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 782*FLEN/8, x4, x1, x2) + +inst_392: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 784*FLEN/8, x4, x1, x2) + +inst_393: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x36cde1 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd36cde1; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 786*FLEN/8, x4, x1, x2) + +inst_394: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0xfc538835; + valaddr_reg:x3; val_offset:788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 788*FLEN/8, x4, x1, x2) + +inst_395: +// fs1 == 1 and fe1 == 0xf7 and fm1 == 0x123e4e and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfb923e4e; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 790*FLEN/8, x4, x1, x2) + +inst_396: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xf7 and fm2 == 0x123e4e and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xfb923e4e; + valaddr_reg:x3; val_offset:792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 792*FLEN/8, x4, x1, x2) + +inst_397: +// fs1 == 1 and fe1 == 0xf7 and fm1 == 0x123e4e and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfb923e4e; op2val:0xfc538835; + valaddr_reg:x3; val_offset:794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 794*FLEN/8, x4, x1, x2) + +inst_398: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0xf7 and fm2 == 0x123e4e and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0xfb923e4e; + valaddr_reg:x3; val_offset:796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 796*FLEN/8, x4, x1, x2) + +inst_399: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 798*FLEN/8, x4, x1, x2) + +inst_400: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x39f704 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0x7f39f704; + valaddr_reg:x3; val_offset:800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 800*FLEN/8, x4, x1, x2) + +inst_401: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108974 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f39f704; op2val:0x80108974; + valaddr_reg:x3; val_offset:802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 802*FLEN/8, x4, x1, x2) + +inst_402: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 804*FLEN/8, x4, x1, x2) + +inst_403: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108974 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x80108974; + valaddr_reg:x3; val_offset:806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 806*FLEN/8, x4, x1, x2) + +inst_404: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x3229c1; + valaddr_reg:x3; val_offset:808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 808*FLEN/8, x4, x1, x2) + +inst_405: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 810*FLEN/8, x4, x1, x2) + +inst_406: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108974 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x80108974; + valaddr_reg:x3; val_offset:812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 812*FLEN/8, x4, x1, x2) + +inst_407: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0x3229c1; + valaddr_reg:x3; val_offset:814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 814*FLEN/8, x4, x1, x2) + +inst_408: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 816*FLEN/8, x4, x1, x2) + +inst_409: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 818*FLEN/8, x4, x1, x2) + +inst_410: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x27935b; + valaddr_reg:x3; val_offset:820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 820*FLEN/8, x4, x1, x2) + +inst_411: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0x27935b; + valaddr_reg:x3; val_offset:822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 822*FLEN/8, x4, x1, x2) + +inst_412: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x33da99; + valaddr_reg:x3; val_offset:824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 824*FLEN/8, x4, x1, x2) + +inst_413: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0x33da99; + valaddr_reg:x3; val_offset:826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 826*FLEN/8, x4, x1, x2) + +inst_414: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 828*FLEN/8, x4, x1, x2) + +inst_415: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 830*FLEN/8, x4, x1, x2) + +inst_416: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108974 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x80108974; + valaddr_reg:x3; val_offset:832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 832*FLEN/8, x4, x1, x2) + +inst_417: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 834*FLEN/8, x4, x1, x2) + +inst_418: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x802c9686; + valaddr_reg:x3; val_offset:836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 836*FLEN/8, x4, x1, x2) + +inst_419: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0x802c9686; + valaddr_reg:x3; val_offset:838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 838*FLEN/8, x4, x1, x2) + +inst_420: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x801fb335; + valaddr_reg:x3; val_offset:840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 840*FLEN/8, x4, x1, x2) + +inst_421: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x5b0376 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0xff5b0376; + valaddr_reg:x3; val_offset:842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 842*FLEN/8, x4, x1, x2) + +inst_422: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108974 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0x80108974; + valaddr_reg:x3; val_offset:844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 844*FLEN/8, x4, x1, x2) + +inst_423: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0x801fb335; + valaddr_reg:x3; val_offset:846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 846*FLEN/8, x4, x1, x2) + +inst_424: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 848*FLEN/8, x4, x1, x2) + +inst_425: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 850*FLEN/8, x4, x1, x2) + +inst_426: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x800642ea; + valaddr_reg:x3; val_offset:852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 852*FLEN/8, x4, x1, x2) + +inst_427: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x01a758 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8001a758; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 854*FLEN/8, x4, x1, x2) + +inst_428: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x01a758 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x8001a758; + valaddr_reg:x3; val_offset:856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 856*FLEN/8, x4, x1, x2) + +inst_429: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x01a758 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8001a758; op2val:0x800642ea; + valaddr_reg:x3; val_offset:858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 858*FLEN/8, x4, x1, x2) + +inst_430: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x01a758 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x8001a758; + valaddr_reg:x3; val_offset:860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 860*FLEN/8, x4, x1, x2) + +inst_431: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x7f0; + valaddr_reg:x3; val_offset:862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 862*FLEN/8, x4, x1, x2) + +inst_432: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x42640b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbfc2640b; op2val:0x7f0; + valaddr_reg:x3; val_offset:864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 864*FLEN/8, x4, x1, x2) + +inst_433: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x42640b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xbfc2640b; + valaddr_reg:x3; val_offset:866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 866*FLEN/8, x4, x1, x2) + +inst_434: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0x7f and fm2 == 0x42640b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0xbfc2640b; + valaddr_reg:x3; val_offset:868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 868*FLEN/8, x4, x1, x2) + +inst_435: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 870*FLEN/8, x4, x1, x2) + +inst_436: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x7e587392; + valaddr_reg:x3; val_offset:872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 872*FLEN/8, x4, x1, x2) + +inst_437: +// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x7ff856 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfcfff856; op2val:0x7e587392; + valaddr_reg:x3; val_offset:874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 874*FLEN/8, x4, x1, x2) + +inst_438: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x7ff856 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0xfcfff856; + valaddr_reg:x3; val_offset:876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 876*FLEN/8, x4, x1, x2) + +inst_439: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 878*FLEN/8, x4, x1, x2) + +inst_440: +// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x7ff856 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfcfff856; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 880*FLEN/8, x4, x1, x2) + +inst_441: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 882*FLEN/8, x4, x1, x2) + +inst_442: +// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x7ff856 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfcfff856; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 884*FLEN/8, x4, x1, x2) + +inst_443: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0xfc538835; + valaddr_reg:x3; val_offset:886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 886*FLEN/8, x4, x1, x2) + +inst_444: +// fs1 == 1 and fe1 == 0xf6 and fm1 == 0x4cc6ab and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfb4cc6ab; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 888*FLEN/8, x4, x1, x2) + +inst_445: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xf6 and fm2 == 0x4cc6ab and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xfb4cc6ab; + valaddr_reg:x3; val_offset:890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 890*FLEN/8, x4, x1, x2) + +inst_446: +// fs1 == 1 and fe1 == 0xf6 and fm1 == 0x4cc6ab and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfb4cc6ab; op2val:0xfc538835; + valaddr_reg:x3; val_offset:892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 892*FLEN/8, x4, x1, x2) + +inst_447: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0xf6 and fm2 == 0x4cc6ab and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0xfb4cc6ab; + valaddr_reg:x3; val_offset:894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 894*FLEN/8, x4, x1, x2) + +inst_448: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 896*FLEN/8, x4, x1, x2) + +inst_449: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 0 and fe2 == 0xfe and fm2 == 0x39f704 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0x7f39f704; + valaddr_reg:x3; val_offset:898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 898*FLEN/8, x4, x1, x2) + +inst_450: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0b93ee and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f39f704; op2val:0x800b93ee; + valaddr_reg:x3; val_offset:900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 900*FLEN/8, x4, x1, x2) + +inst_451: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 902*FLEN/8, x4, x1, x2) + +inst_452: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0b93ee and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x800b93ee; + valaddr_reg:x3; val_offset:904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 904*FLEN/8, x4, x1, x2) + +inst_453: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x3229c1; + valaddr_reg:x3; val_offset:906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 906*FLEN/8, x4, x1, x2) + +inst_454: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 908*FLEN/8, x4, x1, x2) + +inst_455: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0b93ee and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x800b93ee; + valaddr_reg:x3; val_offset:910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 910*FLEN/8, x4, x1, x2) + +inst_456: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0x3229c1; + valaddr_reg:x3; val_offset:912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 912*FLEN/8, x4, x1, x2) + +inst_457: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 914*FLEN/8, x4, x1, x2) + +inst_458: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:916*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 916*FLEN/8, x4, x1, x2) + +inst_459: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x27935b; + valaddr_reg:x3; val_offset:918*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 918*FLEN/8, x4, x1, x2) + +inst_460: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0x27935b; + valaddr_reg:x3; val_offset:920*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 920*FLEN/8, x4, x1, x2) + +inst_461: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x33da99; + valaddr_reg:x3; val_offset:922*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 922*FLEN/8, x4, x1, x2) + +inst_462: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0x33da99; + valaddr_reg:x3; val_offset:924*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 924*FLEN/8, x4, x1, x2) + +inst_463: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:926*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 926*FLEN/8, x4, x1, x2) + +inst_464: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:928*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 928*FLEN/8, x4, x1, x2) + +inst_465: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0b93ee and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x800b93ee; + valaddr_reg:x3; val_offset:930*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 930*FLEN/8, x4, x1, x2) + +inst_466: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:932*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 932*FLEN/8, x4, x1, x2) + +inst_467: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x802c9686; + valaddr_reg:x3; val_offset:934*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 934*FLEN/8, x4, x1, x2) + +inst_468: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0x802c9686; + valaddr_reg:x3; val_offset:936*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 936*FLEN/8, x4, x1, x2) + +inst_469: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x801fb335; + valaddr_reg:x3; val_offset:938*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 938*FLEN/8, x4, x1, x2) + +inst_470: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 1 and fe2 == 0xfe and fm2 == 0x5b0376 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0xff5b0376; + valaddr_reg:x3; val_offset:940*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 940*FLEN/8, x4, x1, x2) + +inst_471: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0b93ee and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0x800b93ee; + valaddr_reg:x3; val_offset:942*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 942*FLEN/8, x4, x1, x2) + +inst_472: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0x801fb335; + valaddr_reg:x3; val_offset:944*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 944*FLEN/8, x4, x1, x2) + +inst_473: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:946*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 946*FLEN/8, x4, x1, x2) + +inst_474: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:948*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 948*FLEN/8, x4, x1, x2) + +inst_475: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x800642ea; + valaddr_reg:x3; val_offset:950*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 950*FLEN/8, x4, x1, x2) + +inst_476: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x012864 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80012864; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:952*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 952*FLEN/8, x4, x1, x2) + +inst_477: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x012864 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x80012864; + valaddr_reg:x3; val_offset:954*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 954*FLEN/8, x4, x1, x2) + +inst_478: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x012864 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80012864; op2val:0x800642ea; + valaddr_reg:x3; val_offset:956*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 956*FLEN/8, x4, x1, x2) + +inst_479: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x012864 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x80012864; + valaddr_reg:x3; val_offset:958*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 958*FLEN/8, x4, x1, x2) + +inst_480: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x7f0; + valaddr_reg:x3; val_offset:960*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 960*FLEN/8, x4, x1, x2) + +inst_481: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x0818d6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf8818d6; op2val:0x7f0; + valaddr_reg:x3; val_offset:962*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 962*FLEN/8, x4, x1, x2) + +inst_482: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x0818d6 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xbf8818d6; + valaddr_reg:x3; val_offset:964*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 964*FLEN/8, x4, x1, x2) + +inst_483: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x0818d6 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0xbf8818d6; + valaddr_reg:x3; val_offset:966*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 966*FLEN/8, x4, x1, x2) + +inst_484: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x7f222105; + valaddr_reg:x3; val_offset:968*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 968*FLEN/8, x4, x1, x2) + +inst_485: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:970*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 970*FLEN/8, x4, x1, x2) + +inst_486: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:972*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 972*FLEN/8, x4, x1, x2) + +inst_487: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:974*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 974*FLEN/8, x4, x1, x2) + +inst_488: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:976*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 976*FLEN/8, x4, x1, x2) + +inst_489: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:978*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 978*FLEN/8, x4, x1, x2) + +inst_490: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:980*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 980*FLEN/8, x4, x1, x2) + +inst_491: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:982*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 982*FLEN/8, x4, x1, x2) + +inst_492: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0xfc538835; + valaddr_reg:x3; val_offset:984*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 984*FLEN/8, x4, x1, x2) + +inst_493: +// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x1608ad and fs2 == 1 and fe2 == 0xfc and fm2 == 0x043521 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc9608ad; op2val:0xfe043521; + valaddr_reg:x3; val_offset:986*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 986*FLEN/8, x4, x1, x2) + +inst_494: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x043521 and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x1608ad and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe043521; op2val:0xfc9608ad; + valaddr_reg:x3; val_offset:988*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 988*FLEN/8, x4, x1, x2) + +inst_495: +// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x1608ad and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc9608ad; op2val:0xfc538835; + valaddr_reg:x3; val_offset:990*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 990*FLEN/8, x4, x1, x2) + +inst_496: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x1608ad and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0xfc9608ad; + valaddr_reg:x3; val_offset:992*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 992*FLEN/8, x4, x1, x2) + +inst_497: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:994*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 994*FLEN/8, x4, x1, x2) + +inst_498: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 0 and fe2 == 0xfb and fm2 == 0x14c59d and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x7d94c59d; + valaddr_reg:x3; val_offset:996*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 996*FLEN/8, x4, x1, x2) + +inst_499: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x14c59d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x43dcbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d94c59d; op2val:0x8043dcbc; + valaddr_reg:x3; val_offset:998*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 998*FLEN/8, x4, x1, x2) + +inst_500: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1000*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1000*FLEN/8, x4, x1, x2) + +inst_501: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x43dcbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x8043dcbc; + valaddr_reg:x3; val_offset:1002*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1002*FLEN/8, x4, x1, x2) + +inst_502: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1004*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1004*FLEN/8, x4, x1, x2) + +inst_503: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 0 and fe2 == 0xfc and fm2 == 0x0aa123 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x7e0aa123; + valaddr_reg:x3; val_offset:1006*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1006*FLEN/8, x4, x1, x2) + +inst_504: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0aa123 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x43dcbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0aa123; op2val:0x8043dcbc; + valaddr_reg:x3; val_offset:1008*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1008*FLEN/8, x4, x1, x2) + +inst_505: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1010*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1010*FLEN/8, x4, x1, x2) + +inst_506: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1012*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1012*FLEN/8, x4, x1, x2) + +inst_507: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 0 and fe2 == 0xfc and fm2 == 0x209de3 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x7e209de3; + valaddr_reg:x3; val_offset:1014*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1014*FLEN/8, x4, x1, x2) + +inst_508: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x209de3 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x43dcbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e209de3; op2val:0x8043dcbc; + valaddr_reg:x3; val_offset:1016*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1016*FLEN/8, x4, x1, x2) + +inst_509: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1018*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1018*FLEN/8, x4, x1, x2) + +inst_510: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x27935b; + valaddr_reg:x3; val_offset:1020*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1020*FLEN/8, x4, x1, x2) + +inst_511: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 0 and fe2 == 0xfb and fm2 == 0x5abd82 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x7ddabd82; + valaddr_reg:x3; val_offset:1022*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1022*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_5) + +inst_512: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x5abd82 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x43dcbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ddabd82; op2val:0x8043dcbc; + valaddr_reg:x3; val_offset:1024*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1024*FLEN/8, x4, x1, x2) + +inst_513: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x27935b; + valaddr_reg:x3; val_offset:1026*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1026*FLEN/8, x4, x1, x2) + +inst_514: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x33da99; + valaddr_reg:x3; val_offset:1028*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1028*FLEN/8, x4, x1, x2) + +inst_515: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 0 and fe2 == 0xfc and fm2 == 0x0f4d55 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x7e0f4d55; + valaddr_reg:x3; val_offset:1030*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1030*FLEN/8, x4, x1, x2) + +inst_516: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0f4d55 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x43dcbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0f4d55; op2val:0x8043dcbc; + valaddr_reg:x3; val_offset:1032*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1032*FLEN/8, x4, x1, x2) + +inst_517: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x33da99; + valaddr_reg:x3; val_offset:1034*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1034*FLEN/8, x4, x1, x2) + +inst_518: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1036*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1036*FLEN/8, x4, x1, x2) + +inst_519: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 1 and fe2 == 0xfc and fm2 == 0x03130e and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0xfe03130e; + valaddr_reg:x3; val_offset:1038*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1038*FLEN/8, x4, x1, x2) + +inst_520: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03130e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x43dcbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03130e; op2val:0x8043dcbc; + valaddr_reg:x3; val_offset:1040*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1040*FLEN/8, x4, x1, x2) + +inst_521: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1042*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1042*FLEN/8, x4, x1, x2) + +inst_522: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1044*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1044*FLEN/8, x4, x1, x2) + +inst_523: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 1 and fe2 == 0xfb and fm2 == 0x7671c2 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0xfdf671c2; + valaddr_reg:x3; val_offset:1046*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1046*FLEN/8, x4, x1, x2) + +inst_524: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x7671c2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x43dcbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdf671c2; op2val:0x8043dcbc; + valaddr_reg:x3; val_offset:1048*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1048*FLEN/8, x4, x1, x2) + +inst_525: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1050*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1050*FLEN/8, x4, x1, x2) + +inst_526: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1052*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1052*FLEN/8, x4, x1, x2) + +inst_527: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 1 and fe2 == 0xfb and fm2 == 0x2f35f8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0xfdaf35f8; + valaddr_reg:x3; val_offset:1054*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1054*FLEN/8, x4, x1, x2) + +inst_528: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x2f35f8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x43dcbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdaf35f8; op2val:0x8043dcbc; + valaddr_reg:x3; val_offset:1056*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1056*FLEN/8, x4, x1, x2) + +inst_529: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1058*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1058*FLEN/8, x4, x1, x2) + +inst_530: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1060*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1060*FLEN/8, x4, x1, x2) + +inst_531: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 1 and fe2 == 0xfc and fm2 == 0x048fde and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0xfe048fde; + valaddr_reg:x3; val_offset:1062*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1062*FLEN/8, x4, x1, x2) + +inst_532: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x048fde and fs2 == 1 and fe2 == 0x00 and fm2 == 0x43dcbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe048fde; op2val:0x8043dcbc; + valaddr_reg:x3; val_offset:1064*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1064*FLEN/8, x4, x1, x2) + +inst_533: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1066*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1066*FLEN/8, x4, x1, x2) + +inst_534: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1068*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1068*FLEN/8, x4, x1, x2) + +inst_535: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x06c946 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x2d09bb and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8006c946; op2val:0xfe2d09bb; + valaddr_reg:x3; val_offset:1070*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1070*FLEN/8, x4, x1, x2) + +inst_536: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2d09bb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x06c946 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2d09bb; op2val:0x8006c946; + valaddr_reg:x3; val_offset:1072*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1072*FLEN/8, x4, x1, x2) + +inst_537: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x06c946 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8006c946; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1074*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1074*FLEN/8, x4, x1, x2) + +inst_538: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x06c946 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x8006c946; + valaddr_reg:x3; val_offset:1076*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1076*FLEN/8, x4, x1, x2) + +inst_539: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x7f0; + valaddr_reg:x3; val_offset:1078*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1078*FLEN/8, x4, x1, x2) + +inst_540: +// fs1 == 1 and fe1 == 0x81 and fm1 == 0x476de3 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc0c76de3; op2val:0x7f0; + valaddr_reg:x3; val_offset:1080*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1080*FLEN/8, x4, x1, x2) + +inst_541: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x476de3 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc0c76de3; + valaddr_reg:x3; val_offset:1082*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1082*FLEN/8, x4, x1, x2) + +inst_542: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x476de3 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0xc0c76de3; + valaddr_reg:x3; val_offset:1084*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1084*FLEN/8, x4, x1, x2) + +inst_543: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1086*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1086*FLEN/8, x4, x1, x2) + +inst_544: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1088*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1088*FLEN/8, x4, x1, x2) + +inst_545: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1090*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1090*FLEN/8, x4, x1, x2) + +inst_546: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1092*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1092*FLEN/8, x4, x1, x2) + +inst_547: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1094*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1094*FLEN/8, x4, x1, x2) + +inst_548: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1096*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1096*FLEN/8, x4, x1, x2) + +inst_549: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x043521 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe043521; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1098*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1098*FLEN/8, x4, x1, x2) + +inst_550: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x043521 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0xfe043521; + valaddr_reg:x3; val_offset:1100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1100*FLEN/8, x4, x1, x2) + +inst_551: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1102*FLEN/8, x4, x1, x2) + +inst_552: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1104*FLEN/8, x4, x1, x2) + +inst_553: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x043521 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe043521; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1106*FLEN/8, x4, x1, x2) + +inst_554: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1108*FLEN/8, x4, x1, x2) + +inst_555: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1110*FLEN/8, x4, x1, x2) + +inst_556: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1112*FLEN/8, x4, x1, x2) + +inst_557: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x043521 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe043521; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1114*FLEN/8, x4, x1, x2) + +inst_558: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1116*FLEN/8, x4, x1, x2) + +inst_559: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 0 and fe2 == 0xf7 and fm2 == 0x6e08fb and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x7bee08fb; + valaddr_reg:x3; val_offset:1118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1118*FLEN/8, x4, x1, x2) + +inst_560: +// fs1 == 0 and fe1 == 0xf7 and fm1 == 0x6e08fb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2fd6de and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7bee08fb; op2val:0x802fd6de; + valaddr_reg:x3; val_offset:1120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1120*FLEN/8, x4, x1, x2) + +inst_561: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1122*FLEN/8, x4, x1, x2) + +inst_562: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2fd6de and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x802fd6de; + valaddr_reg:x3; val_offset:1124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1124*FLEN/8, x4, x1, x2) + +inst_563: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1126*FLEN/8, x4, x1, x2) + +inst_564: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 0 and fe2 == 0xf8 and fm2 == 0x5dce9f and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x7c5dce9f; + valaddr_reg:x3; val_offset:1128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1128*FLEN/8, x4, x1, x2) + +inst_565: +// fs1 == 0 and fe1 == 0xf8 and fm1 == 0x5dce9f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2fd6de and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7c5dce9f; op2val:0x802fd6de; + valaddr_reg:x3; val_offset:1130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1130*FLEN/8, x4, x1, x2) + +inst_566: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1132*FLEN/8, x4, x1, x2) + +inst_567: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1134*FLEN/8, x4, x1, x2) + +inst_568: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 0 and fe2 == 0xf9 and fm2 == 0x007e4f and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x7c807e4f; + valaddr_reg:x3; val_offset:1136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1136*FLEN/8, x4, x1, x2) + +inst_569: +// fs1 == 0 and fe1 == 0xf9 and fm1 == 0x007e4f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2fd6de and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7c807e4f; op2val:0x802fd6de; + valaddr_reg:x3; val_offset:1138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1138*FLEN/8, x4, x1, x2) + +inst_570: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1140*FLEN/8, x4, x1, x2) + +inst_571: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x27935b; + valaddr_reg:x3; val_offset:1142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1142*FLEN/8, x4, x1, x2) + +inst_572: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 0 and fe2 == 0xf8 and fm2 == 0x2efe01 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x7c2efe01; + valaddr_reg:x3; val_offset:1144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1144*FLEN/8, x4, x1, x2) + +inst_573: +// fs1 == 0 and fe1 == 0xf8 and fm1 == 0x2efe01 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2fd6de and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7c2efe01; op2val:0x802fd6de; + valaddr_reg:x3; val_offset:1146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1146*FLEN/8, x4, x1, x2) + +inst_574: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x27935b; + valaddr_reg:x3; val_offset:1148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1148*FLEN/8, x4, x1, x2) + +inst_575: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x33da99; + valaddr_reg:x3; val_offset:1150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1150*FLEN/8, x4, x1, x2) + +inst_576: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 0 and fe2 == 0xf8 and fm2 == 0x654888 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x7c654888; + valaddr_reg:x3; val_offset:1152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1152*FLEN/8, x4, x1, x2) + +inst_577: +// fs1 == 0 and fe1 == 0xf8 and fm1 == 0x654888 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2fd6de and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7c654888; op2val:0x802fd6de; + valaddr_reg:x3; val_offset:1154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1154*FLEN/8, x4, x1, x2) + +inst_578: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x33da99; + valaddr_reg:x3; val_offset:1156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1156*FLEN/8, x4, x1, x2) + +inst_579: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1158*FLEN/8, x4, x1, x2) + +inst_580: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x51b817 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0xfc51b817; + valaddr_reg:x3; val_offset:1160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1160*FLEN/8, x4, x1, x2) + +inst_581: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x51b817 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2fd6de and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc51b817; op2val:0x802fd6de; + valaddr_reg:x3; val_offset:1162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1162*FLEN/8, x4, x1, x2) + +inst_582: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1164*FLEN/8, x4, x1, x2) + +inst_583: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1166*FLEN/8, x4, x1, x2) + +inst_584: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x4527ce and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0xfc4527ce; + valaddr_reg:x3; val_offset:1168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1168*FLEN/8, x4, x1, x2) + +inst_585: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x4527ce and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2fd6de and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc4527ce; op2val:0x802fd6de; + valaddr_reg:x3; val_offset:1170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1170*FLEN/8, x4, x1, x2) + +inst_586: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1172*FLEN/8, x4, x1, x2) + +inst_587: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1174*FLEN/8, x4, x1, x2) + +inst_588: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x0c2b2c and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0xfc0c2b2c; + valaddr_reg:x3; val_offset:1176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1176*FLEN/8, x4, x1, x2) + +inst_589: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x0c2b2c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2fd6de and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc0c2b2c; op2val:0x802fd6de; + valaddr_reg:x3; val_offset:1178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1178*FLEN/8, x4, x1, x2) + +inst_590: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1180*FLEN/8, x4, x1, x2) + +inst_591: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1182*FLEN/8, x4, x1, x2) + +inst_592: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x541963 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0xfc541963; + valaddr_reg:x3; val_offset:1184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1184*FLEN/8, x4, x1, x2) + +inst_593: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x541963 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2fd6de and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc541963; op2val:0x802fd6de; + valaddr_reg:x3; val_offset:1186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1186*FLEN/8, x4, x1, x2) + +inst_594: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1188*FLEN/8, x4, x1, x2) + +inst_595: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1190*FLEN/8, x4, x1, x2) + +inst_596: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x04c8af and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x0a6e2f and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8004c8af; op2val:0xfc8a6e2f; + valaddr_reg:x3; val_offset:1192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1192*FLEN/8, x4, x1, x2) + +inst_597: +// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x0a6e2f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x04c8af and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc8a6e2f; op2val:0x8004c8af; + valaddr_reg:x3; val_offset:1194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1194*FLEN/8, x4, x1, x2) + +inst_598: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x04c8af and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8004c8af; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1196*FLEN/8, x4, x1, x2) + +inst_599: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x04c8af and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x8004c8af; + valaddr_reg:x3; val_offset:1198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1198*FLEN/8, x4, x1, x2) + +inst_600: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x7f0; + valaddr_reg:x3; val_offset:1200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1200*FLEN/8, x4, x1, x2) + +inst_601: +// fs1 == 1 and fe1 == 0x81 and fm1 == 0x0c9650 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc08c9650; op2val:0x7f0; + valaddr_reg:x3; val_offset:1202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1202*FLEN/8, x4, x1, x2) + +inst_602: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x0c9650 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc08c9650; + valaddr_reg:x3; val_offset:1204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1204*FLEN/8, x4, x1, x2) + +inst_603: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x0c9650 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0xc08c9650; + valaddr_reg:x3; val_offset:1206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1206*FLEN/8, x4, x1, x2) + +inst_604: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1208*FLEN/8, x4, x1, x2) + +inst_605: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f39f704; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1210*FLEN/8, x4, x1, x2) + +inst_606: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x39f704 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x7f39f704; + valaddr_reg:x3; val_offset:1212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1212*FLEN/8, x4, x1, x2) + +inst_607: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1214*FLEN/8, x4, x1, x2) + +inst_608: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1216*FLEN/8, x4, x1, x2) + +inst_609: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f39f704; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1218*FLEN/8, x4, x1, x2) + +inst_610: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1220*FLEN/8, x4, x1, x2) + +inst_611: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f39f704; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1222*FLEN/8, x4, x1, x2) + +inst_612: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1224*FLEN/8, x4, x1, x2) + +inst_613: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x14c59d and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d94c59d; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1226*FLEN/8, x4, x1, x2) + +inst_614: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x14c59d and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x7d94c59d; + valaddr_reg:x3; val_offset:1228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1228*FLEN/8, x4, x1, x2) + +inst_615: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1230*FLEN/8, x4, x1, x2) + +inst_616: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f39f704; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1232*FLEN/8, x4, x1, x2) + +inst_617: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1234*FLEN/8, x4, x1, x2) + +inst_618: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x14c59d and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d94c59d; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1236*FLEN/8, x4, x1, x2) + +inst_619: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1238*FLEN/8, x4, x1, x2) + +inst_620: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f39f704; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1240*FLEN/8, x4, x1, x2) + +inst_621: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1242*FLEN/8, x4, x1, x2) + +inst_622: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f39f704; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1244*FLEN/8, x4, x1, x2) + +inst_623: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1246*FLEN/8, x4, x1, x2) + +inst_624: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x14c59d and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d94c59d; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1248*FLEN/8, x4, x1, x2) + +inst_625: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1250*FLEN/8, x4, x1, x2) + +inst_626: +// fs1 == 0 and fe1 == 0xf7 and fm1 == 0x6e08fb and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7bee08fb; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1252*FLEN/8, x4, x1, x2) + +inst_627: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0xf7 and fm2 == 0x6e08fb and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x7bee08fb; + valaddr_reg:x3; val_offset:1254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1254*FLEN/8, x4, x1, x2) + +inst_628: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1256*FLEN/8, x4, x1, x2) + +inst_629: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1258*FLEN/8, x4, x1, x2) + +inst_630: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1260*FLEN/8, x4, x1, x2) + +inst_631: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1262*FLEN/8, x4, x1, x2) + +inst_632: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x27935b; + valaddr_reg:x3; val_offset:1264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1264*FLEN/8, x4, x1, x2) + +inst_633: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1266*FLEN/8, x4, x1, x2) + +inst_634: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x33da99; + valaddr_reg:x3; val_offset:1268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1268*FLEN/8, x4, x1, x2) + +inst_635: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1270*FLEN/8, x4, x1, x2) + +inst_636: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1272*FLEN/8, x4, x1, x2) + +inst_637: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1274*FLEN/8, x4, x1, x2) + +inst_638: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1276*FLEN/8, x4, x1, x2) + +inst_639: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1278*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_6) + +inst_640: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1280*FLEN/8, x4, x1, x2) + +inst_641: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1282*FLEN/8, x4, x1, x2) + +inst_642: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1284*FLEN/8, x4, x1, x2) + +inst_643: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1286*FLEN/8, x4, x1, x2) + +inst_644: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1288*FLEN/8, x4, x1, x2) + +inst_645: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x02b110 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e9d25 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2b110; op2val:0x803e9d25; + valaddr_reg:x3; val_offset:1290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1290*FLEN/8, x4, x1, x2) + +inst_646: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x02b110 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x2b110; + valaddr_reg:x3; val_offset:1292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1292*FLEN/8, x4, x1, x2) + +inst_647: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x02b110 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2b110; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1294*FLEN/8, x4, x1, x2) + +inst_648: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x02b110 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x2b110; + valaddr_reg:x3; val_offset:1296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1296*FLEN/8, x4, x1, x2) + +inst_649: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x7f0; + valaddr_reg:x3; val_offset:1298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1298*FLEN/8, x4, x1, x2) + +inst_650: +// fs1 == 0 and fe1 == 0x80 and fm1 == 0x1e3392 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x401e3392; op2val:0x7f0; + valaddr_reg:x3; val_offset:1300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1300*FLEN/8, x4, x1, x2) + +inst_651: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x1e3392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x401e3392; + valaddr_reg:x3; val_offset:1302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1302*FLEN/8, x4, x1, x2) + +inst_652: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x1e3392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x401e3392; + valaddr_reg:x3; val_offset:1304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1304*FLEN/8, x4, x1, x2) + +inst_653: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1306*FLEN/8, x4, x1, x2) + +inst_654: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1308*FLEN/8, x4, x1, x2) + +inst_655: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1310*FLEN/8, x4, x1, x2) + +inst_656: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1312*FLEN/8, x4, x1, x2) + +inst_657: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1314*FLEN/8, x4, x1, x2) + +inst_658: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1316*FLEN/8, x4, x1, x2) + +inst_659: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0aa123 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0aa123; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1318*FLEN/8, x4, x1, x2) + +inst_660: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x0aa123 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x7e0aa123; + valaddr_reg:x3; val_offset:1320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1320*FLEN/8, x4, x1, x2) + +inst_661: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1322*FLEN/8, x4, x1, x2) + +inst_662: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1324*FLEN/8, x4, x1, x2) + +inst_663: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0aa123 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0aa123; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1326*FLEN/8, x4, x1, x2) + +inst_664: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1328*FLEN/8, x4, x1, x2) + +inst_665: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1330*FLEN/8, x4, x1, x2) + +inst_666: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1332*FLEN/8, x4, x1, x2) + +inst_667: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0aa123 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0aa123; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1334*FLEN/8, x4, x1, x2) + +inst_668: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1336*FLEN/8, x4, x1, x2) + +inst_669: +// fs1 == 0 and fe1 == 0xf8 and fm1 == 0x5dce9f and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7c5dce9f; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1338*FLEN/8, x4, x1, x2) + +inst_670: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0xf8 and fm2 == 0x5dce9f and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x7c5dce9f; + valaddr_reg:x3; val_offset:1340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1340*FLEN/8, x4, x1, x2) + +inst_671: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1342*FLEN/8, x4, x1, x2) + +inst_672: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1344*FLEN/8, x4, x1, x2) + +inst_673: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x27935b; + valaddr_reg:x3; val_offset:1346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1346*FLEN/8, x4, x1, x2) + +inst_674: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1348*FLEN/8, x4, x1, x2) + +inst_675: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x33da99; + valaddr_reg:x3; val_offset:1350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1350*FLEN/8, x4, x1, x2) + +inst_676: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1352*FLEN/8, x4, x1, x2) + +inst_677: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1354*FLEN/8, x4, x1, x2) + +inst_678: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1356*FLEN/8, x4, x1, x2) + +inst_679: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1358*FLEN/8, x4, x1, x2) + +inst_680: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1360*FLEN/8, x4, x1, x2) + +inst_681: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1362*FLEN/8, x4, x1, x2) + +inst_682: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1364*FLEN/8, x4, x1, x2) + +inst_683: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1366*FLEN/8, x4, x1, x2) + +inst_684: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1368*FLEN/8, x4, x1, x2) + +inst_685: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1370*FLEN/8, x4, x1, x2) + +inst_686: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x05042c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e9d25 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x5042c; op2val:0x803e9d25; + valaddr_reg:x3; val_offset:1372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1372*FLEN/8, x4, x1, x2) + +inst_687: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x05042c and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x5042c; + valaddr_reg:x3; val_offset:1374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1374*FLEN/8, x4, x1, x2) + +inst_688: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x05042c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x5042c; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1376*FLEN/8, x4, x1, x2) + +inst_689: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x05042c and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x5042c; + valaddr_reg:x3; val_offset:1378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1378*FLEN/8, x4, x1, x2) + +inst_690: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x7f0; + valaddr_reg:x3; val_offset:1380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1380*FLEN/8, x4, x1, x2) + +inst_691: +// fs1 == 0 and fe1 == 0x81 and fm1 == 0x136a86 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x40936a86; op2val:0x7f0; + valaddr_reg:x3; val_offset:1382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1382*FLEN/8, x4, x1, x2) + +inst_692: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x136a86 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x40936a86; + valaddr_reg:x3; val_offset:1384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1384*FLEN/8, x4, x1, x2) + +inst_693: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x136a86 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x40936a86; + valaddr_reg:x3; val_offset:1386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1386*FLEN/8, x4, x1, x2) + +inst_694: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1388*FLEN/8, x4, x1, x2) + +inst_695: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1390*FLEN/8, x4, x1, x2) + +inst_696: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1392*FLEN/8, x4, x1, x2) + +inst_697: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1394*FLEN/8, x4, x1, x2) + +inst_698: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1396*FLEN/8, x4, x1, x2) + +inst_699: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1398*FLEN/8, x4, x1, x2) + +inst_700: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x209de3 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e209de3; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1400*FLEN/8, x4, x1, x2) + +inst_701: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x209de3 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x7e209de3; + valaddr_reg:x3; val_offset:1402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1402*FLEN/8, x4, x1, x2) + +inst_702: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1404*FLEN/8, x4, x1, x2) + +inst_703: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1406*FLEN/8, x4, x1, x2) + +inst_704: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x209de3 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e209de3; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1408*FLEN/8, x4, x1, x2) + +inst_705: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1410*FLEN/8, x4, x1, x2) + +inst_706: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1412*FLEN/8, x4, x1, x2) + +inst_707: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1414*FLEN/8, x4, x1, x2) + +inst_708: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x209de3 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e209de3; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1416*FLEN/8, x4, x1, x2) + +inst_709: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1418*FLEN/8, x4, x1, x2) + +inst_710: +// fs1 == 0 and fe1 == 0xf9 and fm1 == 0x007e4f and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7c807e4f; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1420*FLEN/8, x4, x1, x2) + +inst_711: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0xf9 and fm2 == 0x007e4f and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x7c807e4f; + valaddr_reg:x3; val_offset:1422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1422*FLEN/8, x4, x1, x2) + +inst_712: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x27935b; + valaddr_reg:x3; val_offset:1424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1424*FLEN/8, x4, x1, x2) + +inst_713: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1426*FLEN/8, x4, x1, x2) + +inst_714: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x33da99; + valaddr_reg:x3; val_offset:1428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1428*FLEN/8, x4, x1, x2) + +inst_715: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1430*FLEN/8, x4, x1, x2) + +inst_716: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1432*FLEN/8, x4, x1, x2) + +inst_717: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1434*FLEN/8, x4, x1, x2) + +inst_718: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1436*FLEN/8, x4, x1, x2) + +inst_719: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1438*FLEN/8, x4, x1, x2) + +inst_720: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1440*FLEN/8, x4, x1, x2) + +inst_721: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1442*FLEN/8, x4, x1, x2) + +inst_722: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1444*FLEN/8, x4, x1, x2) + +inst_723: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1446*FLEN/8, x4, x1, x2) + +inst_724: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1448*FLEN/8, x4, x1, x2) + +inst_725: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x05cfda and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e9d25 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x5cfda; op2val:0x803e9d25; + valaddr_reg:x3; val_offset:1450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1450*FLEN/8, x4, x1, x2) + +inst_726: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x05cfda and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x5cfda; + valaddr_reg:x3; val_offset:1452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1452*FLEN/8, x4, x1, x2) + +inst_727: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x05cfda and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x5cfda; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1454*FLEN/8, x4, x1, x2) + +inst_728: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x05cfda and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x5cfda; + valaddr_reg:x3; val_offset:1456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1456*FLEN/8, x4, x1, x2) + +inst_729: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x7f0; + valaddr_reg:x3; val_offset:1458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1458*FLEN/8, x4, x1, x2) + +inst_730: +// fs1 == 0 and fe1 == 0x81 and fm1 == 0x2acc0a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x40aacc0a; op2val:0x7f0; + valaddr_reg:x3; val_offset:1460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1460*FLEN/8, x4, x1, x2) + +inst_731: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x2acc0a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x40aacc0a; + valaddr_reg:x3; val_offset:1462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1462*FLEN/8, x4, x1, x2) + +inst_732: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x2acc0a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x40aacc0a; + valaddr_reg:x3; val_offset:1464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1464*FLEN/8, x4, x1, x2) + +inst_733: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1466*FLEN/8, x4, x1, x2) + +inst_734: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1468*FLEN/8, x4, x1, x2) + +inst_735: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x27935b; + valaddr_reg:x3; val_offset:1470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1470*FLEN/8, x4, x1, x2) + +inst_736: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1472*FLEN/8, x4, x1, x2) + +inst_737: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1474*FLEN/8, x4, x1, x2) + +inst_738: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1476*FLEN/8, x4, x1, x2) + +inst_739: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x5abd82 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ddabd82; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1478*FLEN/8, x4, x1, x2) + +inst_740: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x5abd82 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x7ddabd82; + valaddr_reg:x3; val_offset:1480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1480*FLEN/8, x4, x1, x2) + +inst_741: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1482*FLEN/8, x4, x1, x2) + +inst_742: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1484*FLEN/8, x4, x1, x2) + +inst_743: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x5abd82 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ddabd82; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1486*FLEN/8, x4, x1, x2) + +inst_744: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1488*FLEN/8, x4, x1, x2) + +inst_745: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1490*FLEN/8, x4, x1, x2) + +inst_746: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1492*FLEN/8, x4, x1, x2) + +inst_747: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x5abd82 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ddabd82; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1494*FLEN/8, x4, x1, x2) + +inst_748: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1496*FLEN/8, x4, x1, x2) + +inst_749: +// fs1 == 0 and fe1 == 0xf8 and fm1 == 0x2efe01 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7c2efe01; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1498*FLEN/8, x4, x1, x2) + +inst_750: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0xf8 and fm2 == 0x2efe01 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x7c2efe01; + valaddr_reg:x3; val_offset:1500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1500*FLEN/8, x4, x1, x2) + +inst_751: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x33da99; + valaddr_reg:x3; val_offset:1502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1502*FLEN/8, x4, x1, x2) + +inst_752: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x27935b; + valaddr_reg:x3; val_offset:1504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1504*FLEN/8, x4, x1, x2) + +inst_753: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1506*FLEN/8, x4, x1, x2) + +inst_754: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x27935b; + valaddr_reg:x3; val_offset:1508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1508*FLEN/8, x4, x1, x2) + +inst_755: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1510*FLEN/8, x4, x1, x2) + +inst_756: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x27935b; + valaddr_reg:x3; val_offset:1512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1512*FLEN/8, x4, x1, x2) + +inst_757: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1514*FLEN/8, x4, x1, x2) + +inst_758: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x27935b; + valaddr_reg:x3; val_offset:1516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1516*FLEN/8, x4, x1, x2) + +inst_759: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1518*FLEN/8, x4, x1, x2) + +inst_760: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x27935b; + valaddr_reg:x3; val_offset:1520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1520*FLEN/8, x4, x1, x2) + +inst_761: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1522*FLEN/8, x4, x1, x2) + +inst_762: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x03f522 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e9d25 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f522; op2val:0x803e9d25; + valaddr_reg:x3; val_offset:1524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1524*FLEN/8, x4, x1, x2) + +inst_763: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x03f522 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x3f522; + valaddr_reg:x3; val_offset:1526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1526*FLEN/8, x4, x1, x2) + +inst_764: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x03f522 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f522; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1528*FLEN/8, x4, x1, x2) + +inst_765: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x03f522 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x3f522; + valaddr_reg:x3; val_offset:1530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1530*FLEN/8, x4, x1, x2) + +inst_766: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x7f0; + valaddr_reg:x3; val_offset:1532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1532*FLEN/8, x4, x1, x2) + +inst_767: +// fs1 == 0 and fe1 == 0x80 and fm1 == 0x689ac4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x40689ac4; op2val:0x7f0; + valaddr_reg:x3; val_offset:1534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1534*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_7) + +inst_768: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x689ac4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x40689ac4; + valaddr_reg:x3; val_offset:1536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1536*FLEN/8, x4, x1, x2) + +inst_769: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0x80 and fm2 == 0x689ac4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x40689ac4; + valaddr_reg:x3; val_offset:1538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1538*FLEN/8, x4, x1, x2) + +inst_770: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1540*FLEN/8, x4, x1, x2) + +inst_771: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1542*FLEN/8, x4, x1, x2) + +inst_772: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x33da99; + valaddr_reg:x3; val_offset:1544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1544*FLEN/8, x4, x1, x2) + +inst_773: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1546*FLEN/8, x4, x1, x2) + +inst_774: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1548*FLEN/8, x4, x1, x2) + +inst_775: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1550*FLEN/8, x4, x1, x2) + +inst_776: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0f4d55 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0f4d55; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1552*FLEN/8, x4, x1, x2) + +inst_777: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x0f4d55 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x7e0f4d55; + valaddr_reg:x3; val_offset:1554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1554*FLEN/8, x4, x1, x2) + +inst_778: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1556*FLEN/8, x4, x1, x2) + +inst_779: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1558*FLEN/8, x4, x1, x2) + +inst_780: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0f4d55 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0f4d55; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1560*FLEN/8, x4, x1, x2) + +inst_781: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1562*FLEN/8, x4, x1, x2) + +inst_782: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1564*FLEN/8, x4, x1, x2) + +inst_783: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1566*FLEN/8, x4, x1, x2) + +inst_784: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0f4d55 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0f4d55; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1568*FLEN/8, x4, x1, x2) + +inst_785: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1570*FLEN/8, x4, x1, x2) + +inst_786: +// fs1 == 0 and fe1 == 0xf8 and fm1 == 0x654888 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7c654888; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1572*FLEN/8, x4, x1, x2) + +inst_787: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0xf8 and fm2 == 0x654888 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x7c654888; + valaddr_reg:x3; val_offset:1574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1574*FLEN/8, x4, x1, x2) + +inst_788: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1576*FLEN/8, x4, x1, x2) + +inst_789: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x33da99; + valaddr_reg:x3; val_offset:1578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1578*FLEN/8, x4, x1, x2) + +inst_790: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1580*FLEN/8, x4, x1, x2) + +inst_791: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x33da99; + valaddr_reg:x3; val_offset:1582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1582*FLEN/8, x4, x1, x2) + +inst_792: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1584*FLEN/8, x4, x1, x2) + +inst_793: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x33da99; + valaddr_reg:x3; val_offset:1586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1586*FLEN/8, x4, x1, x2) + +inst_794: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1588*FLEN/8, x4, x1, x2) + +inst_795: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x33da99; + valaddr_reg:x3; val_offset:1590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1590*FLEN/8, x4, x1, x2) + +inst_796: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1592*FLEN/8, x4, x1, x2) + +inst_797: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x052f75 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e9d25 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x52f75; op2val:0x803e9d25; + valaddr_reg:x3; val_offset:1594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1594*FLEN/8, x4, x1, x2) + +inst_798: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x052f75 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x52f75; + valaddr_reg:x3; val_offset:1596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1596*FLEN/8, x4, x1, x2) + +inst_799: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x052f75 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x52f75; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1598*FLEN/8, x4, x1, x2) + +inst_800: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x052f75 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x52f75; + valaddr_reg:x3; val_offset:1600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1600*FLEN/8, x4, x1, x2) + +inst_801: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x7f0; + valaddr_reg:x3; val_offset:1602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1602*FLEN/8, x4, x1, x2) + +inst_802: +// fs1 == 0 and fe1 == 0x81 and fm1 == 0x186289 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x40986289; op2val:0x7f0; + valaddr_reg:x3; val_offset:1604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1604*FLEN/8, x4, x1, x2) + +inst_803: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x186289 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x40986289; + valaddr_reg:x3; val_offset:1606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1606*FLEN/8, x4, x1, x2) + +inst_804: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x186289 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x40986289; + valaddr_reg:x3; val_offset:1608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1608*FLEN/8, x4, x1, x2) + +inst_805: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1610*FLEN/8, x4, x1, x2) + +inst_806: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1612*FLEN/8, x4, x1, x2) + +inst_807: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1614*FLEN/8, x4, x1, x2) + +inst_808: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1616*FLEN/8, x4, x1, x2) + +inst_809: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1618*FLEN/8, x4, x1, x2) + +inst_810: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1620*FLEN/8, x4, x1, x2) + +inst_811: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03130e and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03130e; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1622*FLEN/8, x4, x1, x2) + +inst_812: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x03130e and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0xfe03130e; + valaddr_reg:x3; val_offset:1624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1624*FLEN/8, x4, x1, x2) + +inst_813: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1626*FLEN/8, x4, x1, x2) + +inst_814: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1628*FLEN/8, x4, x1, x2) + +inst_815: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03130e and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03130e; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1630*FLEN/8, x4, x1, x2) + +inst_816: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1632*FLEN/8, x4, x1, x2) + +inst_817: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1634*FLEN/8, x4, x1, x2) + +inst_818: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1636*FLEN/8, x4, x1, x2) + +inst_819: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03130e and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03130e; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1638*FLEN/8, x4, x1, x2) + +inst_820: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1640*FLEN/8, x4, x1, x2) + +inst_821: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x51b817 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc51b817; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1642*FLEN/8, x4, x1, x2) + +inst_822: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x51b817 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0xfc51b817; + valaddr_reg:x3; val_offset:1644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1644*FLEN/8, x4, x1, x2) + +inst_823: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1646*FLEN/8, x4, x1, x2) + +inst_824: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1648*FLEN/8, x4, x1, x2) + +inst_825: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1650*FLEN/8, x4, x1, x2) + +inst_826: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1652*FLEN/8, x4, x1, x2) + +inst_827: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1654*FLEN/8, x4, x1, x2) + +inst_828: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1656*FLEN/8, x4, x1, x2) + +inst_829: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1658*FLEN/8, x4, x1, x2) + +inst_830: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x04be30 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e9d25 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8004be30; op2val:0x803e9d25; + valaddr_reg:x3; val_offset:1660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1660*FLEN/8, x4, x1, x2) + +inst_831: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x04be30 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x8004be30; + valaddr_reg:x3; val_offset:1662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1662*FLEN/8, x4, x1, x2) + +inst_832: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x04be30 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8004be30; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1664*FLEN/8, x4, x1, x2) + +inst_833: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x04be30 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x8004be30; + valaddr_reg:x3; val_offset:1666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1666*FLEN/8, x4, x1, x2) + +inst_834: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x7f0; + valaddr_reg:x3; val_offset:1668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1668*FLEN/8, x4, x1, x2) + +inst_835: +// fs1 == 1 and fe1 == 0x81 and fm1 == 0x0b61db and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc08b61db; op2val:0x7f0; + valaddr_reg:x3; val_offset:1670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1670*FLEN/8, x4, x1, x2) + +inst_836: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x0b61db and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc08b61db; + valaddr_reg:x3; val_offset:1672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1672*FLEN/8, x4, x1, x2) + +inst_837: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x0b61db and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0xc08b61db; + valaddr_reg:x3; val_offset:1674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1674*FLEN/8, x4, x1, x2) + +inst_838: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1676*FLEN/8, x4, x1, x2) + +inst_839: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1678*FLEN/8, x4, x1, x2) + +inst_840: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1680*FLEN/8, x4, x1, x2) + +inst_841: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1682*FLEN/8, x4, x1, x2) + +inst_842: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1684*FLEN/8, x4, x1, x2) + +inst_843: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1686*FLEN/8, x4, x1, x2) + +inst_844: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x7671c2 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdf671c2; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1688*FLEN/8, x4, x1, x2) + +inst_845: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x7671c2 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0xfdf671c2; + valaddr_reg:x3; val_offset:1690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1690*FLEN/8, x4, x1, x2) + +inst_846: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1692*FLEN/8, x4, x1, x2) + +inst_847: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1694*FLEN/8, x4, x1, x2) + +inst_848: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x7671c2 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdf671c2; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1696*FLEN/8, x4, x1, x2) + +inst_849: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1698*FLEN/8, x4, x1, x2) + +inst_850: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1700*FLEN/8, x4, x1, x2) + +inst_851: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1702*FLEN/8, x4, x1, x2) + +inst_852: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x7671c2 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdf671c2; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1704*FLEN/8, x4, x1, x2) + +inst_853: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1706*FLEN/8, x4, x1, x2) + +inst_854: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x4527ce and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc4527ce; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1708*FLEN/8, x4, x1, x2) + +inst_855: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x4527ce and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0xfc4527ce; + valaddr_reg:x3; val_offset:1710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1710*FLEN/8, x4, x1, x2) + +inst_856: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1712*FLEN/8, x4, x1, x2) + +inst_857: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1714*FLEN/8, x4, x1, x2) + +inst_858: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1716*FLEN/8, x4, x1, x2) + +inst_859: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1718*FLEN/8, x4, x1, x2) + +inst_860: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1720*FLEN/8, x4, x1, x2) + +inst_861: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x047573 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e9d25 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80047573; op2val:0x803e9d25; + valaddr_reg:x3; val_offset:1722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1722*FLEN/8, x4, x1, x2) + +inst_862: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x047573 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x80047573; + valaddr_reg:x3; val_offset:1724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1724*FLEN/8, x4, x1, x2) + +inst_863: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x047573 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80047573; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1726*FLEN/8, x4, x1, x2) + +inst_864: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x047573 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x80047573; + valaddr_reg:x3; val_offset:1728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1728*FLEN/8, x4, x1, x2) + +inst_865: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x7f0; + valaddr_reg:x3; val_offset:1730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1730*FLEN/8, x4, x1, x2) + +inst_866: +// fs1 == 1 and fe1 == 0x81 and fm1 == 0x030845 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc0830845; op2val:0x7f0; + valaddr_reg:x3; val_offset:1732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1732*FLEN/8, x4, x1, x2) + +inst_867: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x030845 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc0830845; + valaddr_reg:x3; val_offset:1734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1734*FLEN/8, x4, x1, x2) + +inst_868: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x030845 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0xc0830845; + valaddr_reg:x3; val_offset:1736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1736*FLEN/8, x4, x1, x2) + +inst_869: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1738*FLEN/8, x4, x1, x2) + +inst_870: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1740*FLEN/8, x4, x1, x2) + +inst_871: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x5b0376 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0xff5b0376; + valaddr_reg:x3; val_offset:1742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1742*FLEN/8, x4, x1, x2) + +inst_872: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1744*FLEN/8, x4, x1, x2) + +inst_873: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1746*FLEN/8, x4, x1, x2) + +inst_874: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1748*FLEN/8, x4, x1, x2) + +inst_875: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1750*FLEN/8, x4, x1, x2) + +inst_876: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1752*FLEN/8, x4, x1, x2) + +inst_877: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1754*FLEN/8, x4, x1, x2) + +inst_878: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x2f35f8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdaf35f8; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1756*FLEN/8, x4, x1, x2) + +inst_879: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x2f35f8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0xfdaf35f8; + valaddr_reg:x3; val_offset:1758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1758*FLEN/8, x4, x1, x2) + +inst_880: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1760*FLEN/8, x4, x1, x2) + +inst_881: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1762*FLEN/8, x4, x1, x2) + +inst_882: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1764*FLEN/8, x4, x1, x2) + +inst_883: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x2f35f8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdaf35f8; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1766*FLEN/8, x4, x1, x2) + +inst_884: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1768*FLEN/8, x4, x1, x2) + +inst_885: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1770*FLEN/8, x4, x1, x2) + +inst_886: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1772*FLEN/8, x4, x1, x2) + +inst_887: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1774*FLEN/8, x4, x1, x2) + +inst_888: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1776*FLEN/8, x4, x1, x2) + +inst_889: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x2f35f8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdaf35f8; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1778*FLEN/8, x4, x1, x2) + +inst_890: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1780*FLEN/8, x4, x1, x2) + +inst_891: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x0c2b2c and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc0c2b2c; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1782*FLEN/8, x4, x1, x2) + +inst_892: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x0c2b2c and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0xfc0c2b2c; + valaddr_reg:x3; val_offset:1784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1784*FLEN/8, x4, x1, x2) + +inst_893: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1786*FLEN/8, x4, x1, x2) + +inst_894: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1788*FLEN/8, x4, x1, x2) + +inst_895: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1790*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_8) + +inst_896: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x032b85 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e9d25 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80032b85; op2val:0x803e9d25; + valaddr_reg:x3; val_offset:1792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1792*FLEN/8, x4, x1, x2) + +inst_897: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x032b85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x80032b85; + valaddr_reg:x3; val_offset:1794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1794*FLEN/8, x4, x1, x2) + +inst_898: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x032b85 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80032b85; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1796*FLEN/8, x4, x1, x2) + +inst_899: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x032b85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x80032b85; + valaddr_reg:x3; val_offset:1798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1798*FLEN/8, x4, x1, x2) + +inst_900: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x7f0; + valaddr_reg:x3; val_offset:1800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1800*FLEN/8, x4, x1, x2) + +inst_901: +// fs1 == 1 and fe1 == 0x80 and fm1 == 0x3a50eb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc03a50eb; op2val:0x7f0; + valaddr_reg:x3; val_offset:1802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1802*FLEN/8, x4, x1, x2) + +inst_902: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x3a50eb and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc03a50eb; + valaddr_reg:x3; val_offset:1804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1804*FLEN/8, x4, x1, x2) + +inst_903: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x3a50eb and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0xc03a50eb; + valaddr_reg:x3; val_offset:1806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1806*FLEN/8, x4, x1, x2) + +inst_904: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1808*FLEN/8, x4, x1, x2) + +inst_905: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1810*FLEN/8, x4, x1, x2) + +inst_906: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1812*FLEN/8, x4, x1, x2) + +inst_907: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1814*FLEN/8, x4, x1, x2) + +inst_908: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1816*FLEN/8, x4, x1, x2) + +inst_909: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1818*FLEN/8, x4, x1, x2) + +inst_910: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x048fde and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe048fde; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1820*FLEN/8, x4, x1, x2) + +inst_911: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x048fde and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0xfe048fde; + valaddr_reg:x3; val_offset:1822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1822*FLEN/8, x4, x1, x2) + +inst_912: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1824*FLEN/8, x4, x1, x2) + +inst_913: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1826*FLEN/8, x4, x1, x2) + +inst_914: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x048fde and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe048fde; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1828*FLEN/8, x4, x1, x2) + +inst_915: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1830*FLEN/8, x4, x1, x2) + +inst_916: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1832*FLEN/8, x4, x1, x2) + +inst_917: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1834*FLEN/8, x4, x1, x2) + +inst_918: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x048fde and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe048fde; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1836*FLEN/8, x4, x1, x2) + +inst_919: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1838*FLEN/8, x4, x1, x2) + +inst_920: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x541963 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc541963; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1840*FLEN/8, x4, x1, x2) + +inst_921: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x541963 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0xfc541963; + valaddr_reg:x3; val_offset:1842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1842*FLEN/8, x4, x1, x2) + +inst_922: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1844*FLEN/8, x4, x1, x2) + +inst_923: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x04cbf8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e9d25 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8004cbf8; op2val:0x803e9d25; + valaddr_reg:x3; val_offset:1846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1846*FLEN/8, x4, x1, x2) + +inst_924: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x04cbf8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x8004cbf8; + valaddr_reg:x3; val_offset:1848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1848*FLEN/8, x4, x1, x2) + +inst_925: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x04cbf8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8004cbf8; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1850*FLEN/8, x4, x1, x2) + +inst_926: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x04cbf8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x8004cbf8; + valaddr_reg:x3; val_offset:1852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1852*FLEN/8, x4, x1, x2) + +inst_927: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x7f0; + valaddr_reg:x3; val_offset:1854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1854*FLEN/8, x4, x1, x2) + +inst_928: +// fs1 == 1 and fe1 == 0x81 and fm1 == 0x0cf6cd and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc08cf6cd; op2val:0x7f0; + valaddr_reg:x3; val_offset:1856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1856*FLEN/8, x4, x1, x2) + +inst_929: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x0cf6cd and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc08cf6cd; + valaddr_reg:x3; val_offset:1858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1858*FLEN/8, x4, x1, x2) + +inst_930: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x0cf6cd and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0xc08cf6cd; + valaddr_reg:x3; val_offset:1860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1860*FLEN/8, x4, x1, x2) + +inst_931: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1862*FLEN/8, x4, x1, x2) + +inst_932: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1864*FLEN/8, x4, x1, x2) + +inst_933: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1866*FLEN/8, x4, x1, x2) + +inst_934: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1868*FLEN/8, x4, x1, x2) + +inst_935: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1870*FLEN/8, x4, x1, x2) + +inst_936: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1872*FLEN/8, x4, x1, x2) + +inst_937: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2d09bb and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2d09bb; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1874*FLEN/8, x4, x1, x2) + +inst_938: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0xfc and fm2 == 0x2d09bb and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0xfe2d09bb; + valaddr_reg:x3; val_offset:1876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1876*FLEN/8, x4, x1, x2) + +inst_939: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1878*FLEN/8, x4, x1, x2) + +inst_940: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1880*FLEN/8, x4, x1, x2) + +inst_941: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2d09bb and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2d09bb; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1882*FLEN/8, x4, x1, x2) + +inst_942: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1884*FLEN/8, x4, x1, x2) + +inst_943: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1886*FLEN/8, x4, x1, x2) + +inst_944: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1888*FLEN/8, x4, x1, x2) + +inst_945: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2d09bb and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2d09bb; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1890*FLEN/8, x4, x1, x2) + +inst_946: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1892*FLEN/8, x4, x1, x2) + +inst_947: +// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x0a6e2f and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc8a6e2f; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1894*FLEN/8, x4, x1, x2) + +inst_948: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x0a6e2f and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0xfc8a6e2f; + valaddr_reg:x3; val_offset:1896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1896*FLEN/8, x4, x1, x2) + +inst_949: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1898*FLEN/8, x4, x1, x2) + +inst_950: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1900*FLEN/8, x4, x1, x2) + +inst_951: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e9d25 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x803e9d25; + valaddr_reg:x3; val_offset:1902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1902*FLEN/8, x4, x1, x2) + +inst_952: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1904*FLEN/8, x4, x1, x2) + +inst_953: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1906*FLEN/8, x4, x1, x2) + +inst_954: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1908*FLEN/8, x4, x1, x2) + +inst_955: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1910*FLEN/8, x4, x1, x2) + +inst_956: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x27935b; + valaddr_reg:x3; val_offset:1912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1912*FLEN/8, x4, x1, x2) + +inst_957: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x27935b; + valaddr_reg:x3; val_offset:1914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1914*FLEN/8, x4, x1, x2) + +inst_958: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x33da99; + valaddr_reg:x3; val_offset:1916*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1916*FLEN/8, x4, x1, x2) + +inst_959: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x33da99; + valaddr_reg:x3; val_offset:1918*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1918*FLEN/8, x4, x1, x2) + +inst_960: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1920*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1920*FLEN/8, x4, x1, x2) + +inst_961: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1922*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1922*FLEN/8, x4, x1, x2) + +inst_962: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1924*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1924*FLEN/8, x4, x1, x2) + +inst_963: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1926*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1926*FLEN/8, x4, x1, x2) + +inst_964: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1928*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1928*FLEN/8, x4, x1, x2) + +inst_965: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1930*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1930*FLEN/8, x4, x1, x2) + +inst_966: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1932*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1932*FLEN/8, x4, x1, x2) + +inst_967: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1934*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1934*FLEN/8, x4, x1, x2) + +inst_968: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x7f0; + valaddr_reg:x3; val_offset:1936*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1936*FLEN/8, x4, x1, x2) + +inst_969: +// fs1 == 1 and fe1 == 0x81 and fm1 == 0x38016d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc0b8016d; op2val:0x7f0; + valaddr_reg:x3; val_offset:1938*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1938*FLEN/8, x4, x1, x2) + +inst_970: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x38016d and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc0b8016d; + valaddr_reg:x3; val_offset:1940*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1940*FLEN/8, x4, x1, x2) + +inst_971: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0x81 and fm2 == 0x38016d and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0xc0b8016d; + valaddr_reg:x3; val_offset:1942*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1942*FLEN/8, x4, x1, x2) + +inst_972: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1944*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1944*FLEN/8, x4, x1, x2) + +inst_973: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7f0; + valaddr_reg:x3; val_offset:1946*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1946*FLEN/8, x4, x1, x2) + +inst_974: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1948*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1948*FLEN/8, x4, x1, x2) + +inst_975: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1950*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1950*FLEN/8, x4, x1, x2) + +inst_976: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1952*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1952*FLEN/8, x4, x1, x2) + +inst_977: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1954*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1954*FLEN/8, x4, x1, x2) + +inst_978: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1956*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1956*FLEN/8, x4, x1, x2) + +inst_979: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1958*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1958*FLEN/8, x4, x1, x2) + +inst_980: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1960*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1960*FLEN/8, x4, x1, x2) + +inst_981: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1962*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1962*FLEN/8, x4, x1, x2) + +inst_982: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1964*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1964*FLEN/8, x4, x1, x2) + +inst_983: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1966*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1966*FLEN/8, x4, x1, x2) + +inst_984: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1968*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1968*FLEN/8, x4, x1, x2) + +inst_985: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1970*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1970*FLEN/8, x4, x1, x2) + +inst_986: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x27935b; + valaddr_reg:x3; val_offset:1972*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1972*FLEN/8, x4, x1, x2) + +inst_987: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x33da99; + valaddr_reg:x3; val_offset:1974*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1974*FLEN/8, x4, x1, x2) + +inst_988: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1976*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1976*FLEN/8, x4, x1, x2) + +inst_989: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1978*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1978*FLEN/8, x4, x1, x2) + +inst_990: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1980*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1980*FLEN/8, x4, x1, x2) + +inst_991: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1982*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1982*FLEN/8, x4, x1, x2) + +inst_992: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1984*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1984*FLEN/8, x4, x1, x2) + +inst_993: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1986*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1986*FLEN/8, x4, x1, x2) + +inst_994: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1988*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1988*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2077197933,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2077197933,32,FLEN) +NAN_BOXED(2077197933,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2077197933,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(153790,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(153790,32,FLEN) +NAN_BOXED(153790,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(153790,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1074392209,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1074392209,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(1074392209,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2099056711,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2099056711,32,FLEN) +NAN_BOXED(2099056711,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2099056711,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2099056711,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2099056711,32,FLEN) +NAN_BOXED(2099056711,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2099056711,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2071678270,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2071678270,32,FLEN) +NAN_BOXED(2071678270,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2071678270,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(93116,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(93116,32,FLEN) +NAN_BOXED(93116,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(93116,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1067910342,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1067910342,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(1067910342,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2098358555,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2098358555,32,FLEN) +NAN_BOXED(2098358555,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2098358555,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2098358555,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2098358555,32,FLEN) +NAN_BOXED(2098358555,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2098358555,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2070561221,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2070561221,32,FLEN) +NAN_BOXED(2070561221,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2070561221,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(86800,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(86800,32,FLEN) +NAN_BOXED(86800,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(86800,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1067167936,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1067167936,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(1067167936,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2106309805,32,FLEN) +NAN_BOXED(2106309805,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4248227297,32,FLEN) +NAN_BOXED(4248227297,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4244633686,32,FLEN) +NAN_BOXED(4244633686,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2091723074,32,FLEN) +NAN_BOXED(4261688609,32,FLEN) +NAN_BOXED(4261688609,32,FLEN) +NAN_BOXED(2091723074,32,FLEN) +NAN_BOXED(2091723074,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2091723074,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(2106901917,32,FLEN) +NAN_BOXED(2106901917,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(2114625827,32,FLEN) +NAN_BOXED(2114625827,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(2116066787,32,FLEN) +NAN_BOXED(2116066787,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(2111487362,32,FLEN) +NAN_BOXED(2111487362,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(2114932053,32,FLEN) +NAN_BOXED(2114932053,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(4261614350,32,FLEN) +NAN_BOXED(4261614350,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(4260786626,32,FLEN) +NAN_BOXED(4260786626,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(4256118264,32,FLEN) +NAN_BOXED(4256118264,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(4261711838,32,FLEN) +NAN_BOXED(4261711838,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(513297,32,FLEN) +NAN_BOXED(4264364475,32,FLEN) +NAN_BOXED(4264364475,32,FLEN) +NAN_BOXED(513297,32,FLEN) +NAN_BOXED(513297,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(513297,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1088826288,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1088826288,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(1088826288,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2106309805,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2106309805,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2106309805,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2106309805,32,FLEN) +NAN_BOXED(2106309805,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2106309805,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2078250056,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2078250056,32,FLEN) +NAN_BOXED(2078250056,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2078250056,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(165687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(165687,32,FLEN) +NAN_BOXED(165687,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(165687,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1075091464,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1075091464,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(1075091464,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4248227297,32,FLEN) +NAN_BOXED(4248227297,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4244633686,32,FLEN) +NAN_BOXED(4244633686,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4237604474,32,FLEN) +NAN_BOXED(4261688609,32,FLEN) +NAN_BOXED(4261688609,32,FLEN) +NAN_BOXED(4237604474,32,FLEN) +NAN_BOXED(4237604474,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4237604474,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2106901917,32,FLEN) +NAN_BOXED(2106901917,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2114625827,32,FLEN) +NAN_BOXED(2114625827,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2116066787,32,FLEN) +NAN_BOXED(2116066787,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2111487362,32,FLEN) +NAN_BOXED(2111487362,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2114932053,32,FLEN) +NAN_BOXED(2114932053,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(4261614350,32,FLEN) +NAN_BOXED(4261614350,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(4260786626,32,FLEN) +NAN_BOXED(4260786626,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(4256118264,32,FLEN) +NAN_BOXED(4256118264,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(4261711838,32,FLEN) +NAN_BOXED(4261711838,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2147924474,32,FLEN) +NAN_BOXED(4264364475,32,FLEN) +NAN_BOXED(4264364475,32,FLEN) +NAN_BOXED(2147924474,32,FLEN) +NAN_BOXED(2147924474,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2147924474,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3234180184,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3234180184,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(3234180184,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4248227297,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4248227297,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4248227297,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4248227297,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4220665422,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4220665422,32,FLEN) +NAN_BOXED(4220665422,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4220665422,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2147592024,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147592024,32,FLEN) +NAN_BOXED(2147592024,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2147592024,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3217187851,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3217187851,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(3217187851,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4244633686,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4244633686,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4244633686,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4244633686,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4216112811,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4216112811,32,FLEN) +NAN_BOXED(4216112811,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4216112811,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2147559524,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147559524,32,FLEN) +NAN_BOXED(2147559524,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2147559524,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3213367510,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3213367510,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(3213367510,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4237691053,32,FLEN) +NAN_BOXED(4261688609,32,FLEN) +NAN_BOXED(4261688609,32,FLEN) +NAN_BOXED(4237691053,32,FLEN) +NAN_BOXED(4237691053,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4237691053,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2106901917,32,FLEN) +NAN_BOXED(2106901917,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2114625827,32,FLEN) +NAN_BOXED(2114625827,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2116066787,32,FLEN) +NAN_BOXED(2116066787,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2111487362,32,FLEN) +NAN_BOXED(2111487362,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2114932053,32,FLEN) +NAN_BOXED(2114932053,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(4261614350,32,FLEN) +NAN_BOXED(4261614350,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(4260786626,32,FLEN) +NAN_BOXED(4260786626,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(4256118264,32,FLEN) +NAN_BOXED(4256118264,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(4261711838,32,FLEN) +NAN_BOXED(4261711838,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2147928390,32,FLEN) +NAN_BOXED(4264364475,32,FLEN) +NAN_BOXED(4264364475,32,FLEN) +NAN_BOXED(2147928390,32,FLEN) +NAN_BOXED(2147928390,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2147928390,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3234295267,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3234295267,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(3234295267,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4261688609,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4261688609,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4261688609,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4261688609,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2079197435,32,FLEN) +NAN_BOXED(2079197435,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2086522527,32,FLEN) +NAN_BOXED(2086522527,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2088795727,32,FLEN) +NAN_BOXED(2088795727,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2083454465,32,FLEN) +NAN_BOXED(2083454465,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2087012488,32,FLEN) +NAN_BOXED(2087012488,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(4233213975,32,FLEN) +NAN_BOXED(4233213975,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(4232390606,32,FLEN) +NAN_BOXED(4232390606,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(4228655916,32,FLEN) +NAN_BOXED(4228655916,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(4233369955,32,FLEN) +NAN_BOXED(4233369955,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2147797167,32,FLEN) +NAN_BOXED(4236930607,32,FLEN) +NAN_BOXED(4236930607,32,FLEN) +NAN_BOXED(2147797167,32,FLEN) +NAN_BOXED(2147797167,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2147797167,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3230438992,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3230438992,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(3230438992,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2106901917,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2106901917,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2106901917,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2106901917,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2079197435,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2079197435,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(176400,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(176400,32,FLEN) +NAN_BOXED(176400,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(176400,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1075721106,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1075721106,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1075721106,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2114625827,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2114625827,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2114625827,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2114625827,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2086522527,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2086522527,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(328748,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(328748,32,FLEN) +NAN_BOXED(328748,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(328748,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1083402886,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1083402886,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(1083402886,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2116066787,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2116066787,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2116066787,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2116066787,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2088795727,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2088795727,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(380890,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(380890,32,FLEN) +NAN_BOXED(380890,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(380890,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1084935178,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1084935178,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(1084935178,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2111487362,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2111487362,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2111487362,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2111487362,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2083454465,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2083454465,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(259362,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(259362,32,FLEN) +NAN_BOXED(259362,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(259362,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1080597188,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1080597188,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(1080597188,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2114932053,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2114932053,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2114932053,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2114932053,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2087012488,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2087012488,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(339829,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(339829,32,FLEN) +NAN_BOXED(339829,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(339829,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1083728521,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1083728521,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(1083728521,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4261614350,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4261614350,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4261614350,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4261614350,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4233213975,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4233213975,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2147794480,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2147794480,32,FLEN) +NAN_BOXED(2147794480,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2147794480,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3230360027,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3230360027,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(3230360027,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4260786626,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4260786626,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4260786626,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4260786626,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4232390606,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4232390606,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2147775859,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2147775859,32,FLEN) +NAN_BOXED(2147775859,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2147775859,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3229812805,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3229812805,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(3229812805,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4256118264,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4256118264,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4256118264,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4256118264,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4228655916,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4228655916,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2147691397,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2147691397,32,FLEN) +NAN_BOXED(2147691397,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2147691397,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3225047275,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3225047275,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(3225047275,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4261711838,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4261711838,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4261711838,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4261711838,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4233369955,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4233369955,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2147798008,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2147798008,32,FLEN) +NAN_BOXED(2147798008,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2147798008,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3230463693,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3230463693,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(3230463693,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4264364475,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4264364475,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4264364475,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4264364475,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4236930607,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4236930607,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3233284461,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3233284461,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(3233284461,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_5: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_6: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_7: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_8: + .fill 198*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/F_Zfa/src/fround_b1-01.S b/riscv-test-suite/rv32i_m/F_Zfa/src/fround_b1-01.S new file mode 100644 index 000000000..599cb9b50 --- /dev/null +++ b/riscv-test-suite/rv32i_m/F_Zfa/src/fround_b1-01.S @@ -0,0 +1,353 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:38:50 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fround.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fround.s instruction of the RISC-V RV32F_Zicsr_Zfa,RV32FD_Zicsr_Zfa,RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fround_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr_Zfa,RV32IFD_Zicsr_Zfa,RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fround_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==f30, rd==f31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f30; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f31, f30, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rd, rs1==f29, rd==f29,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f29; dest:f29; op1val:0x80000000; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f29, f29, dyn, 0, 0, x3, 1*FLEN/8, x4, x1, x2) + +inst_2: +// rs1==f31, rd==f30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f31; dest:f30; op1val:0x1; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f30, f31, dyn, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_3: +// rs1==f27, rd==f28,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f27; dest:f28; op1val:0x80000001; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f28, f27, dyn, 0, 0, x3, 3*FLEN/8, x4, x1, x2) + +inst_4: +// rs1==f28, rd==f27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f28; dest:f27; op1val:0x2; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f27, f28, dyn, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rd==f26,fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f25; dest:f26; op1val:0x807ffffe; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f26, f25, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f26, rd==f25,fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f26; dest:f25; op1val:0x7fffff; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f25, f26, dyn, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f23, rd==f24,fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f23; dest:f24; op1val:0x807fffff; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f24, f23, dyn, 0, 0, x3, 7*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f24, rd==f23,fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f24; dest:f23; op1val:0x800000; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f23, f24, dyn, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rd==f22,fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f21; dest:f22; op1val:0x80800000; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f22, f21, dyn, 0, 0, x3, 9*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f22, rd==f21,fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f22; dest:f21; op1val:0x800001; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f21, f22, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rd==f20,fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f19; dest:f20; op1val:0x80855555; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f20, f19, dyn, 0, 0, x3, 11*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f20, rd==f19,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f20; dest:f19; op1val:0x7f7fffff; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f19, f20, dyn, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f17, rd==f18,fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f17; dest:f18; op1val:0xff7fffff; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f18, f17, dyn, 0, 0, x3, 13*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f18, rd==f17,fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f18; dest:f17; op1val:0x7f800000; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f17, f18, dyn, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rd==f16,fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f15; dest:f16; op1val:0xff800000; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f16, f15, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f16, rd==f15,fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f16; dest:f15; op1val:0x7fc00000; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f15, f16, dyn, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rd==f14,fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f13; dest:f14; op1val:0xffc00000; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f14, f13, dyn, 0, 0, x3, 17*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f14, rd==f13,fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f14; dest:f13; op1val:0x7fc00001; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f13, f14, dyn, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f11, rd==f12,fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f11; dest:f12; op1val:0xffc55555; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f12, f11, dyn, 0, 0, x3, 19*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f12, rd==f11,fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f12; dest:f11; op1val:0x7f800001; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f11, f12, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rd==f10,fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f9; dest:f10; op1val:0xffaaaaaa; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f10, f9, dyn, 0, 0, x3, 21*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f10, rd==f9,fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f10; dest:f9; op1val:0x3f800000; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f9, f10, dyn, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rd==f8,fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f7; dest:f8; op1val:0xbf800000; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f8, f7, dyn, 0, 0, x3, 23*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f8, rd==f7, +/* opcode: fround.s ; op1:f8; dest:f7; op1val:0x0; valaddr_reg:x3; +val_offset:24*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f7, f8, dyn, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f5, rd==f6, +/* opcode: fround.s ; op1:f5; dest:f6; op1val:0x0; valaddr_reg:x3; +val_offset:25*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f6, f5, dyn, 0, 0, x3, 25*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f6, rd==f5, +/* opcode: fround.s ; op1:f6; dest:f5; op1val:0x0; valaddr_reg:x3; +val_offset:26*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f5, f6, dyn, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rd==f4, +/* opcode: fround.s ; op1:f3; dest:f4; op1val:0x0; valaddr_reg:x3; +val_offset:27*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f4, f3, dyn, 0, 0, x3, 27*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f4, rd==f3, +/* opcode: fround.s ; op1:f4; dest:f3; op1val:0x0; valaddr_reg:x3; +val_offset:28*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f3, f4, dyn, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rd==f2, +/* opcode: fround.s ; op1:f1; dest:f2; op1val:0x0; valaddr_reg:x3; +val_offset:29*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f2, f1, dyn, 0, 0, x3, 29*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f2, rd==f1, +/* opcode: fround.s ; op1:f2; dest:f1; op1val:0x0; valaddr_reg:x3; +val_offset:30*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f1, f2, dyn, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f0, +/* opcode: fround.s ; op1:f0; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:31*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f31, f0, dyn, 0, 0, x3, 31*FLEN/8, x4, x1, x2) + +inst_32: +// rd==f0, +/* opcode: fround.s ; op1:f31; dest:f0; op1val:0x0; valaddr_reg:x3; +val_offset:32*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f0, f31, dyn, 0, 0, x3, 32*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 66*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b1-01.S b/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b1-01.S new file mode 100644 index 000000000..bec9b5c4e --- /dev/null +++ b/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b1-01.S @@ -0,0 +1,353 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 10:41:59 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/sample_cgfs_fext/RV32F/fsqrt.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fsqrt.s instruction of the RISC-V RV32F_Zicsr,RV32FD_Zicsr,RV64F_Zicsr,RV64FD_Zicsr extension for the fsqrt_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr,RV32IFD_Zicsr,RV64IF_Zicsr,RV64IFD_Zicsr") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*);def TEST_CASE_1=True;",fsqrt_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==f30, rd==f31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rd, rs1==f29, rd==f29,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f29; dest:f29; op1val:0x80000000; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f29, f29, dyn, 0, 0, x3, 1*FLEN/8, x4, x1, x2) + +inst_2: +// rs1==f31, rd==f30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f31; dest:f30; op1val:0x1; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f30, f31, dyn, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_3: +// rs1==f27, rd==f28,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f27; dest:f28; op1val:0x80000001; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f28, f27, dyn, 0, 0, x3, 3*FLEN/8, x4, x1, x2) + +inst_4: +// rs1==f28, rd==f27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f28; dest:f27; op1val:0x2; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f27, f28, dyn, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rd==f26,fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f25; dest:f26; op1val:0x807ffffe; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f26, f25, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f26, rd==f25,fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f26; dest:f25; op1val:0x7fffff; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f25, f26, dyn, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f23, rd==f24,fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f23; dest:f24; op1val:0x807fffff; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f24, f23, dyn, 0, 0, x3, 7*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f24, rd==f23,fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f24; dest:f23; op1val:0x800000; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f23, f24, dyn, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rd==f22,fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f21; dest:f22; op1val:0x80800000; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f22, f21, dyn, 0, 0, x3, 9*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f22, rd==f21,fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f22; dest:f21; op1val:0x800001; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f21, f22, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rd==f20,fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f19; dest:f20; op1val:0x80855555; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f20, f19, dyn, 0, 0, x3, 11*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f20, rd==f19,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f20; dest:f19; op1val:0x7f7fffff; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f19, f20, dyn, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f17, rd==f18,fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f17; dest:f18; op1val:0xff7fffff; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f18, f17, dyn, 0, 0, x3, 13*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f18, rd==f17,fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f18; dest:f17; op1val:0x7f800000; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f17, f18, dyn, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rd==f16,fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f15; dest:f16; op1val:0xff800000; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f16, f15, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f16, rd==f15,fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f16; dest:f15; op1val:0x7fc00000; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f15, f16, dyn, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rd==f14,fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f13; dest:f14; op1val:0xffc00000; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f14, f13, dyn, 0, 0, x3, 17*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f14, rd==f13,fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f14; dest:f13; op1val:0x7fc00001; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f13, f14, dyn, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f11, rd==f12,fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f11; dest:f12; op1val:0xffc55555; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f12, f11, dyn, 0, 0, x3, 19*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f12, rd==f11,fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f12; dest:f11; op1val:0x7f800001; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f11, f12, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rd==f10,fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f9; dest:f10; op1val:0xffaaaaaa; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f10, f9, dyn, 0, 0, x3, 21*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f10, rd==f9,fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f10; dest:f9; op1val:0x3f800000; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f9, f10, dyn, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rd==f8,fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f7; dest:f8; op1val:0xbf800000; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f8, f7, dyn, 0, 0, x3, 23*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f8, rd==f7, +/* opcode: fsqrt.s ; op1:f8; dest:f7; op1val:0x0; valaddr_reg:x3; +val_offset:24*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f7, f8, dyn, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f5, rd==f6, +/* opcode: fsqrt.s ; op1:f5; dest:f6; op1val:0x0; valaddr_reg:x3; +val_offset:25*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f6, f5, dyn, 0, 0, x3, 25*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f6, rd==f5, +/* opcode: fsqrt.s ; op1:f6; dest:f5; op1val:0x0; valaddr_reg:x3; +val_offset:26*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f5, f6, dyn, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rd==f4, +/* opcode: fsqrt.s ; op1:f3; dest:f4; op1val:0x0; valaddr_reg:x3; +val_offset:27*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f4, f3, dyn, 0, 0, x3, 27*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f4, rd==f3, +/* opcode: fsqrt.s ; op1:f4; dest:f3; op1val:0x0; valaddr_reg:x3; +val_offset:28*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f3, f4, dyn, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rd==f2, +/* opcode: fsqrt.s ; op1:f1; dest:f2; op1val:0x0; valaddr_reg:x3; +val_offset:29*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f2, f1, dyn, 0, 0, x3, 29*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f2, rd==f1, +/* opcode: fsqrt.s ; op1:f2; dest:f1; op1val:0x0; valaddr_reg:x3; +val_offset:30*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f1, f2, dyn, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f0, +/* opcode: fsqrt.s ; op1:f0; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:31*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f0, dyn, 0, 0, x3, 31*FLEN/8, x4, x1, x2) + +inst_32: +// rd==f0, +/* opcode: fsqrt.s ; op1:f31; dest:f0; op1val:0x0; valaddr_reg:x3; +val_offset:32*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f0, f31, dyn, 0, 0, x3, 32*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 66*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b2-01.S b/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b2-01.S new file mode 100644 index 000000000..f47186899 --- /dev/null +++ b/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b2-01.S @@ -0,0 +1,353 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 10:41:59 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/sample_cgfs_fext/RV32F/fsqrt.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fsqrt.s instruction of the RISC-V RV32F_Zicsr,RV32FD_Zicsr,RV64F_Zicsr,RV64FD_Zicsr extension for the fsqrt_b2 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr,RV32IFD_Zicsr,RV64IF_Zicsr,RV64IFD_Zicsr") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*);def TEST_CASE_1=True;",fsqrt_b2) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==f30, rd==f31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f0; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rd, rs1==f29, rd==f29,fs1 == 0 and fe1 == 0x7f and fm1 == 0x000002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f29; dest:f29; op1val:0x3f800002; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f29, f29, dyn, 0, 0, x3, 1*FLEN/8, x4, x1, x2) + +inst_2: +// rs1==f31, rd==f30,fs1 == 0 and fe1 == 0x7f and fm1 == 0x000004 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f31; dest:f30; op1val:0x3f800004; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f30, f31, dyn, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_3: +// rs1==f27, rd==f28,fs1 == 0 and fe1 == 0x7f and fm1 == 0x000008 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f27; dest:f28; op1val:0x3f800008; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f28, f27, dyn, 0, 0, x3, 3*FLEN/8, x4, x1, x2) + +inst_4: +// rs1==f28, rd==f27,fs1 == 0 and fe1 == 0x7f and fm1 == 0x000010 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f28; dest:f27; op1val:0x3f800010; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f27, f28, dyn, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rd==f26,fs1 == 0 and fe1 == 0x7f and fm1 == 0x000020 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f25; dest:f26; op1val:0x3f800020; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f26, f25, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f26, rd==f25,fs1 == 0 and fe1 == 0x7f and fm1 == 0x000040 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f26; dest:f25; op1val:0x3f800040; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f25, f26, dyn, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f23, rd==f24,fs1 == 0 and fe1 == 0x7f and fm1 == 0x000080 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f23; dest:f24; op1val:0x3f800080; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f24, f23, dyn, 0, 0, x3, 7*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f24, rd==f23,fs1 == 0 and fe1 == 0x7f and fm1 == 0x000100 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f24; dest:f23; op1val:0x3f800100; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f23, f24, dyn, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rd==f22,fs1 == 0 and fe1 == 0x7f and fm1 == 0x000200 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f21; dest:f22; op1val:0x3f800200; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f22, f21, dyn, 0, 0, x3, 9*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f22, rd==f21,fs1 == 0 and fe1 == 0x7f and fm1 == 0x000400 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f22; dest:f21; op1val:0x3f800400; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f21, f22, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rd==f20,fs1 == 0 and fe1 == 0x7f and fm1 == 0x000800 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f19; dest:f20; op1val:0x3f800800; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f20, f19, dyn, 0, 0, x3, 11*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f20, rd==f19,fs1 == 0 and fe1 == 0x7f and fm1 == 0x001000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f20; dest:f19; op1val:0x3f801000; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f19, f20, dyn, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f17, rd==f18,fs1 == 0 and fe1 == 0x7f and fm1 == 0x002002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f17; dest:f18; op1val:0x3f802002; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f18, f17, dyn, 0, 0, x3, 13*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f18, rd==f17,fs1 == 0 and fe1 == 0x7f and fm1 == 0x004008 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f18; dest:f17; op1val:0x3f804008; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f17, f18, dyn, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rd==f16,fs1 == 0 and fe1 == 0x7f and fm1 == 0x008020 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f15; dest:f16; op1val:0x3f808020; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f16, f15, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f16, rd==f15,fs1 == 0 and fe1 == 0x7f and fm1 == 0x010080 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f16; dest:f15; op1val:0x3f810080; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f15, f16, dyn, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rd==f14,fs1 == 0 and fe1 == 0x7f and fm1 == 0x020200 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f13; dest:f14; op1val:0x3f820200; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f14, f13, dyn, 0, 0, x3, 17*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f14, rd==f13,fs1 == 0 and fe1 == 0x7f and fm1 == 0x040800 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f14; dest:f13; op1val:0x3f840800; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f13, f14, dyn, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f11, rd==f12,fs1 == 0 and fe1 == 0x7f and fm1 == 0x082000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f11; dest:f12; op1val:0x3f882000; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f12, f11, dyn, 0, 0, x3, 19*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f12, rd==f11,fs1 == 0 and fe1 == 0x7f and fm1 == 0x108000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f12; dest:f11; op1val:0x3f908000; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f11, f12, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rd==f10,fs1 == 0 and fe1 == 0x7f and fm1 == 0x220000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f9; dest:f10; op1val:0x3fa20000; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f10, f9, dyn, 0, 0, x3, 21*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f10, rd==f9,fs1 == 0 and fe1 == 0x7f and fm1 == 0x480000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f10; dest:f9; op1val:0x3fc80000; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f9, f10, dyn, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rd==f8,fs1 == 0 and fe1 == 0x80 and fm1 == 0x100000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f7; dest:f8; op1val:0x40100000; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f8, f7, dyn, 0, 0, x3, 23*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f8, rd==f7,fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f8; dest:f7; op1val:0x7f800000; valaddr_reg:x3; +val_offset:24*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f7, f8, dyn, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f5, rd==f6, +/* opcode: fsqrt.s ; op1:f5; dest:f6; op1val:0x0; valaddr_reg:x3; +val_offset:25*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f6, f5, dyn, 0, 0, x3, 25*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f6, rd==f5, +/* opcode: fsqrt.s ; op1:f6; dest:f5; op1val:0x0; valaddr_reg:x3; +val_offset:26*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f5, f6, dyn, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rd==f4, +/* opcode: fsqrt.s ; op1:f3; dest:f4; op1val:0x0; valaddr_reg:x3; +val_offset:27*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f4, f3, dyn, 0, 0, x3, 27*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f4, rd==f3, +/* opcode: fsqrt.s ; op1:f4; dest:f3; op1val:0x0; valaddr_reg:x3; +val_offset:28*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f3, f4, dyn, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rd==f2, +/* opcode: fsqrt.s ; op1:f1; dest:f2; op1val:0x0; valaddr_reg:x3; +val_offset:29*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f2, f1, dyn, 0, 0, x3, 29*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f2, rd==f1, +/* opcode: fsqrt.s ; op1:f2; dest:f1; op1val:0x0; valaddr_reg:x3; +val_offset:30*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f1, f2, dyn, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f0, +/* opcode: fsqrt.s ; op1:f0; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:31*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f0, dyn, 0, 0, x3, 31*FLEN/8, x4, x1, x2) + +inst_32: +// rd==f0, +/* opcode: fsqrt.s ; op1:f31; dest:f0; op1val:0x0; valaddr_reg:x3; +val_offset:32*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f0, f31, dyn, 0, 0, x3, 32*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1065353218,32,FLEN) +NAN_BOXED(1065353220,32,FLEN) +NAN_BOXED(1065353224,32,FLEN) +NAN_BOXED(1065353232,32,FLEN) +NAN_BOXED(1065353248,32,FLEN) +NAN_BOXED(1065353280,32,FLEN) +NAN_BOXED(1065353344,32,FLEN) +NAN_BOXED(1065353472,32,FLEN) +NAN_BOXED(1065353728,32,FLEN) +NAN_BOXED(1065354240,32,FLEN) +NAN_BOXED(1065355264,32,FLEN) +NAN_BOXED(1065357312,32,FLEN) +NAN_BOXED(1065361410,32,FLEN) +NAN_BOXED(1065369608,32,FLEN) +NAN_BOXED(1065386016,32,FLEN) +NAN_BOXED(1065418880,32,FLEN) +NAN_BOXED(1065484800,32,FLEN) +NAN_BOXED(1065617408,32,FLEN) +NAN_BOXED(1065885696,32,FLEN) +NAN_BOXED(1066434560,32,FLEN) +NAN_BOXED(1067581440,32,FLEN) +NAN_BOXED(1070071808,32,FLEN) +NAN_BOXED(1074790400,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 66*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b20-01.S b/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b20-01.S new file mode 100644 index 000000000..e74d4b5c5 --- /dev/null +++ b/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b20-01.S @@ -0,0 +1,609 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 10:41:59 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/sample_cgfs_fext/RV32F/fsqrt.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fsqrt.s instruction of the RISC-V RV32F_Zicsr,RV32FD_Zicsr,RV64F_Zicsr,RV64FD_Zicsr extension for the fsqrt_b20 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr,RV32IFD_Zicsr,RV64IF_Zicsr,RV64IFD_Zicsr") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*);def TEST_CASE_1=True;",fsqrt_b20) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==f30, rd==f31,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f7fffff; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rd, rs1==f29, rd==f29,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f29; dest:f29; op1val:0x0; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f29, f29, dyn, 0, 0, x3, 1*FLEN/8, x4, x1, x2) + +inst_2: +// rs1==f31, rd==f30,fs1 == 0 and fe1 == 0x39 and fm1 == 0x480000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f31; dest:f30; op1val:0x1cc80000; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f30, f31, dyn, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_3: +// rs1==f27, rd==f28,fs1 == 0 and fe1 == 0xf9 and fm1 == 0x480000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f27; dest:f28; op1val:0x7cc80000; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f28, f27, dyn, 0, 0, x3, 3*FLEN/8, x4, x1, x2) + +inst_4: +// rs1==f28, rd==f27,fs1 == 0 and fe1 == 0xb7 and fm1 == 0x720000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f28; dest:f27; op1val:0x5bf20000; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f27, f28, dyn, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rd==f26,fs1 == 0 and fe1 == 0xc7 and fm1 == 0x720000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f25; dest:f26; op1val:0x63f20000; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f26, f25, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f26, rd==f25,fs1 == 0 and fe1 == 0xf4 and fm1 == 0x610000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f26; dest:f25; op1val:0x7a610000; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f25, f26, dyn, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f23, rd==f24,fs1 == 0 and fe1 == 0x86 and fm1 == 0x704000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f23; dest:f24; op1val:0x43704000; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f24, f23, dyn, 0, 0, x3, 7*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f24, rd==f23,fs1 == 0 and fe1 == 0x97 and fm1 == 0x5c8000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f24; dest:f23; op1val:0x4bdc8000; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f23, f24, dyn, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rd==f22,fs1 == 0 and fe1 == 0x82 and fm1 == 0x044000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f21; dest:f22; op1val:0x41044000; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f22, f21, dyn, 0, 0, x3, 9*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f22, rd==f21,fs1 == 0 and fe1 == 0x3b and fm1 == 0x108000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f22; dest:f21; op1val:0x1d908000; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f21, f22, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rd==f20,fs1 == 0 and fe1 == 0x24 and fm1 == 0x689000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f19; dest:f20; op1val:0x12689000; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f20, f19, dyn, 0, 0, x3, 11*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f20, rd==f19,fs1 == 0 and fe1 == 0x59 and fm1 == 0x7d2000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f20; dest:f19; op1val:0x2cfd2000; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f19, f20, dyn, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f17, rd==f18,fs1 == 0 and fe1 == 0x8e and fm1 == 0x689000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f17; dest:f18; op1val:0x47689000; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f18, f17, dyn, 0, 0, x3, 13*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f18, rd==f17,fs1 == 0 and fe1 == 0x86 and fm1 == 0x130400 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f18; dest:f17; op1val:0x43130400; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f17, f18, dyn, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rd==f16,fs1 == 0 and fe1 == 0x88 and fm1 == 0x7c0400 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f15; dest:f16; op1val:0x447c0400; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f16, f15, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f16, rd==f15,fs1 == 0 and fe1 == 0x66 and fm1 == 0x64c400 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f16; dest:f15; op1val:0x3364c400; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f15, f16, dyn, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rd==f14,fs1 == 0 and fe1 == 0xf9 and fm1 == 0x7ff200 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f13; dest:f14; op1val:0x7cfff200; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f14, f13, dyn, 0, 0, x3, 17*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f14, rd==f13,fs1 == 0 and fe1 == 0x39 and fm1 == 0x69d200 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f14; dest:f13; op1val:0x1ce9d200; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f13, f14, dyn, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f11, rd==f12,fs1 == 0 and fe1 == 0xef and fm1 == 0x7bb880 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f11; dest:f12; op1val:0x77fbb880; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f12, f11, dyn, 0, 0, x3, 19*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f12, rd==f11,fs1 == 0 and fe1 == 0xd0 and fm1 == 0x095440 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f12; dest:f11; op1val:0x68095440; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f11, f12, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rd==f10,fs1 == 0 and fe1 == 0x7b and fm1 == 0x46c080 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f9; dest:f10; op1val:0x3dc6c080; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f10, f9, dyn, 0, 0, x3, 21*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f10, rd==f9,fs1 == 0 and fe1 == 0x80 and fm1 == 0x6d5a40 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f10; dest:f9; op1val:0x406d5a40; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f9, f10, dyn, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rd==f8,fs1 == 0 and fe1 == 0xd3 and fm1 == 0x6a7f20 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f7; dest:f8; op1val:0x69ea7f20; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f8, f7, dyn, 0, 0, x3, 23*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f8, rd==f7,fs1 == 0 and fe1 == 0x34 and fm1 == 0x08f690 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f8; dest:f7; op1val:0x1a08f690; valaddr_reg:x3; +val_offset:24*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f7, f8, dyn, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f5, rd==f6,fs1 == 0 and fe1 == 0x6a and fm1 == 0x3e2364 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f5; dest:f6; op1val:0x353e2364; valaddr_reg:x3; +val_offset:25*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f6, f5, dyn, 0, 0, x3, 25*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f6, rd==f5,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000160 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f6; dest:f5; op1val:0x160; valaddr_reg:x3; +val_offset:26*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f5, f6, dyn, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rd==f4,fs1 == 0 and fe1 == 0xbc and fm1 == 0x68cd04 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f3; dest:f4; op1val:0x5e68cd04; valaddr_reg:x3; +val_offset:27*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f4, f3, dyn, 0, 0, x3, 27*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f4, rd==f3,fs1 == 0 and fe1 == 0x1b and fm1 == 0x5b5a62 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f4; dest:f3; op1val:0xddb5a62; valaddr_reg:x3; +val_offset:28*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f3, f4, dyn, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rd==f2,fs1 == 0 and fe1 == 0xea and fm1 == 0x4f33d9 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f1; dest:f2; op1val:0x754f33d9; valaddr_reg:x3; +val_offset:29*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f2, f1, dyn, 0, 0, x3, 29*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f2, rd==f1,fs1 == 0 and fe1 == 0xa0 and fm1 == 0x10d851 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f2; dest:f1; op1val:0x5010d851; valaddr_reg:x3; +val_offset:30*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f1, f2, dyn, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f0,fs1 == 0 and fe1 == 0xce and fm1 == 0x1168e1 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f0; dest:f31; op1val:0x671168e1; valaddr_reg:x3; +val_offset:31*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f0, dyn, 0, 0, x3, 31*FLEN/8, x4, x1, x2) + +inst_32: +// rd==f0,fs1 == 0 and fe1 == 0x52 and fm1 == 0x216b44 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f31; dest:f0; op1val:0x29216b44; valaddr_reg:x3; +val_offset:32*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f0, f31, dyn, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_33: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000005 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x5; valaddr_reg:x3; +val_offset:33*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 33*FLEN/8, x4, x1, x2) + +inst_34: +// fs1 == 0 and fe1 == 0x3c and fm1 == 0x124e58 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x1e124e58; valaddr_reg:x3; +val_offset:34*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_35: +// fs1 == 0 and fe1 == 0xc0 and fm1 == 0x3590aa and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x603590aa; valaddr_reg:x3; +val_offset:35*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 35*FLEN/8, x4, x1, x2) + +inst_36: +// fs1 == 0 and fe1 == 0xf3 and fm1 == 0x6653ed and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x79e653ed; valaddr_reg:x3; +val_offset:36*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_37: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7f3827 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f7f3827; valaddr_reg:x3; +val_offset:37*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 37*FLEN/8, x4, x1, x2) + +inst_38: +// fs1 == 0 and fe1 == 0xa7 and fm1 == 0x0f78f8 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x538f78f8; valaddr_reg:x3; +val_offset:38*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_39: +// fs1 == 0 and fe1 == 0x0b and fm1 == 0x0cd684 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x58cd684; valaddr_reg:x3; +val_offset:39*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 39*FLEN/8, x4, x1, x2) + +inst_40: +// fs1 == 0 and fe1 == 0xdd and fm1 == 0x4096e8 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x6ec096e8; valaddr_reg:x3; +val_offset:40*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_41: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0cd173 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xcd173; valaddr_reg:x3; +val_offset:41*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 41*FLEN/8, x4, x1, x2) + +inst_42: +// fs1 == 0 and fe1 == 0x59 and fm1 == 0x0fed85 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x2c8fed85; valaddr_reg:x3; +val_offset:42*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_43: +// fs1 == 0 and fe1 == 0x39 and fm1 == 0x0ef3b1 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x1c8ef3b1; valaddr_reg:x3; +val_offset:43*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 43*FLEN/8, x4, x1, x2) + +inst_44: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2bf296 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x2bf296; valaddr_reg:x3; +val_offset:44*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_45: +// fs1 == 0 and fe1 == 0xf5 and fm1 == 0x0aadc1 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7a8aadc1; valaddr_reg:x3; +val_offset:45*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 45*FLEN/8, x4, x1, x2) + +inst_46: +// fs1 == 0 and fe1 == 0x3f and fm1 == 0x0577a2 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x1f8577a2; valaddr_reg:x3; +val_offset:46*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_47: +// fs1 == 0 and fe1 == 0xf9 and fm1 == 0x2b61ee and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7cab61ee; valaddr_reg:x3; +val_offset:47*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 47*FLEN/8, x4, x1, x2) + +inst_48: +// fs1 == 0 and fe1 == 0xe4 and fm1 == 0x1477dc and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x721477dc; valaddr_reg:x3; +val_offset:48*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_49: +// fs1 == 0 and fe1 == 0xad and fm1 == 0x75bbd8 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x56f5bbd8; valaddr_reg:x3; +val_offset:49*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 49*FLEN/8, x4, x1, x2) + +inst_50: +// fs1 == 0 and fe1 == 0x31 and fm1 == 0x011313 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x18811313; valaddr_reg:x3; +val_offset:50*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_51: +// fs1 == 0 and fe1 == 0xc2 and fm1 == 0x26f9c3 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x6126f9c3; valaddr_reg:x3; +val_offset:51*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 51*FLEN/8, x4, x1, x2) + +inst_52: +// fs1 == 0 and fe1 == 0x7b and fm1 == 0x64e1f0 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3de4e1f0; valaddr_reg:x3; +val_offset:52*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_53: +// fs1 == 0 and fe1 == 0x65 and fm1 == 0x5b1e82 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x32db1e82; valaddr_reg:x3; +val_offset:53*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 53*FLEN/8, x4, x1, x2) + +inst_54: +// fs1 == 0 and fe1 == 0xb6 and fm1 == 0x479816 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x5b479816; valaddr_reg:x3; +val_offset:54*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_55: +// fs1 == 0 and fe1 == 0x4e and fm1 == 0x454542 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x27454542; valaddr_reg:x3; +val_offset:55*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 55*FLEN/8, x4, x1, x2) + +inst_56: +// fs1 == 0 and fe1 == 0xea and fm1 == 0x284ae6 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x75284ae6; valaddr_reg:x3; +val_offset:56*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_57: +// fs1 == 0 and fe1 == 0xd3 and fm1 == 0x190acf and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x69990acf; valaddr_reg:x3; +val_offset:57*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 57*FLEN/8, x4, x1, x2) + +inst_58: +// fs1 == 0 and fe1 == 0x65 and fm1 == 0x064562 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x32864562; valaddr_reg:x3; +val_offset:58*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_59: +// fs1 == 0 and fe1 == 0xac and fm1 == 0x13884e and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x5613884e; valaddr_reg:x3; +val_offset:59*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 59*FLEN/8, x4, x1, x2) + +inst_60: +// fs1 == 0 and fe1 == 0x79 and fm1 == 0x785c55 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3cf85c55; valaddr_reg:x3; +val_offset:60*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_61: +// fs1 == 0 and fe1 == 0x30 and fm1 == 0x75cb89 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x1875cb89; valaddr_reg:x3; +val_offset:61*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 61*FLEN/8, x4, x1, x2) + +inst_62: +// fs1 == 0 and fe1 == 0xb7 and fm1 == 0x4bce51 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x5bcbce51; valaddr_reg:x3; +val_offset:62*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 62*FLEN/8, x4, x1, x2) + +inst_63: +// fs1 == 0 and fe1 == 0xd0 and fm1 == 0x010151 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x68010151; valaddr_reg:x3; +val_offset:63*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 63*FLEN/8, x4, x1, x2) + +inst_64: +// fs1 == 0 and fe1 == 0x9e and fm1 == 0x38d874 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x4f38d874; valaddr_reg:x3; +val_offset:64*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 64*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(482869248,32,FLEN) +NAN_BOXED(2093481984,32,FLEN) +NAN_BOXED(1542586368,32,FLEN) +NAN_BOXED(1676804096,32,FLEN) +NAN_BOXED(2053177344,32,FLEN) +NAN_BOXED(1131429888,32,FLEN) +NAN_BOXED(1272741888,32,FLEN) +NAN_BOXED(1090797568,32,FLEN) +NAN_BOXED(496009216,32,FLEN) +NAN_BOXED(308842496,32,FLEN) +NAN_BOXED(754786304,32,FLEN) +NAN_BOXED(1198034944,32,FLEN) +NAN_BOXED(1125319680,32,FLEN) +NAN_BOXED(1148978176,32,FLEN) +NAN_BOXED(862241792,32,FLEN) +NAN_BOXED(2097148416,32,FLEN) +NAN_BOXED(485085696,32,FLEN) +NAN_BOXED(2012985472,32,FLEN) +NAN_BOXED(1745441856,32,FLEN) +NAN_BOXED(1036435584,32,FLEN) +NAN_BOXED(1080908352,32,FLEN) +NAN_BOXED(1776975648,32,FLEN) +NAN_BOXED(436795024,32,FLEN) +NAN_BOXED(893264740,32,FLEN) +NAN_BOXED(352,32,FLEN) +NAN_BOXED(1583926532,32,FLEN) +NAN_BOXED(232479330,32,FLEN) +NAN_BOXED(1968124889,32,FLEN) +NAN_BOXED(1343281233,32,FLEN) +NAN_BOXED(1729194209,32,FLEN) +NAN_BOXED(690056004,32,FLEN) +NAN_BOXED(5,32,FLEN) +NAN_BOXED(504516184,32,FLEN) +NAN_BOXED(1614123178,32,FLEN) +NAN_BOXED(2045137901,32,FLEN) +NAN_BOXED(2139043879,32,FLEN) +NAN_BOXED(1401911544,32,FLEN) +NAN_BOXED(93116036,32,FLEN) +NAN_BOXED(1858115304,32,FLEN) +NAN_BOXED(840051,32,FLEN) +NAN_BOXED(747629957,32,FLEN) +NAN_BOXED(479130545,32,FLEN) +NAN_BOXED(2880150,32,FLEN) +NAN_BOXED(2055908801,32,FLEN) +NAN_BOXED(528840610,32,FLEN) +NAN_BOXED(2091606510,32,FLEN) +NAN_BOXED(1913944028,32,FLEN) +NAN_BOXED(1458944984,32,FLEN) +NAN_BOXED(411112211,32,FLEN) +NAN_BOXED(1629944259,32,FLEN) +NAN_BOXED(1038410224,32,FLEN) +NAN_BOXED(853220994,32,FLEN) +NAN_BOXED(1531418646,32,FLEN) +NAN_BOXED(658851138,32,FLEN) +NAN_BOXED(1965574886,32,FLEN) +NAN_BOXED(1771637455,32,FLEN) +NAN_BOXED(847660386,32,FLEN) +NAN_BOXED(1444120654,32,FLEN) +NAN_BOXED(1022909525,32,FLEN) +NAN_BOXED(410373001,32,FLEN) +NAN_BOXED(1540083281,32,FLEN) +NAN_BOXED(1744896337,32,FLEN) +NAN_BOXED(1329125492,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 130*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b3-01.S b/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b3-01.S new file mode 100644 index 000000000..fabea5951 --- /dev/null +++ b/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b3-01.S @@ -0,0 +1,353 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 10:41:59 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/sample_cgfs_fext/RV32F/fsqrt.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fsqrt.s instruction of the RISC-V RV32F_Zicsr,RV32FD_Zicsr,RV64F_Zicsr,RV64FD_Zicsr extension for the fsqrt_b3 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr,RV32IFD_Zicsr,RV64IF_Zicsr,RV64IFD_Zicsr") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*);def TEST_CASE_1=True;",fsqrt_b3) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==f30, rd==f31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rd, rs1==f29, rd==f29,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x20 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f29; dest:f29; op1val:0x0; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 32 */ +TEST_FPSR_OP(fsqrt.s, f29, f29, dyn, 32, 0, x3, 1*FLEN/8, x4, x1, x2) + +inst_2: +// rs1==f31, rd==f30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x40 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f31; dest:f30; op1val:0x0; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 64 */ +TEST_FPSR_OP(fsqrt.s, f30, f31, dyn, 64, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_3: +// rs1==f27, rd==f28,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x60 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f27; dest:f28; op1val:0x0; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 96 */ +TEST_FPSR_OP(fsqrt.s, f28, f27, dyn, 96, 0, x3, 3*FLEN/8, x4, x1, x2) + +inst_4: +// rs1==f28, rd==f27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x80 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f28; dest:f27; op1val:0x0; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 128 */ +TEST_FPSR_OP(fsqrt.s, f27, f28, dyn, 128, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rd==f26,fs1 == 0 and fe1 == 0xd5 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f25; dest:f26; op1val:0x6a800000; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f26, f25, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f26, rd==f25,fs1 == 0 and fe1 == 0xd5 and fm1 == 0x000000 and fcsr == 0x20 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f26; dest:f25; op1val:0x6a800000; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 32 */ +TEST_FPSR_OP(fsqrt.s, f25, f26, dyn, 32, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f23, rd==f24,fs1 == 0 and fe1 == 0xd5 and fm1 == 0x000000 and fcsr == 0x40 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f23; dest:f24; op1val:0x6a800000; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 64 */ +TEST_FPSR_OP(fsqrt.s, f24, f23, dyn, 64, 0, x3, 7*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f24, rd==f23,fs1 == 0 and fe1 == 0xd5 and fm1 == 0x000000 and fcsr == 0x60 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f24; dest:f23; op1val:0x6a800000; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 96 */ +TEST_FPSR_OP(fsqrt.s, f23, f24, dyn, 96, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rd==f22,fs1 == 0 and fe1 == 0xd5 and fm1 == 0x000000 and fcsr == 0x80 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f21; dest:f22; op1val:0x6a800000; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 128 */ +TEST_FPSR_OP(fsqrt.s, f22, f21, dyn, 128, 0, x3, 9*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f22, rd==f21,fs1 == 0 and fe1 == 0x29 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f22; dest:f21; op1val:0x14800000; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f21, f22, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rd==f20,fs1 == 0 and fe1 == 0x29 and fm1 == 0x000000 and fcsr == 0x20 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f19; dest:f20; op1val:0x14800000; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 32 */ +TEST_FPSR_OP(fsqrt.s, f20, f19, dyn, 32, 0, x3, 11*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f20, rd==f19,fs1 == 0 and fe1 == 0x29 and fm1 == 0x000000 and fcsr == 0x40 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f20; dest:f19; op1val:0x14800000; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 64 */ +TEST_FPSR_OP(fsqrt.s, f19, f20, dyn, 64, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f17, rd==f18,fs1 == 0 and fe1 == 0x29 and fm1 == 0x000000 and fcsr == 0x60 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f17; dest:f18; op1val:0x14800000; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 96 */ +TEST_FPSR_OP(fsqrt.s, f18, f17, dyn, 96, 0, x3, 13*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f18, rd==f17,fs1 == 0 and fe1 == 0x29 and fm1 == 0x000000 and fcsr == 0x80 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f18; dest:f17; op1val:0x14800000; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 128 */ +TEST_FPSR_OP(fsqrt.s, f17, f18, dyn, 128, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rd==f16, +/* opcode: fsqrt.s ; op1:f15; dest:f16; op1val:0x0; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f16, f15, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f16, rd==f15, +/* opcode: fsqrt.s ; op1:f16; dest:f15; op1val:0x0; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f15, f16, dyn, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rd==f14, +/* opcode: fsqrt.s ; op1:f13; dest:f14; op1val:0x0; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f14, f13, dyn, 0, 0, x3, 17*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f14, rd==f13, +/* opcode: fsqrt.s ; op1:f14; dest:f13; op1val:0x0; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f13, f14, dyn, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f11, rd==f12, +/* opcode: fsqrt.s ; op1:f11; dest:f12; op1val:0x0; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f12, f11, dyn, 0, 0, x3, 19*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f12, rd==f11, +/* opcode: fsqrt.s ; op1:f12; dest:f11; op1val:0x0; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f11, f12, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rd==f10, +/* opcode: fsqrt.s ; op1:f9; dest:f10; op1val:0x0; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f10, f9, dyn, 0, 0, x3, 21*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f10, rd==f9, +/* opcode: fsqrt.s ; op1:f10; dest:f9; op1val:0x0; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f9, f10, dyn, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rd==f8, +/* opcode: fsqrt.s ; op1:f7; dest:f8; op1val:0x0; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f8, f7, dyn, 0, 0, x3, 23*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f8, rd==f7, +/* opcode: fsqrt.s ; op1:f8; dest:f7; op1val:0x0; valaddr_reg:x3; +val_offset:24*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f7, f8, dyn, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f5, rd==f6, +/* opcode: fsqrt.s ; op1:f5; dest:f6; op1val:0x0; valaddr_reg:x3; +val_offset:25*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f6, f5, dyn, 0, 0, x3, 25*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f6, rd==f5, +/* opcode: fsqrt.s ; op1:f6; dest:f5; op1val:0x0; valaddr_reg:x3; +val_offset:26*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f5, f6, dyn, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rd==f4, +/* opcode: fsqrt.s ; op1:f3; dest:f4; op1val:0x0; valaddr_reg:x3; +val_offset:27*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f4, f3, dyn, 0, 0, x3, 27*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f4, rd==f3, +/* opcode: fsqrt.s ; op1:f4; dest:f3; op1val:0x0; valaddr_reg:x3; +val_offset:28*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f3, f4, dyn, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rd==f2, +/* opcode: fsqrt.s ; op1:f1; dest:f2; op1val:0x0; valaddr_reg:x3; +val_offset:29*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f2, f1, dyn, 0, 0, x3, 29*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f2, rd==f1, +/* opcode: fsqrt.s ; op1:f2; dest:f1; op1val:0x0; valaddr_reg:x3; +val_offset:30*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f1, f2, dyn, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f0, +/* opcode: fsqrt.s ; op1:f0; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:31*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f0, dyn, 0, 0, x3, 31*FLEN/8, x4, x1, x2) + +inst_32: +// rd==f0, +/* opcode: fsqrt.s ; op1:f31; dest:f0; op1val:0x0; valaddr_reg:x3; +val_offset:32*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f0, f31, dyn, 0, 0, x3, 32*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1786773504,32,FLEN) +NAN_BOXED(1786773504,32,FLEN) +NAN_BOXED(1786773504,32,FLEN) +NAN_BOXED(1786773504,32,FLEN) +NAN_BOXED(1786773504,32,FLEN) +NAN_BOXED(343932928,32,FLEN) +NAN_BOXED(343932928,32,FLEN) +NAN_BOXED(343932928,32,FLEN) +NAN_BOXED(343932928,32,FLEN) +NAN_BOXED(343932928,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 66*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b4-01.S b/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b4-01.S new file mode 100644 index 000000000..3839dffd4 --- /dev/null +++ b/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b4-01.S @@ -0,0 +1,353 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 10:41:59 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/sample_cgfs_fext/RV32F/fsqrt.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fsqrt.s instruction of the RISC-V RV32F_Zicsr,RV32FD_Zicsr,RV64F_Zicsr,RV64FD_Zicsr extension for the fsqrt_b4 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr,RV32IFD_Zicsr,RV64IF_Zicsr,RV64IFD_Zicsr") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*);def TEST_CASE_1=True;",fsqrt_b4) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==f30, rd==f31,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f7fffff; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rd, rs1==f29, rd==f29,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fcsr == 0x20 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f29; dest:f29; op1val:0x7f7fffff; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 32 */ +TEST_FPSR_OP(fsqrt.s, f29, f29, dyn, 32, 0, x3, 1*FLEN/8, x4, x1, x2) + +inst_2: +// rs1==f31, rd==f30,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fcsr == 0x40 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f31; dest:f30; op1val:0x7f7fffff; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 64 */ +TEST_FPSR_OP(fsqrt.s, f30, f31, dyn, 64, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_3: +// rs1==f27, rd==f28,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fcsr == 0x60 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f27; dest:f28; op1val:0x7f7fffff; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 96 */ +TEST_FPSR_OP(fsqrt.s, f28, f27, dyn, 96, 0, x3, 3*FLEN/8, x4, x1, x2) + +inst_4: +// rs1==f28, rd==f27,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fcsr == 0x80 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f28; dest:f27; op1val:0x7f7fffff; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 128 */ +TEST_FPSR_OP(fsqrt.s, f27, f28, dyn, 128, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rd==f26, +/* opcode: fsqrt.s ; op1:f25; dest:f26; op1val:0x0; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f26, f25, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f26, rd==f25, +/* opcode: fsqrt.s ; op1:f26; dest:f25; op1val:0x0; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f25, f26, dyn, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f23, rd==f24, +/* opcode: fsqrt.s ; op1:f23; dest:f24; op1val:0x0; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f24, f23, dyn, 0, 0, x3, 7*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f24, rd==f23, +/* opcode: fsqrt.s ; op1:f24; dest:f23; op1val:0x0; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f23, f24, dyn, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rd==f22, +/* opcode: fsqrt.s ; op1:f21; dest:f22; op1val:0x0; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f22, f21, dyn, 0, 0, x3, 9*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f22, rd==f21, +/* opcode: fsqrt.s ; op1:f22; dest:f21; op1val:0x0; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f21, f22, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rd==f20, +/* opcode: fsqrt.s ; op1:f19; dest:f20; op1val:0x0; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f20, f19, dyn, 0, 0, x3, 11*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f20, rd==f19, +/* opcode: fsqrt.s ; op1:f20; dest:f19; op1val:0x0; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f19, f20, dyn, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f17, rd==f18, +/* opcode: fsqrt.s ; op1:f17; dest:f18; op1val:0x0; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f18, f17, dyn, 0, 0, x3, 13*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f18, rd==f17, +/* opcode: fsqrt.s ; op1:f18; dest:f17; op1val:0x0; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f17, f18, dyn, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rd==f16, +/* opcode: fsqrt.s ; op1:f15; dest:f16; op1val:0x0; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f16, f15, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f16, rd==f15, +/* opcode: fsqrt.s ; op1:f16; dest:f15; op1val:0x0; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f15, f16, dyn, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rd==f14, +/* opcode: fsqrt.s ; op1:f13; dest:f14; op1val:0x0; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f14, f13, dyn, 0, 0, x3, 17*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f14, rd==f13, +/* opcode: fsqrt.s ; op1:f14; dest:f13; op1val:0x0; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f13, f14, dyn, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f11, rd==f12, +/* opcode: fsqrt.s ; op1:f11; dest:f12; op1val:0x0; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f12, f11, dyn, 0, 0, x3, 19*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f12, rd==f11, +/* opcode: fsqrt.s ; op1:f12; dest:f11; op1val:0x0; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f11, f12, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rd==f10, +/* opcode: fsqrt.s ; op1:f9; dest:f10; op1val:0x0; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f10, f9, dyn, 0, 0, x3, 21*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f10, rd==f9, +/* opcode: fsqrt.s ; op1:f10; dest:f9; op1val:0x0; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f9, f10, dyn, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rd==f8, +/* opcode: fsqrt.s ; op1:f7; dest:f8; op1val:0x0; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f8, f7, dyn, 0, 0, x3, 23*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f8, rd==f7, +/* opcode: fsqrt.s ; op1:f8; dest:f7; op1val:0x0; valaddr_reg:x3; +val_offset:24*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f7, f8, dyn, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f5, rd==f6, +/* opcode: fsqrt.s ; op1:f5; dest:f6; op1val:0x0; valaddr_reg:x3; +val_offset:25*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f6, f5, dyn, 0, 0, x3, 25*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f6, rd==f5, +/* opcode: fsqrt.s ; op1:f6; dest:f5; op1val:0x0; valaddr_reg:x3; +val_offset:26*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f5, f6, dyn, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rd==f4, +/* opcode: fsqrt.s ; op1:f3; dest:f4; op1val:0x0; valaddr_reg:x3; +val_offset:27*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f4, f3, dyn, 0, 0, x3, 27*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f4, rd==f3, +/* opcode: fsqrt.s ; op1:f4; dest:f3; op1val:0x0; valaddr_reg:x3; +val_offset:28*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f3, f4, dyn, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rd==f2, +/* opcode: fsqrt.s ; op1:f1; dest:f2; op1val:0x0; valaddr_reg:x3; +val_offset:29*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f2, f1, dyn, 0, 0, x3, 29*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f2, rd==f1, +/* opcode: fsqrt.s ; op1:f2; dest:f1; op1val:0x0; valaddr_reg:x3; +val_offset:30*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f1, f2, dyn, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f0, +/* opcode: fsqrt.s ; op1:f0; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:31*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f0, dyn, 0, 0, x3, 31*FLEN/8, x4, x1, x2) + +inst_32: +// rd==f0, +/* opcode: fsqrt.s ; op1:f31; dest:f0; op1val:0x0; valaddr_reg:x3; +val_offset:32*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f0, f31, dyn, 0, 0, x3, 32*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 66*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b5-01.S b/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b5-01.S new file mode 100644 index 000000000..20f55b845 --- /dev/null +++ b/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b5-01.S @@ -0,0 +1,353 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 10:41:59 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/sample_cgfs_fext/RV32F/fsqrt.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fsqrt.s instruction of the RISC-V RV32F_Zicsr,RV32FD_Zicsr,RV64F_Zicsr,RV64FD_Zicsr extension for the fsqrt_b5 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr,RV32IFD_Zicsr,RV64IF_Zicsr,RV64IFD_Zicsr") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*);def TEST_CASE_1=True;",fsqrt_b5) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==f30, rd==f31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rd, rs1==f29, rd==f29,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x20 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f29; dest:f29; op1val:0x0; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 32 */ +TEST_FPSR_OP(fsqrt.s, f29, f29, dyn, 32, 0, x3, 1*FLEN/8, x4, x1, x2) + +inst_2: +// rs1==f31, rd==f30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x40 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f31; dest:f30; op1val:0x0; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 64 */ +TEST_FPSR_OP(fsqrt.s, f30, f31, dyn, 64, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_3: +// rs1==f27, rd==f28,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x60 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f27; dest:f28; op1val:0x0; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 96 */ +TEST_FPSR_OP(fsqrt.s, f28, f27, dyn, 96, 0, x3, 3*FLEN/8, x4, x1, x2) + +inst_4: +// rs1==f28, rd==f27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x80 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f28; dest:f27; op1val:0x0; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 128 */ +TEST_FPSR_OP(fsqrt.s, f27, f28, dyn, 128, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rd==f26, +/* opcode: fsqrt.s ; op1:f25; dest:f26; op1val:0x0; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f26, f25, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f26, rd==f25, +/* opcode: fsqrt.s ; op1:f26; dest:f25; op1val:0x0; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f25, f26, dyn, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f23, rd==f24, +/* opcode: fsqrt.s ; op1:f23; dest:f24; op1val:0x0; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f24, f23, dyn, 0, 0, x3, 7*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f24, rd==f23, +/* opcode: fsqrt.s ; op1:f24; dest:f23; op1val:0x0; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f23, f24, dyn, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rd==f22, +/* opcode: fsqrt.s ; op1:f21; dest:f22; op1val:0x0; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f22, f21, dyn, 0, 0, x3, 9*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f22, rd==f21, +/* opcode: fsqrt.s ; op1:f22; dest:f21; op1val:0x0; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f21, f22, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rd==f20, +/* opcode: fsqrt.s ; op1:f19; dest:f20; op1val:0x0; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f20, f19, dyn, 0, 0, x3, 11*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f20, rd==f19, +/* opcode: fsqrt.s ; op1:f20; dest:f19; op1val:0x0; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f19, f20, dyn, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f17, rd==f18, +/* opcode: fsqrt.s ; op1:f17; dest:f18; op1val:0x0; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f18, f17, dyn, 0, 0, x3, 13*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f18, rd==f17, +/* opcode: fsqrt.s ; op1:f18; dest:f17; op1val:0x0; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f17, f18, dyn, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rd==f16, +/* opcode: fsqrt.s ; op1:f15; dest:f16; op1val:0x0; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f16, f15, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f16, rd==f15, +/* opcode: fsqrt.s ; op1:f16; dest:f15; op1val:0x0; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f15, f16, dyn, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rd==f14, +/* opcode: fsqrt.s ; op1:f13; dest:f14; op1val:0x0; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f14, f13, dyn, 0, 0, x3, 17*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f14, rd==f13, +/* opcode: fsqrt.s ; op1:f14; dest:f13; op1val:0x0; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f13, f14, dyn, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f11, rd==f12, +/* opcode: fsqrt.s ; op1:f11; dest:f12; op1val:0x0; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f12, f11, dyn, 0, 0, x3, 19*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f12, rd==f11, +/* opcode: fsqrt.s ; op1:f12; dest:f11; op1val:0x0; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f11, f12, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rd==f10, +/* opcode: fsqrt.s ; op1:f9; dest:f10; op1val:0x0; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f10, f9, dyn, 0, 0, x3, 21*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f10, rd==f9, +/* opcode: fsqrt.s ; op1:f10; dest:f9; op1val:0x0; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f9, f10, dyn, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rd==f8, +/* opcode: fsqrt.s ; op1:f7; dest:f8; op1val:0x0; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f8, f7, dyn, 0, 0, x3, 23*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f8, rd==f7, +/* opcode: fsqrt.s ; op1:f8; dest:f7; op1val:0x0; valaddr_reg:x3; +val_offset:24*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f7, f8, dyn, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f5, rd==f6, +/* opcode: fsqrt.s ; op1:f5; dest:f6; op1val:0x0; valaddr_reg:x3; +val_offset:25*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f6, f5, dyn, 0, 0, x3, 25*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f6, rd==f5, +/* opcode: fsqrt.s ; op1:f6; dest:f5; op1val:0x0; valaddr_reg:x3; +val_offset:26*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f5, f6, dyn, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rd==f4, +/* opcode: fsqrt.s ; op1:f3; dest:f4; op1val:0x0; valaddr_reg:x3; +val_offset:27*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f4, f3, dyn, 0, 0, x3, 27*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f4, rd==f3, +/* opcode: fsqrt.s ; op1:f4; dest:f3; op1val:0x0; valaddr_reg:x3; +val_offset:28*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f3, f4, dyn, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rd==f2, +/* opcode: fsqrt.s ; op1:f1; dest:f2; op1val:0x0; valaddr_reg:x3; +val_offset:29*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f2, f1, dyn, 0, 0, x3, 29*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f2, rd==f1, +/* opcode: fsqrt.s ; op1:f2; dest:f1; op1val:0x0; valaddr_reg:x3; +val_offset:30*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f1, f2, dyn, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f0, +/* opcode: fsqrt.s ; op1:f0; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:31*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f0, dyn, 0, 0, x3, 31*FLEN/8, x4, x1, x2) + +inst_32: +// rd==f0, +/* opcode: fsqrt.s ; op1:f31; dest:f0; op1val:0x0; valaddr_reg:x3; +val_offset:32*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f0, f31, dyn, 0, 0, x3, 32*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 66*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b7-01.S b/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b7-01.S new file mode 100644 index 000000000..6e47c5a6c --- /dev/null +++ b/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b7-01.S @@ -0,0 +1,353 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 10:41:59 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/sample_cgfs_fext/RV32F/fsqrt.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fsqrt.s instruction of the RISC-V RV32F_Zicsr,RV32FD_Zicsr,RV64F_Zicsr,RV64FD_Zicsr extension for the fsqrt_b7 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr,RV32IFD_Zicsr,RV64IF_Zicsr,RV64IFD_Zicsr") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*);def TEST_CASE_1=True;",fsqrt_b7) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==f30, rd==f31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x60 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 96 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 96, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rd, rs1==f29, rd==f29,fs1 == 0 and fe1 == 0xd5 and fm1 == 0x000000 and fcsr == 0x60 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f29; dest:f29; op1val:0x6a800000; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 96 */ +TEST_FPSR_OP(fsqrt.s, f29, f29, dyn, 96, 0, x3, 1*FLEN/8, x4, x1, x2) + +inst_2: +// rs1==f31, rd==f30,fs1 == 0 and fe1 == 0x29 and fm1 == 0x000000 and fcsr == 0x60 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f31; dest:f30; op1val:0x14800000; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 96 */ +TEST_FPSR_OP(fsqrt.s, f30, f31, dyn, 96, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_3: +// rs1==f27, rd==f28, +/* opcode: fsqrt.s ; op1:f27; dest:f28; op1val:0x0; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f28, f27, dyn, 0, 0, x3, 3*FLEN/8, x4, x1, x2) + +inst_4: +// rs1==f28, rd==f27, +/* opcode: fsqrt.s ; op1:f28; dest:f27; op1val:0x0; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f27, f28, dyn, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rd==f26, +/* opcode: fsqrt.s ; op1:f25; dest:f26; op1val:0x0; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f26, f25, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f26, rd==f25, +/* opcode: fsqrt.s ; op1:f26; dest:f25; op1val:0x0; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f25, f26, dyn, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f23, rd==f24, +/* opcode: fsqrt.s ; op1:f23; dest:f24; op1val:0x0; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f24, f23, dyn, 0, 0, x3, 7*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f24, rd==f23, +/* opcode: fsqrt.s ; op1:f24; dest:f23; op1val:0x0; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f23, f24, dyn, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rd==f22, +/* opcode: fsqrt.s ; op1:f21; dest:f22; op1val:0x0; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f22, f21, dyn, 0, 0, x3, 9*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f22, rd==f21, +/* opcode: fsqrt.s ; op1:f22; dest:f21; op1val:0x0; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f21, f22, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rd==f20, +/* opcode: fsqrt.s ; op1:f19; dest:f20; op1val:0x0; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f20, f19, dyn, 0, 0, x3, 11*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f20, rd==f19, +/* opcode: fsqrt.s ; op1:f20; dest:f19; op1val:0x0; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f19, f20, dyn, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f17, rd==f18, +/* opcode: fsqrt.s ; op1:f17; dest:f18; op1val:0x0; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f18, f17, dyn, 0, 0, x3, 13*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f18, rd==f17, +/* opcode: fsqrt.s ; op1:f18; dest:f17; op1val:0x0; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f17, f18, dyn, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rd==f16, +/* opcode: fsqrt.s ; op1:f15; dest:f16; op1val:0x0; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f16, f15, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f16, rd==f15, +/* opcode: fsqrt.s ; op1:f16; dest:f15; op1val:0x0; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f15, f16, dyn, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rd==f14, +/* opcode: fsqrt.s ; op1:f13; dest:f14; op1val:0x0; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f14, f13, dyn, 0, 0, x3, 17*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f14, rd==f13, +/* opcode: fsqrt.s ; op1:f14; dest:f13; op1val:0x0; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f13, f14, dyn, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f11, rd==f12, +/* opcode: fsqrt.s ; op1:f11; dest:f12; op1val:0x0; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f12, f11, dyn, 0, 0, x3, 19*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f12, rd==f11, +/* opcode: fsqrt.s ; op1:f12; dest:f11; op1val:0x0; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f11, f12, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rd==f10, +/* opcode: fsqrt.s ; op1:f9; dest:f10; op1val:0x0; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f10, f9, dyn, 0, 0, x3, 21*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f10, rd==f9, +/* opcode: fsqrt.s ; op1:f10; dest:f9; op1val:0x0; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f9, f10, dyn, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rd==f8, +/* opcode: fsqrt.s ; op1:f7; dest:f8; op1val:0x0; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f8, f7, dyn, 0, 0, x3, 23*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f8, rd==f7, +/* opcode: fsqrt.s ; op1:f8; dest:f7; op1val:0x0; valaddr_reg:x3; +val_offset:24*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f7, f8, dyn, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f5, rd==f6, +/* opcode: fsqrt.s ; op1:f5; dest:f6; op1val:0x0; valaddr_reg:x3; +val_offset:25*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f6, f5, dyn, 0, 0, x3, 25*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f6, rd==f5, +/* opcode: fsqrt.s ; op1:f6; dest:f5; op1val:0x0; valaddr_reg:x3; +val_offset:26*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f5, f6, dyn, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rd==f4, +/* opcode: fsqrt.s ; op1:f3; dest:f4; op1val:0x0; valaddr_reg:x3; +val_offset:27*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f4, f3, dyn, 0, 0, x3, 27*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f4, rd==f3, +/* opcode: fsqrt.s ; op1:f4; dest:f3; op1val:0x0; valaddr_reg:x3; +val_offset:28*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f3, f4, dyn, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rd==f2, +/* opcode: fsqrt.s ; op1:f1; dest:f2; op1val:0x0; valaddr_reg:x3; +val_offset:29*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f2, f1, dyn, 0, 0, x3, 29*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f2, rd==f1, +/* opcode: fsqrt.s ; op1:f2; dest:f1; op1val:0x0; valaddr_reg:x3; +val_offset:30*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f1, f2, dyn, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f0, +/* opcode: fsqrt.s ; op1:f0; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:31*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f0, dyn, 0, 0, x3, 31*FLEN/8, x4, x1, x2) + +inst_32: +// rd==f0, +/* opcode: fsqrt.s ; op1:f31; dest:f0; op1val:0x0; valaddr_reg:x3; +val_offset:32*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f0, f31, dyn, 0, 0, x3, 32*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1786773504,32,FLEN) +NAN_BOXED(343932928,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 66*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b8-01.S b/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b8-01.S new file mode 100644 index 000000000..83cb240a3 --- /dev/null +++ b/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b8-01.S @@ -0,0 +1,353 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 10:41:59 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/sample_cgfs_fext/RV32F/fsqrt.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fsqrt.s instruction of the RISC-V RV32F_Zicsr,RV32FD_Zicsr,RV64F_Zicsr,RV64FD_Zicsr extension for the fsqrt_b8 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr,RV32IFD_Zicsr,RV64IF_Zicsr,RV64IFD_Zicsr") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*);def TEST_CASE_1=True;",fsqrt_b8) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==f30, rd==f31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rd, rs1==f29, rd==f29,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x20 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f29; dest:f29; op1val:0x0; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 32 */ +TEST_FPSR_OP(fsqrt.s, f29, f29, dyn, 32, 0, x3, 1*FLEN/8, x4, x1, x2) + +inst_2: +// rs1==f31, rd==f30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x40 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f31; dest:f30; op1val:0x0; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 64 */ +TEST_FPSR_OP(fsqrt.s, f30, f31, dyn, 64, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_3: +// rs1==f27, rd==f28,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x60 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f27; dest:f28; op1val:0x0; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 96 */ +TEST_FPSR_OP(fsqrt.s, f28, f27, dyn, 96, 0, x3, 3*FLEN/8, x4, x1, x2) + +inst_4: +// rs1==f28, rd==f27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x80 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f28; dest:f27; op1val:0x0; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 128 */ +TEST_FPSR_OP(fsqrt.s, f27, f28, dyn, 128, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rd==f26,fs1 == 0 and fe1 == 0xd5 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f25; dest:f26; op1val:0x6a800000; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f26, f25, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f26, rd==f25,fs1 == 0 and fe1 == 0xd5 and fm1 == 0x000000 and fcsr == 0x20 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f26; dest:f25; op1val:0x6a800000; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 32 */ +TEST_FPSR_OP(fsqrt.s, f25, f26, dyn, 32, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f23, rd==f24,fs1 == 0 and fe1 == 0xd5 and fm1 == 0x000000 and fcsr == 0x40 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f23; dest:f24; op1val:0x6a800000; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 64 */ +TEST_FPSR_OP(fsqrt.s, f24, f23, dyn, 64, 0, x3, 7*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f24, rd==f23,fs1 == 0 and fe1 == 0xd5 and fm1 == 0x000000 and fcsr == 0x60 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f24; dest:f23; op1val:0x6a800000; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 96 */ +TEST_FPSR_OP(fsqrt.s, f23, f24, dyn, 96, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rd==f22,fs1 == 0 and fe1 == 0xd5 and fm1 == 0x000000 and fcsr == 0x80 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f21; dest:f22; op1val:0x6a800000; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 128 */ +TEST_FPSR_OP(fsqrt.s, f22, f21, dyn, 128, 0, x3, 9*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f22, rd==f21,fs1 == 0 and fe1 == 0x29 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f22; dest:f21; op1val:0x14800000; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f21, f22, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rd==f20,fs1 == 0 and fe1 == 0x29 and fm1 == 0x000000 and fcsr == 0x20 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f19; dest:f20; op1val:0x14800000; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 32 */ +TEST_FPSR_OP(fsqrt.s, f20, f19, dyn, 32, 0, x3, 11*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f20, rd==f19,fs1 == 0 and fe1 == 0x29 and fm1 == 0x000000 and fcsr == 0x40 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f20; dest:f19; op1val:0x14800000; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 64 */ +TEST_FPSR_OP(fsqrt.s, f19, f20, dyn, 64, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f17, rd==f18,fs1 == 0 and fe1 == 0x29 and fm1 == 0x000000 and fcsr == 0x60 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f17; dest:f18; op1val:0x14800000; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 96 */ +TEST_FPSR_OP(fsqrt.s, f18, f17, dyn, 96, 0, x3, 13*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f18, rd==f17,fs1 == 0 and fe1 == 0x29 and fm1 == 0x000000 and fcsr == 0x80 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f18; dest:f17; op1val:0x14800000; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 128 */ +TEST_FPSR_OP(fsqrt.s, f17, f18, dyn, 128, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rd==f16, +/* opcode: fsqrt.s ; op1:f15; dest:f16; op1val:0x0; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f16, f15, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f16, rd==f15, +/* opcode: fsqrt.s ; op1:f16; dest:f15; op1val:0x0; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f15, f16, dyn, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rd==f14, +/* opcode: fsqrt.s ; op1:f13; dest:f14; op1val:0x0; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f14, f13, dyn, 0, 0, x3, 17*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f14, rd==f13, +/* opcode: fsqrt.s ; op1:f14; dest:f13; op1val:0x0; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f13, f14, dyn, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f11, rd==f12, +/* opcode: fsqrt.s ; op1:f11; dest:f12; op1val:0x0; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f12, f11, dyn, 0, 0, x3, 19*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f12, rd==f11, +/* opcode: fsqrt.s ; op1:f12; dest:f11; op1val:0x0; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f11, f12, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rd==f10, +/* opcode: fsqrt.s ; op1:f9; dest:f10; op1val:0x0; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f10, f9, dyn, 0, 0, x3, 21*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f10, rd==f9, +/* opcode: fsqrt.s ; op1:f10; dest:f9; op1val:0x0; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f9, f10, dyn, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rd==f8, +/* opcode: fsqrt.s ; op1:f7; dest:f8; op1val:0x0; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f8, f7, dyn, 0, 0, x3, 23*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f8, rd==f7, +/* opcode: fsqrt.s ; op1:f8; dest:f7; op1val:0x0; valaddr_reg:x3; +val_offset:24*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f7, f8, dyn, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f5, rd==f6, +/* opcode: fsqrt.s ; op1:f5; dest:f6; op1val:0x0; valaddr_reg:x3; +val_offset:25*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f6, f5, dyn, 0, 0, x3, 25*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f6, rd==f5, +/* opcode: fsqrt.s ; op1:f6; dest:f5; op1val:0x0; valaddr_reg:x3; +val_offset:26*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f5, f6, dyn, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rd==f4, +/* opcode: fsqrt.s ; op1:f3; dest:f4; op1val:0x0; valaddr_reg:x3; +val_offset:27*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f4, f3, dyn, 0, 0, x3, 27*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f4, rd==f3, +/* opcode: fsqrt.s ; op1:f4; dest:f3; op1val:0x0; valaddr_reg:x3; +val_offset:28*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f3, f4, dyn, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rd==f2, +/* opcode: fsqrt.s ; op1:f1; dest:f2; op1val:0x0; valaddr_reg:x3; +val_offset:29*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f2, f1, dyn, 0, 0, x3, 29*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f2, rd==f1, +/* opcode: fsqrt.s ; op1:f2; dest:f1; op1val:0x0; valaddr_reg:x3; +val_offset:30*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f1, f2, dyn, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f0, +/* opcode: fsqrt.s ; op1:f0; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:31*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f0, dyn, 0, 0, x3, 31*FLEN/8, x4, x1, x2) + +inst_32: +// rd==f0, +/* opcode: fsqrt.s ; op1:f31; dest:f0; op1val:0x0; valaddr_reg:x3; +val_offset:32*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f0, f31, dyn, 0, 0, x3, 32*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1786773504,32,FLEN) +NAN_BOXED(1786773504,32,FLEN) +NAN_BOXED(1786773504,32,FLEN) +NAN_BOXED(1786773504,32,FLEN) +NAN_BOXED(1786773504,32,FLEN) +NAN_BOXED(343932928,32,FLEN) +NAN_BOXED(343932928,32,FLEN) +NAN_BOXED(343932928,32,FLEN) +NAN_BOXED(343932928,32,FLEN) +NAN_BOXED(343932928,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 66*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b9-01.S b/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b9-01.S new file mode 100644 index 000000000..db2a727e1 --- /dev/null +++ b/riscv-test-suite/rv32i_m/F_Zfa/src/fsqrt_b9-01.S @@ -0,0 +1,1646 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 10:41:59 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/sample_cgfs_fext/RV32F/fsqrt.s.cgf \ + \ +// -- xlen 32 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fsqrt.s instruction of the RISC-V RV32F_Zicsr,RV32FD_Zicsr,RV64F_Zicsr,RV64FD_Zicsr extension for the fsqrt_b9 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32IF_Zicsr,RV32IFD_Zicsr,RV64IF_Zicsr,RV64IFD_Zicsr") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*);def TEST_CASE_1=True;",fsqrt_b9) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==f30, rd==f31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rd, rs1==f29, rd==f29,fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f29; dest:f29; op1val:0x7fffff; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f29, f29, dyn, 0, 0, x3, 1*FLEN/8, x4, x1, x2) + +inst_2: +// rs1==f31, rd==f30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x400000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f31; dest:f30; op1val:0x400000; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f30, f31, dyn, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_3: +// rs1==f27, rd==f28,fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f27; dest:f28; op1val:0x3fffff; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f28, f27, dyn, 0, 0, x3, 3*FLEN/8, x4, x1, x2) + +inst_4: +// rs1==f28, rd==f27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x600000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f28; dest:f27; op1val:0x600000; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f27, f28, dyn, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rd==f26,fs1 == 0 and fe1 == 0x00 and fm1 == 0x1fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f25; dest:f26; op1val:0x1fffff; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f26, f25, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f26, rd==f25,fs1 == 0 and fe1 == 0x00 and fm1 == 0x700000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f26; dest:f25; op1val:0x700000; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f25, f26, dyn, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f23, rd==f24,fs1 == 0 and fe1 == 0x00 and fm1 == 0x0fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f23; dest:f24; op1val:0xfffff; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f24, f23, dyn, 0, 0, x3, 7*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f24, rd==f23,fs1 == 0 and fe1 == 0x00 and fm1 == 0x780000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f24; dest:f23; op1val:0x780000; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f23, f24, dyn, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rd==f22,fs1 == 0 and fe1 == 0x00 and fm1 == 0x07ffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f21; dest:f22; op1val:0x7ffff; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f22, f21, dyn, 0, 0, x3, 9*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f22, rd==f21,fs1 == 0 and fe1 == 0x00 and fm1 == 0x7c0000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f22; dest:f21; op1val:0x7c0000; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f21, f22, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rd==f20,fs1 == 0 and fe1 == 0x00 and fm1 == 0x03ffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f19; dest:f20; op1val:0x3ffff; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f20, f19, dyn, 0, 0, x3, 11*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f20, rd==f19,fs1 == 0 and fe1 == 0x00 and fm1 == 0x7e0000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f20; dest:f19; op1val:0x7e0000; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f19, f20, dyn, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f17, rd==f18,fs1 == 0 and fe1 == 0x00 and fm1 == 0x01ffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f17; dest:f18; op1val:0x1ffff; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f18, f17, dyn, 0, 0, x3, 13*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f18, rd==f17,fs1 == 0 and fe1 == 0x00 and fm1 == 0x7f0000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f18; dest:f17; op1val:0x7f0000; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f17, f18, dyn, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rd==f16,fs1 == 0 and fe1 == 0x00 and fm1 == 0x00ffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f15; dest:f16; op1val:0xffff; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f16, f15, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f16, rd==f15,fs1 == 0 and fe1 == 0x00 and fm1 == 0x7f8000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f16; dest:f15; op1val:0x7f8000; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f15, f16, dyn, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rd==f14,fs1 == 0 and fe1 == 0x00 and fm1 == 0x007fff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f13; dest:f14; op1val:0x7fff; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f14, f13, dyn, 0, 0, x3, 17*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f14, rd==f13,fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fc000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f14; dest:f13; op1val:0x7fc000; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f13, f14, dyn, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f11, rd==f12,fs1 == 0 and fe1 == 0x00 and fm1 == 0x003fff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f11; dest:f12; op1val:0x3fff; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f12, f11, dyn, 0, 0, x3, 19*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f12, rd==f11,fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fe000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f12; dest:f11; op1val:0x7fe000; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f11, f12, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rd==f10,fs1 == 0 and fe1 == 0x00 and fm1 == 0x001fff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f9; dest:f10; op1val:0x1fff; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f10, f9, dyn, 0, 0, x3, 21*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f10, rd==f9,fs1 == 0 and fe1 == 0x00 and fm1 == 0x7ff000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f10; dest:f9; op1val:0x7ff000; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f9, f10, dyn, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rd==f8,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000fff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f7; dest:f8; op1val:0xfff; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f8, f7, dyn, 0, 0, x3, 23*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f8, rd==f7,fs1 == 0 and fe1 == 0x00 and fm1 == 0x7ff800 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f8; dest:f7; op1val:0x7ff800; valaddr_reg:x3; +val_offset:24*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f7, f8, dyn, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f5, rd==f6,fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007ff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f5; dest:f6; op1val:0x7ff; valaddr_reg:x3; +val_offset:25*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f6, f5, dyn, 0, 0, x3, 25*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f6, rd==f5,fs1 == 0 and fe1 == 0x00 and fm1 == 0x7ffc00 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f6; dest:f5; op1val:0x7ffc00; valaddr_reg:x3; +val_offset:26*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f5, f6, dyn, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rd==f4,fs1 == 0 and fe1 == 0x00 and fm1 == 0x0003ff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f3; dest:f4; op1val:0x3ff; valaddr_reg:x3; +val_offset:27*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f4, f3, dyn, 0, 0, x3, 27*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f4, rd==f3,fs1 == 0 and fe1 == 0x00 and fm1 == 0x7ffe00 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f4; dest:f3; op1val:0x7ffe00; valaddr_reg:x3; +val_offset:28*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f3, f4, dyn, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rd==f2,fs1 == 0 and fe1 == 0x00 and fm1 == 0x0001ff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f1; dest:f2; op1val:0x1ff; valaddr_reg:x3; +val_offset:29*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f2, f1, dyn, 0, 0, x3, 29*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f2, rd==f1,fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fff00 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f2; dest:f1; op1val:0x7fff00; valaddr_reg:x3; +val_offset:30*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f1, f2, dyn, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f0,fs1 == 0 and fe1 == 0x00 and fm1 == 0x0000ff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f0; dest:f31; op1val:0xff; valaddr_reg:x3; +val_offset:31*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f0, dyn, 0, 0, x3, 31*FLEN/8, x4, x1, x2) + +inst_32: +// rd==f0,fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fff80 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f31; dest:f0; op1val:0x7fff80; valaddr_reg:x3; +val_offset:32*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f0, f31, dyn, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_33: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00007f and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f; valaddr_reg:x3; +val_offset:33*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 33*FLEN/8, x4, x1, x2) + +inst_34: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffc0 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7fffc0; valaddr_reg:x3; +val_offset:34*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_35: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00003f and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f; valaddr_reg:x3; +val_offset:35*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 35*FLEN/8, x4, x1, x2) + +inst_36: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffe0 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7fffe0; valaddr_reg:x3; +val_offset:36*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_37: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00001f and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x1f; valaddr_reg:x3; +val_offset:37*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 37*FLEN/8, x4, x1, x2) + +inst_38: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7ffff0 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7ffff0; valaddr_reg:x3; +val_offset:38*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_39: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00000f and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xf; valaddr_reg:x3; +val_offset:39*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 39*FLEN/8, x4, x1, x2) + +inst_40: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7ffff8 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7ffff8; valaddr_reg:x3; +val_offset:40*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_41: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000007 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7; valaddr_reg:x3; +val_offset:41*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 41*FLEN/8, x4, x1, x2) + +inst_42: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7ffffc and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7ffffc; valaddr_reg:x3; +val_offset:42*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_43: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000003 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3; valaddr_reg:x3; +val_offset:43*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 43*FLEN/8, x4, x1, x2) + +inst_44: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7ffffe and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7ffffe; valaddr_reg:x3; +val_offset:44*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_45: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x1; valaddr_reg:x3; +val_offset:45*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 45*FLEN/8, x4, x1, x2) + +inst_46: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f800000; valaddr_reg:x3; +val_offset:46*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_47: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3fffffff; valaddr_reg:x3; +val_offset:47*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 47*FLEN/8, x4, x1, x2) + +inst_48: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x400000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3fc00000; valaddr_reg:x3; +val_offset:48*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_49: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x3fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3fbfffff; valaddr_reg:x3; +val_offset:49*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 49*FLEN/8, x4, x1, x2) + +inst_50: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x600000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3fe00000; valaddr_reg:x3; +val_offset:50*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_51: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x1fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f9fffff; valaddr_reg:x3; +val_offset:51*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 51*FLEN/8, x4, x1, x2) + +inst_52: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x700000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3ff00000; valaddr_reg:x3; +val_offset:52*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_53: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x0fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f8fffff; valaddr_reg:x3; +val_offset:53*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 53*FLEN/8, x4, x1, x2) + +inst_54: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x780000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3ff80000; valaddr_reg:x3; +val_offset:54*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_55: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x07ffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f87ffff; valaddr_reg:x3; +val_offset:55*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 55*FLEN/8, x4, x1, x2) + +inst_56: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x7c0000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3ffc0000; valaddr_reg:x3; +val_offset:56*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_57: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x03ffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f83ffff; valaddr_reg:x3; +val_offset:57*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 57*FLEN/8, x4, x1, x2) + +inst_58: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x7e0000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3ffe0000; valaddr_reg:x3; +val_offset:58*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_59: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x01ffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f81ffff; valaddr_reg:x3; +val_offset:59*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 59*FLEN/8, x4, x1, x2) + +inst_60: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x7f0000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3fff0000; valaddr_reg:x3; +val_offset:60*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_61: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x00ffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f80ffff; valaddr_reg:x3; +val_offset:61*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 61*FLEN/8, x4, x1, x2) + +inst_62: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x7f8000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3fff8000; valaddr_reg:x3; +val_offset:62*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 62*FLEN/8, x4, x1, x2) + +inst_63: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x007fff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f807fff; valaddr_reg:x3; +val_offset:63*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 63*FLEN/8, x4, x1, x2) + +inst_64: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x7fc000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3fffc000; valaddr_reg:x3; +val_offset:64*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 64*FLEN/8, x4, x1, x2) + +inst_65: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x003fff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f803fff; valaddr_reg:x3; +val_offset:65*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 65*FLEN/8, x4, x1, x2) + +inst_66: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x7fe000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3fffe000; valaddr_reg:x3; +val_offset:66*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 66*FLEN/8, x4, x1, x2) + +inst_67: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x001fff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f801fff; valaddr_reg:x3; +val_offset:67*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 67*FLEN/8, x4, x1, x2) + +inst_68: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x7ff000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3ffff000; valaddr_reg:x3; +val_offset:68*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 68*FLEN/8, x4, x1, x2) + +inst_69: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000fff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f800fff; valaddr_reg:x3; +val_offset:69*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 69*FLEN/8, x4, x1, x2) + +inst_70: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x7ff800 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3ffff800; valaddr_reg:x3; +val_offset:70*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 70*FLEN/8, x4, x1, x2) + +inst_71: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x0007ff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f8007ff; valaddr_reg:x3; +val_offset:71*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 71*FLEN/8, x4, x1, x2) + +inst_72: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x7ffc00 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3ffffc00; valaddr_reg:x3; +val_offset:72*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 72*FLEN/8, x4, x1, x2) + +inst_73: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x0003ff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f8003ff; valaddr_reg:x3; +val_offset:73*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 73*FLEN/8, x4, x1, x2) + +inst_74: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x7ffe00 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3ffffe00; valaddr_reg:x3; +val_offset:74*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 74*FLEN/8, x4, x1, x2) + +inst_75: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x0001ff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f8001ff; valaddr_reg:x3; +val_offset:75*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 75*FLEN/8, x4, x1, x2) + +inst_76: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x7fff00 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3fffff00; valaddr_reg:x3; +val_offset:76*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 76*FLEN/8, x4, x1, x2) + +inst_77: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x0000ff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f8000ff; valaddr_reg:x3; +val_offset:77*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 77*FLEN/8, x4, x1, x2) + +inst_78: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x7fff80 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3fffff80; valaddr_reg:x3; +val_offset:78*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 78*FLEN/8, x4, x1, x2) + +inst_79: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x00007f and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f80007f; valaddr_reg:x3; +val_offset:79*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 79*FLEN/8, x4, x1, x2) + +inst_80: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x7fffc0 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3fffffc0; valaddr_reg:x3; +val_offset:80*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 80*FLEN/8, x4, x1, x2) + +inst_81: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x00003f and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f80003f; valaddr_reg:x3; +val_offset:81*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 81*FLEN/8, x4, x1, x2) + +inst_82: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x7fffe0 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3fffffe0; valaddr_reg:x3; +val_offset:82*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 82*FLEN/8, x4, x1, x2) + +inst_83: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x00001f and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f80001f; valaddr_reg:x3; +val_offset:83*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 83*FLEN/8, x4, x1, x2) + +inst_84: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x7ffff0 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3ffffff0; valaddr_reg:x3; +val_offset:84*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 84*FLEN/8, x4, x1, x2) + +inst_85: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x00000f and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f80000f; valaddr_reg:x3; +val_offset:85*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 85*FLEN/8, x4, x1, x2) + +inst_86: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x7ffff8 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3ffffff8; valaddr_reg:x3; +val_offset:86*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 86*FLEN/8, x4, x1, x2) + +inst_87: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000007 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f800007; valaddr_reg:x3; +val_offset:87*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 87*FLEN/8, x4, x1, x2) + +inst_88: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x7ffffc and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3ffffffc; valaddr_reg:x3; +val_offset:88*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 88*FLEN/8, x4, x1, x2) + +inst_89: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000003 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f800003; valaddr_reg:x3; +val_offset:89*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 89*FLEN/8, x4, x1, x2) + +inst_90: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x7ffffe and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3ffffffe; valaddr_reg:x3; +val_offset:90*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 90*FLEN/8, x4, x1, x2) + +inst_91: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x3f800001; valaddr_reg:x3; +val_offset:91*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 91*FLEN/8, x4, x1, x2) + +inst_92: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x800000; valaddr_reg:x3; +val_offset:92*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 92*FLEN/8, x4, x1, x2) + +inst_93: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xffffff; valaddr_reg:x3; +val_offset:93*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 93*FLEN/8, x4, x1, x2) + +inst_94: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x400000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xc00000; valaddr_reg:x3; +val_offset:94*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 94*FLEN/8, x4, x1, x2) + +inst_95: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x3fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xbfffff; valaddr_reg:x3; +val_offset:95*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 95*FLEN/8, x4, x1, x2) + +inst_96: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x600000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xe00000; valaddr_reg:x3; +val_offset:96*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 96*FLEN/8, x4, x1, x2) + +inst_97: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x1fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x9fffff; valaddr_reg:x3; +val_offset:97*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 97*FLEN/8, x4, x1, x2) + +inst_98: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x700000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xf00000; valaddr_reg:x3; +val_offset:98*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 98*FLEN/8, x4, x1, x2) + +inst_99: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x0fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x8fffff; valaddr_reg:x3; +val_offset:99*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 99*FLEN/8, x4, x1, x2) + +inst_100: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x780000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xf80000; valaddr_reg:x3; +val_offset:100*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 100*FLEN/8, x4, x1, x2) + +inst_101: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x07ffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x87ffff; valaddr_reg:x3; +val_offset:101*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 101*FLEN/8, x4, x1, x2) + +inst_102: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x7c0000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xfc0000; valaddr_reg:x3; +val_offset:102*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 102*FLEN/8, x4, x1, x2) + +inst_103: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x03ffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x83ffff; valaddr_reg:x3; +val_offset:103*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 103*FLEN/8, x4, x1, x2) + +inst_104: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x7e0000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xfe0000; valaddr_reg:x3; +val_offset:104*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 104*FLEN/8, x4, x1, x2) + +inst_105: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x01ffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x81ffff; valaddr_reg:x3; +val_offset:105*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 105*FLEN/8, x4, x1, x2) + +inst_106: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x7f0000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xff0000; valaddr_reg:x3; +val_offset:106*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 106*FLEN/8, x4, x1, x2) + +inst_107: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x00ffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x80ffff; valaddr_reg:x3; +val_offset:107*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 107*FLEN/8, x4, x1, x2) + +inst_108: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x7f8000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xff8000; valaddr_reg:x3; +val_offset:108*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 108*FLEN/8, x4, x1, x2) + +inst_109: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x007fff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x807fff; valaddr_reg:x3; +val_offset:109*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 109*FLEN/8, x4, x1, x2) + +inst_110: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x7fc000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xffc000; valaddr_reg:x3; +val_offset:110*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 110*FLEN/8, x4, x1, x2) + +inst_111: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x003fff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x803fff; valaddr_reg:x3; +val_offset:111*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 111*FLEN/8, x4, x1, x2) + +inst_112: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x7fe000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xffe000; valaddr_reg:x3; +val_offset:112*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 112*FLEN/8, x4, x1, x2) + +inst_113: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x001fff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x801fff; valaddr_reg:x3; +val_offset:113*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 113*FLEN/8, x4, x1, x2) + +inst_114: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x7ff000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xfff000; valaddr_reg:x3; +val_offset:114*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 114*FLEN/8, x4, x1, x2) + +inst_115: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000fff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x800fff; valaddr_reg:x3; +val_offset:115*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 115*FLEN/8, x4, x1, x2) + +inst_116: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x7ff800 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xfff800; valaddr_reg:x3; +val_offset:116*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 116*FLEN/8, x4, x1, x2) + +inst_117: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x0007ff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x8007ff; valaddr_reg:x3; +val_offset:117*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 117*FLEN/8, x4, x1, x2) + +inst_118: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x7ffc00 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xfffc00; valaddr_reg:x3; +val_offset:118*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 118*FLEN/8, x4, x1, x2) + +inst_119: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x0003ff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x8003ff; valaddr_reg:x3; +val_offset:119*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 119*FLEN/8, x4, x1, x2) + +inst_120: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x7ffe00 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xfffe00; valaddr_reg:x3; +val_offset:120*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 120*FLEN/8, x4, x1, x2) + +inst_121: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x0001ff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x8001ff; valaddr_reg:x3; +val_offset:121*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 121*FLEN/8, x4, x1, x2) + +inst_122: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x7fff00 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xffff00; valaddr_reg:x3; +val_offset:122*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 122*FLEN/8, x4, x1, x2) + +inst_123: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x0000ff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x8000ff; valaddr_reg:x3; +val_offset:123*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 123*FLEN/8, x4, x1, x2) + +inst_124: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x7fff80 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xffff80; valaddr_reg:x3; +val_offset:124*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 124*FLEN/8, x4, x1, x2) + +inst_125: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x00007f and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x80007f; valaddr_reg:x3; +val_offset:125*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 125*FLEN/8, x4, x1, x2) + +inst_126: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x7fffc0 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xffffc0; valaddr_reg:x3; +val_offset:126*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 126*FLEN/8, x4, x1, x2) + +inst_127: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x00003f and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x80003f; valaddr_reg:x3; +val_offset:127*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 127*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_2) + +inst_128: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x7fffe0 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xffffe0; valaddr_reg:x3; +val_offset:128*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 128*FLEN/8, x4, x1, x2) + +inst_129: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x00001f and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x80001f; valaddr_reg:x3; +val_offset:129*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 129*FLEN/8, x4, x1, x2) + +inst_130: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x7ffff0 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xfffff0; valaddr_reg:x3; +val_offset:130*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 130*FLEN/8, x4, x1, x2) + +inst_131: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x00000f and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x80000f; valaddr_reg:x3; +val_offset:131*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 131*FLEN/8, x4, x1, x2) + +inst_132: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x7ffff8 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xfffff8; valaddr_reg:x3; +val_offset:132*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 132*FLEN/8, x4, x1, x2) + +inst_133: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000007 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x800007; valaddr_reg:x3; +val_offset:133*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 133*FLEN/8, x4, x1, x2) + +inst_134: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x7ffffc and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xfffffc; valaddr_reg:x3; +val_offset:134*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 134*FLEN/8, x4, x1, x2) + +inst_135: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000003 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x800003; valaddr_reg:x3; +val_offset:135*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 135*FLEN/8, x4, x1, x2) + +inst_136: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x7ffffe and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0xfffffe; valaddr_reg:x3; +val_offset:136*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 136*FLEN/8, x4, x1, x2) + +inst_137: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x800001; valaddr_reg:x3; +val_offset:137*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 137*FLEN/8, x4, x1, x2) + +inst_138: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f7fffff; valaddr_reg:x3; +val_offset:138*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 138*FLEN/8, x4, x1, x2) + +inst_139: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f000000; valaddr_reg:x3; +val_offset:139*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 139*FLEN/8, x4, x1, x2) + +inst_140: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f3fffff; valaddr_reg:x3; +val_offset:140*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 140*FLEN/8, x4, x1, x2) + +inst_141: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x400000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f400000; valaddr_reg:x3; +val_offset:141*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 141*FLEN/8, x4, x1, x2) + +inst_142: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x1fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f1fffff; valaddr_reg:x3; +val_offset:142*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 142*FLEN/8, x4, x1, x2) + +inst_143: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x600000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f600000; valaddr_reg:x3; +val_offset:143*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 143*FLEN/8, x4, x1, x2) + +inst_144: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x0fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f0fffff; valaddr_reg:x3; +val_offset:144*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 144*FLEN/8, x4, x1, x2) + +inst_145: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x700000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f700000; valaddr_reg:x3; +val_offset:145*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 145*FLEN/8, x4, x1, x2) + +inst_146: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x07ffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f07ffff; valaddr_reg:x3; +val_offset:146*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 146*FLEN/8, x4, x1, x2) + +inst_147: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x780000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f780000; valaddr_reg:x3; +val_offset:147*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 147*FLEN/8, x4, x1, x2) + +inst_148: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x03ffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f03ffff; valaddr_reg:x3; +val_offset:148*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 148*FLEN/8, x4, x1, x2) + +inst_149: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7c0000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f7c0000; valaddr_reg:x3; +val_offset:149*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 149*FLEN/8, x4, x1, x2) + +inst_150: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x01ffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f01ffff; valaddr_reg:x3; +val_offset:150*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 150*FLEN/8, x4, x1, x2) + +inst_151: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7e0000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f7e0000; valaddr_reg:x3; +val_offset:151*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 151*FLEN/8, x4, x1, x2) + +inst_152: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x00ffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f00ffff; valaddr_reg:x3; +val_offset:152*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 152*FLEN/8, x4, x1, x2) + +inst_153: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7f0000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f7f0000; valaddr_reg:x3; +val_offset:153*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 153*FLEN/8, x4, x1, x2) + +inst_154: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x007fff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f007fff; valaddr_reg:x3; +val_offset:154*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 154*FLEN/8, x4, x1, x2) + +inst_155: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7f8000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f7f8000; valaddr_reg:x3; +val_offset:155*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 155*FLEN/8, x4, x1, x2) + +inst_156: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x003fff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f003fff; valaddr_reg:x3; +val_offset:156*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 156*FLEN/8, x4, x1, x2) + +inst_157: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fc000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f7fc000; valaddr_reg:x3; +val_offset:157*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 157*FLEN/8, x4, x1, x2) + +inst_158: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x001fff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f001fff; valaddr_reg:x3; +val_offset:158*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 158*FLEN/8, x4, x1, x2) + +inst_159: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fe000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f7fe000; valaddr_reg:x3; +val_offset:159*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 159*FLEN/8, x4, x1, x2) + +inst_160: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x000fff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f000fff; valaddr_reg:x3; +val_offset:160*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 160*FLEN/8, x4, x1, x2) + +inst_161: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7ff000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f7ff000; valaddr_reg:x3; +val_offset:161*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 161*FLEN/8, x4, x1, x2) + +inst_162: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x0007ff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f0007ff; valaddr_reg:x3; +val_offset:162*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 162*FLEN/8, x4, x1, x2) + +inst_163: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7ff800 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f7ff800; valaddr_reg:x3; +val_offset:163*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 163*FLEN/8, x4, x1, x2) + +inst_164: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x0003ff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f0003ff; valaddr_reg:x3; +val_offset:164*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 164*FLEN/8, x4, x1, x2) + +inst_165: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7ffc00 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f7ffc00; valaddr_reg:x3; +val_offset:165*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 165*FLEN/8, x4, x1, x2) + +inst_166: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x0001ff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f0001ff; valaddr_reg:x3; +val_offset:166*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 166*FLEN/8, x4, x1, x2) + +inst_167: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7ffe00 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f7ffe00; valaddr_reg:x3; +val_offset:167*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 167*FLEN/8, x4, x1, x2) + +inst_168: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x0000ff and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f0000ff; valaddr_reg:x3; +val_offset:168*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 168*FLEN/8, x4, x1, x2) + +inst_169: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fff00 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f7fff00; valaddr_reg:x3; +val_offset:169*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 169*FLEN/8, x4, x1, x2) + +inst_170: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x00007f and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f00007f; valaddr_reg:x3; +val_offset:170*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 170*FLEN/8, x4, x1, x2) + +inst_171: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fff80 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f7fff80; valaddr_reg:x3; +val_offset:171*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 171*FLEN/8, x4, x1, x2) + +inst_172: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x00003f and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f00003f; valaddr_reg:x3; +val_offset:172*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 172*FLEN/8, x4, x1, x2) + +inst_173: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffc0 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f7fffc0; valaddr_reg:x3; +val_offset:173*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 173*FLEN/8, x4, x1, x2) + +inst_174: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x00001f and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f00001f; valaddr_reg:x3; +val_offset:174*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 174*FLEN/8, x4, x1, x2) + +inst_175: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffe0 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f7fffe0; valaddr_reg:x3; +val_offset:175*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 175*FLEN/8, x4, x1, x2) + +inst_176: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x00000f and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f00000f; valaddr_reg:x3; +val_offset:176*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 176*FLEN/8, x4, x1, x2) + +inst_177: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7ffff0 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f7ffff0; valaddr_reg:x3; +val_offset:177*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 177*FLEN/8, x4, x1, x2) + +inst_178: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x000007 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f000007; valaddr_reg:x3; +val_offset:178*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 178*FLEN/8, x4, x1, x2) + +inst_179: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7ffff8 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f7ffff8; valaddr_reg:x3; +val_offset:179*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 179*FLEN/8, x4, x1, x2) + +inst_180: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x000003 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f000003; valaddr_reg:x3; +val_offset:180*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 180*FLEN/8, x4, x1, x2) + +inst_181: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7ffffc and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f7ffffc; valaddr_reg:x3; +val_offset:181*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 181*FLEN/8, x4, x1, x2) + +inst_182: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f000001; valaddr_reg:x3; +val_offset:182*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 182*FLEN/8, x4, x1, x2) + +inst_183: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7ffffe and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f7ffffe; valaddr_reg:x3; +val_offset:183*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 183*FLEN/8, x4, x1, x2) + +inst_184: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x36db6d and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f36db6d; valaddr_reg:x3; +val_offset:184*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 184*FLEN/8, x4, x1, x2) + +inst_185: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x6db6db and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f6db6db; valaddr_reg:x3; +val_offset:185*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 185*FLEN/8, x4, x1, x2) + +inst_186: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x199999 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f199999; valaddr_reg:x3; +val_offset:186*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 186*FLEN/8, x4, x1, x2) + +inst_187: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x666666 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f666666; valaddr_reg:x3; +val_offset:187*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 187*FLEN/8, x4, x1, x2) + +inst_188: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3bbbbb and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f3bbbbb; valaddr_reg:x3; +val_offset:188*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 188*FLEN/8, x4, x1, x2) + +inst_189: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x444444 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f444444; valaddr_reg:x3; +val_offset:189*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 189*FLEN/8, x4, x1, x2) + +inst_190: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x249249 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f249249; valaddr_reg:x3; +val_offset:190*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 190*FLEN/8, x4, x1, x2) + +inst_191: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x5b6db6 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f5b6db6; valaddr_reg:x3; +val_offset:191*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 191*FLEN/8, x4, x1, x2) + +inst_192: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x333333 and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f333333; valaddr_reg:x3; +val_offset:192*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 192*FLEN/8, x4, x1, x2) + +inst_193: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x4ccccc and fcsr == 0x0 and rm_val == 7 +/* opcode: fsqrt.s ; op1:f30; dest:f31; op1val:0x7f4ccccc; valaddr_reg:x3; +val_offset:193*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fsqrt.s, f31, f30, dyn, 0, 0, x3, 193*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4194304,32,FLEN) +NAN_BOXED(4194303,32,FLEN) +NAN_BOXED(6291456,32,FLEN) +NAN_BOXED(2097151,32,FLEN) +NAN_BOXED(7340032,32,FLEN) +NAN_BOXED(1048575,32,FLEN) +NAN_BOXED(7864320,32,FLEN) +NAN_BOXED(524287,32,FLEN) +NAN_BOXED(8126464,32,FLEN) +NAN_BOXED(262143,32,FLEN) +NAN_BOXED(8257536,32,FLEN) +NAN_BOXED(131071,32,FLEN) +NAN_BOXED(8323072,32,FLEN) +NAN_BOXED(65535,32,FLEN) +NAN_BOXED(8355840,32,FLEN) +NAN_BOXED(32767,32,FLEN) +NAN_BOXED(8372224,32,FLEN) +NAN_BOXED(16383,32,FLEN) +NAN_BOXED(8380416,32,FLEN) +NAN_BOXED(8191,32,FLEN) +NAN_BOXED(8384512,32,FLEN) +NAN_BOXED(4095,32,FLEN) +NAN_BOXED(8386560,32,FLEN) +NAN_BOXED(2047,32,FLEN) +NAN_BOXED(8387584,32,FLEN) +NAN_BOXED(1023,32,FLEN) +NAN_BOXED(8388096,32,FLEN) +NAN_BOXED(511,32,FLEN) +NAN_BOXED(8388352,32,FLEN) +NAN_BOXED(255,32,FLEN) +NAN_BOXED(8388480,32,FLEN) +NAN_BOXED(127,32,FLEN) +NAN_BOXED(8388544,32,FLEN) +NAN_BOXED(63,32,FLEN) +NAN_BOXED(8388576,32,FLEN) +NAN_BOXED(31,32,FLEN) +NAN_BOXED(8388592,32,FLEN) +NAN_BOXED(15,32,FLEN) +NAN_BOXED(8388600,32,FLEN) +NAN_BOXED(7,32,FLEN) +NAN_BOXED(8388604,32,FLEN) +NAN_BOXED(3,32,FLEN) +NAN_BOXED(8388606,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1073741823,32,FLEN) +NAN_BOXED(1069547520,32,FLEN) +NAN_BOXED(1069547519,32,FLEN) +NAN_BOXED(1071644672,32,FLEN) +NAN_BOXED(1067450367,32,FLEN) +NAN_BOXED(1072693248,32,FLEN) +NAN_BOXED(1066401791,32,FLEN) +NAN_BOXED(1073217536,32,FLEN) +NAN_BOXED(1065877503,32,FLEN) +NAN_BOXED(1073479680,32,FLEN) +NAN_BOXED(1065615359,32,FLEN) +NAN_BOXED(1073610752,32,FLEN) +NAN_BOXED(1065484287,32,FLEN) +NAN_BOXED(1073676288,32,FLEN) +NAN_BOXED(1065418751,32,FLEN) +NAN_BOXED(1073709056,32,FLEN) +NAN_BOXED(1065385983,32,FLEN) +NAN_BOXED(1073725440,32,FLEN) +NAN_BOXED(1065369599,32,FLEN) +NAN_BOXED(1073733632,32,FLEN) +NAN_BOXED(1065361407,32,FLEN) +NAN_BOXED(1073737728,32,FLEN) +NAN_BOXED(1065357311,32,FLEN) +NAN_BOXED(1073739776,32,FLEN) +NAN_BOXED(1065355263,32,FLEN) +NAN_BOXED(1073740800,32,FLEN) +NAN_BOXED(1065354239,32,FLEN) +NAN_BOXED(1073741312,32,FLEN) +NAN_BOXED(1065353727,32,FLEN) +NAN_BOXED(1073741568,32,FLEN) +NAN_BOXED(1065353471,32,FLEN) +NAN_BOXED(1073741696,32,FLEN) +NAN_BOXED(1065353343,32,FLEN) +NAN_BOXED(1073741760,32,FLEN) +NAN_BOXED(1065353279,32,FLEN) +NAN_BOXED(1073741792,32,FLEN) +NAN_BOXED(1065353247,32,FLEN) +NAN_BOXED(1073741808,32,FLEN) +NAN_BOXED(1065353231,32,FLEN) +NAN_BOXED(1073741816,32,FLEN) +NAN_BOXED(1065353223,32,FLEN) +NAN_BOXED(1073741820,32,FLEN) +NAN_BOXED(1065353219,32,FLEN) +NAN_BOXED(1073741822,32,FLEN) +NAN_BOXED(1065353217,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(16777215,32,FLEN) +NAN_BOXED(12582912,32,FLEN) +NAN_BOXED(12582911,32,FLEN) +NAN_BOXED(14680064,32,FLEN) +NAN_BOXED(10485759,32,FLEN) +NAN_BOXED(15728640,32,FLEN) +NAN_BOXED(9437183,32,FLEN) +NAN_BOXED(16252928,32,FLEN) +NAN_BOXED(8912895,32,FLEN) +NAN_BOXED(16515072,32,FLEN) +NAN_BOXED(8650751,32,FLEN) +NAN_BOXED(16646144,32,FLEN) +NAN_BOXED(8519679,32,FLEN) +NAN_BOXED(16711680,32,FLEN) +NAN_BOXED(8454143,32,FLEN) +NAN_BOXED(16744448,32,FLEN) +NAN_BOXED(8421375,32,FLEN) +NAN_BOXED(16760832,32,FLEN) +NAN_BOXED(8404991,32,FLEN) +NAN_BOXED(16769024,32,FLEN) +NAN_BOXED(8396799,32,FLEN) +NAN_BOXED(16773120,32,FLEN) +NAN_BOXED(8392703,32,FLEN) +NAN_BOXED(16775168,32,FLEN) +NAN_BOXED(8390655,32,FLEN) +NAN_BOXED(16776192,32,FLEN) +NAN_BOXED(8389631,32,FLEN) +NAN_BOXED(16776704,32,FLEN) +NAN_BOXED(8389119,32,FLEN) +NAN_BOXED(16776960,32,FLEN) +NAN_BOXED(8388863,32,FLEN) +NAN_BOXED(16777088,32,FLEN) +NAN_BOXED(8388735,32,FLEN) +NAN_BOXED(16777152,32,FLEN) +NAN_BOXED(8388671,32,FLEN) +NAN_BOXED(16777184,32,FLEN) +NAN_BOXED(8388639,32,FLEN) +NAN_BOXED(16777200,32,FLEN) +NAN_BOXED(8388623,32,FLEN) +NAN_BOXED(16777208,32,FLEN) +NAN_BOXED(8388615,32,FLEN) +NAN_BOXED(16777212,32,FLEN) +NAN_BOXED(8388611,32,FLEN) +NAN_BOXED(16777214,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2130706432,32,FLEN) +NAN_BOXED(2134900735,32,FLEN) +NAN_BOXED(2134900736,32,FLEN) +NAN_BOXED(2132803583,32,FLEN) +NAN_BOXED(2136997888,32,FLEN) +NAN_BOXED(2131755007,32,FLEN) +NAN_BOXED(2138046464,32,FLEN) +NAN_BOXED(2131230719,32,FLEN) +NAN_BOXED(2138570752,32,FLEN) +NAN_BOXED(2130968575,32,FLEN) +NAN_BOXED(2138832896,32,FLEN) +NAN_BOXED(2130837503,32,FLEN) +NAN_BOXED(2138963968,32,FLEN) +NAN_BOXED(2130771967,32,FLEN) +NAN_BOXED(2139029504,32,FLEN) +NAN_BOXED(2130739199,32,FLEN) +NAN_BOXED(2139062272,32,FLEN) +NAN_BOXED(2130722815,32,FLEN) +NAN_BOXED(2139078656,32,FLEN) +NAN_BOXED(2130714623,32,FLEN) +NAN_BOXED(2139086848,32,FLEN) +NAN_BOXED(2130710527,32,FLEN) +NAN_BOXED(2139090944,32,FLEN) +NAN_BOXED(2130708479,32,FLEN) +NAN_BOXED(2139092992,32,FLEN) +NAN_BOXED(2130707455,32,FLEN) +NAN_BOXED(2139094016,32,FLEN) +NAN_BOXED(2130706943,32,FLEN) +NAN_BOXED(2139094528,32,FLEN) +NAN_BOXED(2130706687,32,FLEN) +NAN_BOXED(2139094784,32,FLEN) +NAN_BOXED(2130706559,32,FLEN) +NAN_BOXED(2139094912,32,FLEN) +NAN_BOXED(2130706495,32,FLEN) +NAN_BOXED(2139094976,32,FLEN) +NAN_BOXED(2130706463,32,FLEN) +NAN_BOXED(2139095008,32,FLEN) +NAN_BOXED(2130706447,32,FLEN) +NAN_BOXED(2139095024,32,FLEN) +NAN_BOXED(2130706439,32,FLEN) +NAN_BOXED(2139095032,32,FLEN) +NAN_BOXED(2130706435,32,FLEN) +NAN_BOXED(2139095036,32,FLEN) +NAN_BOXED(2130706433,32,FLEN) +NAN_BOXED(2139095038,32,FLEN) +NAN_BOXED(2134301549,32,FLEN) +NAN_BOXED(2137896667,32,FLEN) +NAN_BOXED(2132384153,32,FLEN) +NAN_BOXED(2137417318,32,FLEN) +NAN_BOXED(2134621115,32,FLEN) +NAN_BOXED(2135180356,32,FLEN) +NAN_BOXED(2133103177,32,FLEN) +NAN_BOXED(2136698294,32,FLEN) +NAN_BOXED(2134061875,32,FLEN) +NAN_BOXED(2135739596,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_2: + .fill 132*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b1-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b1-01.S new file mode 100644 index 000000000..79df978b8 --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b1-01.S @@ -0,0 +1,320 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:52 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fcvtmod.w.d.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fcvtmod.w.d instruction of the RISC-V RV64FD_Zicsr_Zfa extension for the fcvtmod.w.d_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fcvtmod.w.d_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1==f31, rd==x31,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x0; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2,FLREG) + +inst_1:// rs1==f30, rd==x30,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f30; dest:x30; op1val:0x8000000000000000; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x30, f30, dyn, 0, 0, x3, 1*FLEN/8, x4, x1, x2,FLREG) + +inst_2:// rs1==f29, rd==x29,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f29; dest:x29; op1val:0x1; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x29, f29, dyn, 0, 0, x3, 2*FLEN/8, x4, x1, x2,FLREG) + +inst_3:// rs1==f28, rd==x28,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f28; dest:x28; op1val:0x8000000000000001; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x28, f28, dyn, 0, 0, x3, 3*FLEN/8, x4, x1, x2,FLREG) + +inst_4:// rs1==f27, rd==x27,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f27; dest:x27; op1val:0x2; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x27, f27, dyn, 0, 0, x3, 4*FLEN/8, x4, x1, x2,FLREG) + +inst_5:// rs1==f26, rd==x26,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f26; dest:x26; op1val:0x8000000000000002; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x26, f26, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2,FLREG) + +inst_6:// rs1==f25, rd==x25,fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f25; dest:x25; op1val:0xfffffffffffff; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x25, f25, dyn, 0, 0, x3, 6*FLEN/8, x4, x1, x2,FLREG) + +inst_7:// rs1==f24, rd==x24,fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f24; dest:x24; op1val:0x800fffffffffffff; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x24, f24, dyn, 0, 0, x3, 7*FLEN/8, x4, x1, x2,FLREG) + +inst_8:// rs1==f23, rd==x23,fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f23; dest:x23; op1val:0x10000000000000; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x23, f23, dyn, 0, 0, x3, 8*FLEN/8, x4, x1, x2,FLREG) + +inst_9:// rs1==f22, rd==x22,fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f22; dest:x22; op1val:0x8010000000000000; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x22, f22, dyn, 0, 0, x3, 9*FLEN/8, x4, x1, x2,FLREG) + +inst_10:// rs1==f21, rd==x21,fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f21; dest:x21; op1val:0x10000000000002; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x21, f21, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2,FLREG) + +inst_11:// rs1==f20, rd==x20,fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f20; dest:x20; op1val:0x8010000000000002; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x20, f20, dyn, 0, 0, x3, 11*FLEN/8, x4, x1, x2,FLREG) + +inst_12:// rs1==f19, rd==x19,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f19; dest:x19; op1val:0x7fefffffffffffff; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x19, f19, dyn, 0, 0, x3, 12*FLEN/8, x4, x1, x2,FLREG) + +inst_13:// rs1==f18, rd==x18,fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f18; dest:x18; op1val:0xffefffffffffffff; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x18, f18, dyn, 0, 0, x3, 13*FLEN/8, x4, x1, x2,FLREG) + +inst_14:// rs1==f17, rd==x17,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f17; dest:x17; op1val:0x7ff0000000000000; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x17, f17, dyn, 0, 0, x3, 14*FLEN/8, x4, x1, x2,FLREG) + +inst_15:// rs1==f16, rd==x16,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f16; dest:x16; op1val:0xfff0000000000000; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x16, f16, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2,FLREG) + +inst_16:// rs1==f15, rd==x15,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f15; dest:x15; op1val:0x7ff8000000000000; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x15, f15, dyn, 0, 0, x3, 16*FLEN/8, x4, x1, x2,FLREG) + +inst_17:// rs1==f14, rd==x14,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f14; dest:x14; op1val:0xfff8000000000000; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x14, f14, dyn, 0, 0, x3, 17*FLEN/8, x4, x1, x2,FLREG) + +inst_18:// rs1==f13, rd==x13,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f13; dest:x13; op1val:0x7ff8000000000001; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x13, f13, dyn, 0, 0, x3, 18*FLEN/8, x4, x1, x2,FLREG) + +inst_19:// rs1==f12, rd==x12,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f12; dest:x12; op1val:0xfff8000000000001; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x12, f12, dyn, 0, 0, x3, 19*FLEN/8, x4, x1, x2,FLREG) + +inst_20:// rs1==f11, rd==x11,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f11; dest:x11; op1val:0x7ff0000000000001; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x11, f11, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2,FLREG) + +inst_21:// rs1==f10, rd==x10,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f10; dest:x10; op1val:0xfff0000000000001; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x10, f10, dyn, 0, 0, x3, 21*FLEN/8, x4, x1, x2,FLREG) + +inst_22:// rs1==f9, rd==x9,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f9; dest:x9; op1val:0x3ff0000000000000; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x9, f9, dyn, 0, 0, x3, 22*FLEN/8, x4, x1, x2,FLREG) + +inst_23:// rs1==f8, rd==x8,fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f8; dest:x8; op1val:0xbf80000000000000; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x8, f8, dyn, 0, 0, x3, 23*FLEN/8, x4, x1, x2,FLREG) +RVTEST_VALBASEUPD(x8,test_dataset_1) + +inst_24:// rs1==f7, rd==x7, +/* opcode: fcvtmod.w.d ; op1:f7; dest:x7; op1val:0x0; valaddr_reg:x8; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x7, f7, dyn, 0, 0, x8, 0*FLEN/8, x9, x1, x2,FLREG) + +inst_25:// rs1==f6, rd==x6, +/* opcode: fcvtmod.w.d ; op1:f6; dest:x6; op1val:0x0; valaddr_reg:x8; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x6, f6, dyn, 0, 0, x8, 1*FLEN/8, x9, x1, x2,FLREG) + +inst_26:// rs1==f5, rd==x5, +/* opcode: fcvtmod.w.d ; op1:f5; dest:x5; op1val:0x0; valaddr_reg:x8; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x5, f5, dyn, 0, 0, x8, 2*FLEN/8, x9, x1, x6,FLREG) +RVTEST_SIGBASE(x5,signature_x5_0) + +inst_27:// rs1==f4, rd==x4, +/* opcode: fcvtmod.w.d ; op1:f4; dest:x4; op1val:0x0; valaddr_reg:x8; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x4, f4, dyn, 0, 0, x8, 3*FLEN/8, x9, x5, x6,FLREG) + +inst_28:// rs1==f3, rd==x3, +/* opcode: fcvtmod.w.d ; op1:f3; dest:x3; op1val:0x0; valaddr_reg:x8; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x3, f3, dyn, 0, 0, x8, 4*FLEN/8, x9, x5, x6,FLREG) + +inst_29:// rs1==f2, rd==x2, +/* opcode: fcvtmod.w.d ; op1:f2; dest:x2; op1val:0x0; valaddr_reg:x8; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x2, f2, dyn, 0, 0, x8, 5*FLEN/8, x9, x5, x6,FLREG) + +inst_30:// rs1==f1, rd==x1, +/* opcode: fcvtmod.w.d ; op1:f1; dest:x1; op1val:0x0; valaddr_reg:x8; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x1, f1, dyn, 0, 0, x8, 6*FLEN/8, x9, x5, x6,FLREG) + +inst_31:// rs1==f0, rd==x0, +/* opcode: fcvtmod.w.d ; op1:f0; dest:x0; op1val:0x0; valaddr_reg:x8; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x0, f0, dyn, 0, 0, x8, 7*FLEN/8, x9, x5, x6,FLREG) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +test_dataset_1: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 54*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x5_0: + .fill 10*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b22-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b22-01.S new file mode 100644 index 000000000..e4b8e91b8 --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b22-01.S @@ -0,0 +1,383 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:52 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fcvtmod.w.d.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fcvtmod.w.d instruction of the RISC-V RV64FD_Zicsr_Zfa extension for the fcvtmod.w.d_b22 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fcvtmod.w.d_b22) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1==f31, rd==x31,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08577924770d3 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fc08577924770d3; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2,FLREG) + +inst_1:// rs1==f30, rd==x30,fs1 == 0 and fe1 == 0x3fd and fm1 == 0x93fdc7b89296c and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f30; dest:x30; op1val:0x3fd93fdc7b89296c; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x30, f30, dyn, 0, 0, x3, 1*FLEN/8, x4, x1, x2,FLREG) + +inst_2:// rs1==f29, rd==x29,fs1 == 1 and fe1 == 0x3fe and fm1 == 0x766ba34c2da80 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f29; dest:x29; op1val:0xbfe766ba34c2da80; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x29, f29, dyn, 0, 0, x3, 2*FLEN/8, x4, x1, x2,FLREG) + +inst_3:// rs1==f28, rd==x28,fs1 == 0 and fe1 == 0x3ff and fm1 == 0xd2d6b7dc59a3a and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f28; dest:x28; op1val:0x3ffd2d6b7dc59a3a; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x28, f28, dyn, 0, 0, x3, 3*FLEN/8, x4, x1, x2,FLREG) + +inst_4:// rs1==f27, rd==x27,fs1 == 0 and fe1 == 0x400 and fm1 == 0xcf84ba749f9c5 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f27; dest:x27; op1val:0x400cf84ba749f9c5; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x27, f27, dyn, 0, 0, x3, 4*FLEN/8, x4, x1, x2,FLREG) + +inst_5:// rs1==f26, rd==x26,fs1 == 0 and fe1 == 0x401 and fm1 == 0x854a908ceac39 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f26; dest:x26; op1val:0x401854a908ceac39; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x26, f26, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2,FLREG) + +inst_6:// rs1==f25, rd==x25,fs1 == 0 and fe1 == 0x402 and fm1 == 0x137a953e8eb43 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f25; dest:x25; op1val:0x402137a953e8eb43; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x25, f25, dyn, 0, 0, x3, 6*FLEN/8, x4, x1, x2,FLREG) + +inst_7:// rs1==f24, rd==x24,fs1 == 1 and fe1 == 0x403 and fm1 == 0xf3ebcf3d06f86 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f24; dest:x24; op1val:0xc03f3ebcf3d06f86; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x24, f24, dyn, 0, 0, x3, 7*FLEN/8, x4, x1, x2,FLREG) + +inst_8:// rs1==f23, rd==x23,fs1 == 0 and fe1 == 0x404 and fm1 == 0x5c74eff1e5bef and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f23; dest:x23; op1val:0x4045c74eff1e5bef; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x23, f23, dyn, 0, 0, x3, 8*FLEN/8, x4, x1, x2,FLREG) + +inst_9:// rs1==f22, rd==x22,fs1 == 0 and fe1 == 0x405 and fm1 == 0xdc3386b9f15c4 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f22; dest:x22; op1val:0x405dc3386b9f15c4; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x22, f22, dyn, 0, 0, x3, 9*FLEN/8, x4, x1, x2,FLREG) + +inst_10:// rs1==f21, rd==x21,fs1 == 0 and fe1 == 0x406 and fm1 == 0x5ae6a9a6ab329 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f21; dest:x21; op1val:0x4065ae6a9a6ab329; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x21, f21, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2,FLREG) + +inst_11:// rs1==f20, rd==x20,fs1 == 1 and fe1 == 0x407 and fm1 == 0x489b36bd7f503 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f20; dest:x20; op1val:0xc07489b36bd7f503; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x20, f20, dyn, 0, 0, x3, 11*FLEN/8, x4, x1, x2,FLREG) + +inst_12:// rs1==f19, rd==x19,fs1 == 0 and fe1 == 0x408 and fm1 == 0x43277acca7f0d and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f19; dest:x19; op1val:0x40843277acca7f0d; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x19, f19, dyn, 0, 0, x3, 12*FLEN/8, x4, x1, x2,FLREG) + +inst_13:// rs1==f18, rd==x18,fs1 == 0 and fe1 == 0x409 and fm1 == 0xaf9492cb7362c and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f18; dest:x18; op1val:0x409af9492cb7362c; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x18, f18, dyn, 0, 0, x3, 13*FLEN/8, x4, x1, x2,FLREG) + +inst_14:// rs1==f17, rd==x17,fs1 == 0 and fe1 == 0x40a and fm1 == 0x5cd28a96ec2b3 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f17; dest:x17; op1val:0x40a5cd28a96ec2b3; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x17, f17, dyn, 0, 0, x3, 14*FLEN/8, x4, x1, x2,FLREG) + +inst_15:// rs1==f16, rd==x16,fs1 == 1 and fe1 == 0x40b and fm1 == 0xc491074f942cb and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f16; dest:x16; op1val:0xc0bc491074f942cb; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x16, f16, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2,FLREG) + +inst_16:// rs1==f15, rd==x15,fs1 == 1 and fe1 == 0x40c and fm1 == 0x3d480fb7f6f5d and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f15; dest:x15; op1val:0xc0c3d480fb7f6f5d; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x15, f15, dyn, 0, 0, x3, 16*FLEN/8, x4, x1, x2,FLREG) + +inst_17:// rs1==f14, rd==x14,fs1 == 0 and fe1 == 0x40d and fm1 == 0x9d02f708cc1b6 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f14; dest:x14; op1val:0x40d9d02f708cc1b6; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x14, f14, dyn, 0, 0, x3, 17*FLEN/8, x4, x1, x2,FLREG) + +inst_18:// rs1==f13, rd==x13,fs1 == 0 and fe1 == 0x40e and fm1 == 0x953b00b54aa22 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f13; dest:x13; op1val:0x40e953b00b54aa22; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x13, f13, dyn, 0, 0, x3, 18*FLEN/8, x4, x1, x2,FLREG) + +inst_19:// rs1==f12, rd==x12,fs1 == 0 and fe1 == 0x40f and fm1 == 0x224c03c53d0e3 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f12; dest:x12; op1val:0x40f224c03c53d0e3; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x12, f12, dyn, 0, 0, x3, 19*FLEN/8, x4, x1, x2,FLREG) + +inst_20:// rs1==f11, rd==x11,fs1 == 0 and fe1 == 0x410 and fm1 == 0xe8dacf0e58650 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f11; dest:x11; op1val:0x410e8dacf0e58650; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x11, f11, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2,FLREG) + +inst_21:// rs1==f10, rd==x10,fs1 == 1 and fe1 == 0x411 and fm1 == 0x5dbbb894deab4 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f10; dest:x10; op1val:0xc115dbbb894deab4; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x10, f10, dyn, 0, 0, x3, 21*FLEN/8, x4, x1, x2,FLREG) + +inst_22:// rs1==f9, rd==x9,fs1 == 0 and fe1 == 0x412 and fm1 == 0x3d7c9e5f0307e and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f9; dest:x9; op1val:0x4123d7c9e5f0307e; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x9, f9, dyn, 0, 0, x3, 22*FLEN/8, x4, x1, x2,FLREG) + +inst_23:// rs1==f8, rd==x8,fs1 == 0 and fe1 == 0x413 and fm1 == 0x8c8a1aaac3142 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f8; dest:x8; op1val:0x4138c8a1aaac3142; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x8, f8, dyn, 0, 0, x3, 23*FLEN/8, x4, x1, x2,FLREG) +RVTEST_VALBASEUPD(x8,test_dataset_1) + +inst_24:// rs1==f7, rd==x7,fs1 == 0 and fe1 == 0x414 and fm1 == 0x785036f9fb997 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f7; dest:x7; op1val:0x414785036f9fb997; valaddr_reg:x8; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x7, f7, dyn, 0, 0, x8, 0*FLEN/8, x9, x1, x2,FLREG) + +inst_25:// rs1==f6, rd==x6,fs1 == 0 and fe1 == 0x415 and fm1 == 0x95a4da7298c66 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f6; dest:x6; op1val:0x41595a4da7298c66; valaddr_reg:x8; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x6, f6, dyn, 0, 0, x8, 1*FLEN/8, x9, x1, x2,FLREG) + +inst_26:// rs1==f5, rd==x5,fs1 == 0 and fe1 == 0x416 and fm1 == 0x807dad814d575 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f5; dest:x5; op1val:0x416807dad814d575; valaddr_reg:x8; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x5, f5, dyn, 0, 0, x8, 2*FLEN/8, x9, x1, x6,FLREG) +RVTEST_SIGBASE(x5,signature_x5_0) + +inst_27:// rs1==f4, rd==x4,fs1 == 1 and fe1 == 0x417 and fm1 == 0x396bad798c9cf and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f4; dest:x4; op1val:0xc17396bad798c9cf; valaddr_reg:x8; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x4, f4, dyn, 0, 0, x8, 3*FLEN/8, x9, x5, x6,FLREG) + +inst_28:// rs1==f3, rd==x3,fs1 == 0 and fe1 == 0x418 and fm1 == 0x3d06169b1dcbf and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f3; dest:x3; op1val:0x4183d06169b1dcbf; valaddr_reg:x8; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x3, f3, dyn, 0, 0, x8, 4*FLEN/8, x9, x5, x6,FLREG) + +inst_29:// rs1==f2, rd==x2,fs1 == 0 and fe1 == 0x419 and fm1 == 0x7f21608208d09 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f2; dest:x2; op1val:0x4197f21608208d09; valaddr_reg:x8; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x2, f2, dyn, 0, 0, x8, 5*FLEN/8, x9, x5, x6,FLREG) + +inst_30:// rs1==f1, rd==x1,fs1 == 1 and fe1 == 0x41a and fm1 == 0x9b4f3d167533a and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f1; dest:x1; op1val:0xc1a9b4f3d167533a; valaddr_reg:x8; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x1, f1, dyn, 0, 0, x8, 6*FLEN/8, x9, x5, x6,FLREG) + +inst_31:// rs1==f0, rd==x0,fs1 == 1 and fe1 == 0x41b and fm1 == 0x889261270dee2 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f0; dest:x0; op1val:0xc1b889261270dee2; valaddr_reg:x8; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x0, f0, dyn, 0, 0, x8, 7*FLEN/8, x9, x5, x6,FLREG) + +inst_32:// fs1 == 0 and fe1 == 0x41c and fm1 == 0x14b91dae98554 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x41c14b91dae98554; valaddr_reg:x8; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 8*FLEN/8, x9, x5, x6,FLREG) + +inst_33:// fs1 == 1 and fe1 == 0x41d and fm1 == 0x9136562694646 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xc1d9136562694646; valaddr_reg:x8; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 9*FLEN/8, x9, x5, x6,FLREG) + +inst_34:// fs1 == 1 and fe1 == 0x41e and fm1 == 0xe9b7e5fc9eba4 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xc1ee9b7e5fc9eba4; valaddr_reg:x8; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 10*FLEN/8, x9, x5, x6,FLREG) + +inst_35:// fs1 == 1 and fe1 == 0x41f and fm1 == 0x1ce80265039f6 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xc1f1ce80265039f6; valaddr_reg:x8; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 11*FLEN/8, x9, x5, x6,FLREG) + +inst_36:// fs1 == 0 and fe1 == 0x420 and fm1 == 0xc5ec6c6880007 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x420c5ec6c6880007; valaddr_reg:x8; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 12*FLEN/8, x9, x5, x6,FLREG) + +inst_37:// fs1 == 1 and fe1 == 0x421 and fm1 == 0x2a96d71097999 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xc212a96d71097999; valaddr_reg:x8; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 13*FLEN/8, x9, x5, x6,FLREG) + +inst_38:// fs1 == 0 and fe1 == 0x3ca and fm1 == 0x30e08ceb506f6 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ca30e08ceb506f6; valaddr_reg:x8; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 14*FLEN/8, x9, x5, x6,FLREG) + +inst_39:// fs1 == 0 and fe1 == 0x5ca and fm1 == 0xf871c6ee84270 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x5caf871c6ee84270; valaddr_reg:x8; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 15*FLEN/8, x9, x5, x6,FLREG) + +inst_40:// fs1 == 1 and fe1 == 0x41b and fm1 == 0x889261270dee2 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xc1b889261270dee2; valaddr_reg:x8; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 16*FLEN/8, x9, x5, x6,FLREG) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(4593818368519663827,64,FLEN) +NAN_BOXED(4600778710533613932,64,FLEN) +NAN_BOXED(13828134130799532672,64,FLEN) +NAN_BOXED(4610891533192108602,64,FLEN) +NAN_BOXED(4615336721960794565,64,FLEN) +NAN_BOXED(4618534502842412089,64,FLEN) +NAN_BOXED(4621035893055613763,64,FLEN) +NAN_BOXED(13852859960080232326,64,FLEN) +NAN_BOXED(4631326933921979375,64,FLEN) +NAN_BOXED(4638077838352651716,64,FLEN) +NAN_BOXED(4640306763955614505,64,FLEN) +NAN_BOXED(13867860556282066179,64,FLEN) +NAN_BOXED(4648896204934643469,64,FLEN) +NAN_BOXED(4655307257518962220,64,FLEN) +NAN_BOXED(4658354964109640371,64,FLEN) +NAN_BOXED(13888055685934564043,64,FLEN) +NAN_BOXED(13890179326181076829,64,FLEN) +NAN_BOXED(4672994990543913398,64,FLEN) +NAN_BOXED(4677361703570418210,64,FLEN) +NAN_BOXED(4679843370855813347,64,FLEN) +NAN_BOXED(4687840036054730320,64,FLEN) +NAN_BOXED(13913268222339967668,64,FLEN) +NAN_BOXED(4693832498796310654,64,FLEN) +NAN_BOXED(4699726807839813954,64,FLEN) +test_dataset_1: +NAN_BOXED(4703874585615907223,64,FLEN) +NAN_BOXED(4708894174956063846,64,FLEN) +NAN_BOXED(4713025646552733045,64,FLEN) +NAN_BOXED(13939651000867015119,64,FLEN) +NAN_BOXED(4720845951218080959,64,FLEN) +NAN_BOXED(4726512510388178185,64,FLEN) +NAN_BOXED(13954883879667454778,64,FLEN) +NAN_BOXED(13959057841646001890,64,FLEN) +NAN_BOXED(4738151372785550676,64,FLEN) +NAN_BOXED(13968217045429995078,64,FLEN) +NAN_BOXED(13974277660852480932,64,FLEN) +NAN_BOXED(13975178168501287414,64,FLEN) +NAN_BOXED(4759283114051108871,64,FLEN) +NAN_BOXED(13984426080451787161,64,FLEN) +NAN_BOXED(4369351494470010614,64,FLEN) +NAN_BOXED(6678705328603284080,64,FLEN) +NAN_BOXED(13959057841646001890,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 54*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x5_0: + .fill 28*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b23-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b23-01.S new file mode 100644 index 000000000..bcb8c8e95 --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b23-01.S @@ -0,0 +1,418 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:52 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fcvtmod.w.d.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fcvtmod.w.d instruction of the RISC-V RV64FD_Zicsr_Zfa extension for the fcvtmod.w.d_b23 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fcvtmod.w.d_b23) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1==f31, rd==x31,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffc and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43dffffffffffffc; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2,FLREG) + +inst_1:// rs1==f30, rd==x30,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffc and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f30; dest:x30; op1val:0x43dffffffffffffc; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x30, f30, dyn, 32, 0, x3, 1*FLEN/8, x4, x1, x2,FLREG) + +inst_2:// rs1==f29, rd==x29,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffc and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f29; dest:x29; op1val:0x43dffffffffffffc; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x29, f29, dyn, 64, 0, x3, 2*FLEN/8, x4, x1, x2,FLREG) + +inst_3:// rs1==f28, rd==x28,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffc and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f28; dest:x28; op1val:0x43dffffffffffffc; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x28, f28, dyn, 96, 0, x3, 3*FLEN/8, x4, x1, x2,FLREG) + +inst_4:// rs1==f27, rd==x27,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffc and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f27; dest:x27; op1val:0x43dffffffffffffc; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x27, f27, dyn, 128, 0, x3, 4*FLEN/8, x4, x1, x2,FLREG) + +inst_5:// rs1==f26, rd==x26,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffd and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f26; dest:x26; op1val:0x43dffffffffffffd; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x26, f26, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2,FLREG) + +inst_6:// rs1==f25, rd==x25,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffd and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f25; dest:x25; op1val:0x43dffffffffffffd; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x25, f25, dyn, 32, 0, x3, 6*FLEN/8, x4, x1, x2,FLREG) + +inst_7:// rs1==f24, rd==x24,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffd and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f24; dest:x24; op1val:0x43dffffffffffffd; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x24, f24, dyn, 64, 0, x3, 7*FLEN/8, x4, x1, x2,FLREG) + +inst_8:// rs1==f23, rd==x23,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffd and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f23; dest:x23; op1val:0x43dffffffffffffd; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x23, f23, dyn, 96, 0, x3, 8*FLEN/8, x4, x1, x2,FLREG) + +inst_9:// rs1==f22, rd==x22,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffd and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f22; dest:x22; op1val:0x43dffffffffffffd; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x22, f22, dyn, 128, 0, x3, 9*FLEN/8, x4, x1, x2,FLREG) + +inst_10:// rs1==f21, rd==x21,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffe and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f21; dest:x21; op1val:0x43dffffffffffffe; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x21, f21, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2,FLREG) + +inst_11:// rs1==f20, rd==x20,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffe and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f20; dest:x20; op1val:0x43dffffffffffffe; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x20, f20, dyn, 32, 0, x3, 11*FLEN/8, x4, x1, x2,FLREG) + +inst_12:// rs1==f19, rd==x19,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffe and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f19; dest:x19; op1val:0x43dffffffffffffe; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x19, f19, dyn, 64, 0, x3, 12*FLEN/8, x4, x1, x2,FLREG) + +inst_13:// rs1==f18, rd==x18,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffe and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f18; dest:x18; op1val:0x43dffffffffffffe; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x18, f18, dyn, 96, 0, x3, 13*FLEN/8, x4, x1, x2,FLREG) + +inst_14:// rs1==f17, rd==x17,fs1 == 0 and fe1 == 0x43d and fm1 == 0xffffffffffffe and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f17; dest:x17; op1val:0x43dffffffffffffe; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x17, f17, dyn, 128, 0, x3, 14*FLEN/8, x4, x1, x2,FLREG) + +inst_15:// rs1==f16, rd==x16,fs1 == 0 and fe1 == 0x43d and fm1 == 0xfffffffffffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f16; dest:x16; op1val:0x43dfffffffffffff; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x16, f16, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2,FLREG) + +inst_16:// rs1==f15, rd==x15,fs1 == 0 and fe1 == 0x43d and fm1 == 0xfffffffffffff and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f15; dest:x15; op1val:0x43dfffffffffffff; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x15, f15, dyn, 32, 0, x3, 16*FLEN/8, x4, x1, x2,FLREG) + +inst_17:// rs1==f14, rd==x14,fs1 == 0 and fe1 == 0x43d and fm1 == 0xfffffffffffff and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f14; dest:x14; op1val:0x43dfffffffffffff; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x14, f14, dyn, 64, 0, x3, 17*FLEN/8, x4, x1, x2,FLREG) + +inst_18:// rs1==f13, rd==x13,fs1 == 0 and fe1 == 0x43d and fm1 == 0xfffffffffffff and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f13; dest:x13; op1val:0x43dfffffffffffff; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x13, f13, dyn, 96, 0, x3, 18*FLEN/8, x4, x1, x2,FLREG) + +inst_19:// rs1==f12, rd==x12,fs1 == 0 and fe1 == 0x43d and fm1 == 0xfffffffffffff and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f12; dest:x12; op1val:0x43dfffffffffffff; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x12, f12, dyn, 128, 0, x3, 19*FLEN/8, x4, x1, x2,FLREG) + +inst_20:// rs1==f11, rd==x11,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f11; dest:x11; op1val:0x43e0000000000000; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x11, f11, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2,FLREG) + +inst_21:// rs1==f10, rd==x10,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000000 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f10; dest:x10; op1val:0x43e0000000000000; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x10, f10, dyn, 32, 0, x3, 21*FLEN/8, x4, x1, x2,FLREG) + +inst_22:// rs1==f9, rd==x9,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000000 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f9; dest:x9; op1val:0x43e0000000000000; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x9, f9, dyn, 64, 0, x3, 22*FLEN/8, x4, x1, x2,FLREG) + +inst_23:// rs1==f8, rd==x8,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000000 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f8; dest:x8; op1val:0x43e0000000000000; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x8, f8, dyn, 96, 0, x3, 23*FLEN/8, x4, x1, x2,FLREG) +RVTEST_VALBASEUPD(x8,test_dataset_1) + +inst_24:// rs1==f7, rd==x7,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000000 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f7; dest:x7; op1val:0x43e0000000000000; valaddr_reg:x8; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x7, f7, dyn, 128, 0, x8, 0*FLEN/8, x9, x1, x2,FLREG) + +inst_25:// rs1==f6, rd==x6,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f6; dest:x6; op1val:0x43e0000000000001; valaddr_reg:x8; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x6, f6, dyn, 0, 0, x8, 1*FLEN/8, x9, x1, x2,FLREG) + +inst_26:// rs1==f5, rd==x5,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000001 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f5; dest:x5; op1val:0x43e0000000000001; valaddr_reg:x8; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x5, f5, dyn, 32, 0, x8, 2*FLEN/8, x9, x1, x6,FLREG) +RVTEST_SIGBASE(x5,signature_x5_0) + +inst_27:// rs1==f4, rd==x4,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000001 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f4; dest:x4; op1val:0x43e0000000000001; valaddr_reg:x8; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x4, f4, dyn, 64, 0, x8, 3*FLEN/8, x9, x5, x6,FLREG) + +inst_28:// rs1==f3, rd==x3,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000001 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f3; dest:x3; op1val:0x43e0000000000001; valaddr_reg:x8; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x3, f3, dyn, 96, 0, x8, 4*FLEN/8, x9, x5, x6,FLREG) + +inst_29:// rs1==f2, rd==x2,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000001 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f2; dest:x2; op1val:0x43e0000000000001; valaddr_reg:x8; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x2, f2, dyn, 128, 0, x8, 5*FLEN/8, x9, x5, x6,FLREG) + +inst_30:// rs1==f1, rd==x1,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f1; dest:x1; op1val:0x43e0000000000002; valaddr_reg:x8; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x1, f1, dyn, 0, 0, x8, 6*FLEN/8, x9, x5, x6,FLREG) + +inst_31:// rs1==f0, rd==x0,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000002 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f0; dest:x0; op1val:0x43e0000000000002; valaddr_reg:x8; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x0, f0, dyn, 32, 0, x8, 7*FLEN/8, x9, x5, x6,FLREG) + +inst_32:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000002 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000002; valaddr_reg:x8; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 8*FLEN/8, x9, x5, x6,FLREG) + +inst_33:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000002 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000002; valaddr_reg:x8; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 9*FLEN/8, x9, x5, x6,FLREG) + +inst_34:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000002 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000002; valaddr_reg:x8; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 10*FLEN/8, x9, x5, x6,FLREG) + +inst_35:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000003 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000003; valaddr_reg:x8; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 11*FLEN/8, x9, x5, x6,FLREG) + +inst_36:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000003 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000003; valaddr_reg:x8; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 12*FLEN/8, x9, x5, x6,FLREG) + +inst_37:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000003 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000003; valaddr_reg:x8; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 13*FLEN/8, x9, x5, x6,FLREG) + +inst_38:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000003 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000003; valaddr_reg:x8; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 14*FLEN/8, x9, x5, x6,FLREG) + +inst_39:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000003 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000003; valaddr_reg:x8; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 15*FLEN/8, x9, x5, x6,FLREG) + +inst_40:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000004 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000004; valaddr_reg:x8; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 16*FLEN/8, x9, x5, x6,FLREG) + +inst_41:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000004 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000004; valaddr_reg:x8; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 17*FLEN/8, x9, x5, x6,FLREG) + +inst_42:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000004 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000004; valaddr_reg:x8; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 18*FLEN/8, x9, x5, x6,FLREG) + +inst_43:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000004 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000004; valaddr_reg:x8; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 19*FLEN/8, x9, x5, x6,FLREG) + +inst_44:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000004 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000004; valaddr_reg:x8; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 20*FLEN/8, x9, x5, x6,FLREG) + +inst_45:// fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000002 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x43e0000000000002; valaddr_reg:x8; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 21*FLEN/8, x9, x5, x6,FLREG) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(4890909195324358652,64,FLEN) +NAN_BOXED(4890909195324358652,64,FLEN) +NAN_BOXED(4890909195324358652,64,FLEN) +NAN_BOXED(4890909195324358652,64,FLEN) +NAN_BOXED(4890909195324358652,64,FLEN) +NAN_BOXED(4890909195324358653,64,FLEN) +NAN_BOXED(4890909195324358653,64,FLEN) +NAN_BOXED(4890909195324358653,64,FLEN) +NAN_BOXED(4890909195324358653,64,FLEN) +NAN_BOXED(4890909195324358653,64,FLEN) +NAN_BOXED(4890909195324358654,64,FLEN) +NAN_BOXED(4890909195324358654,64,FLEN) +NAN_BOXED(4890909195324358654,64,FLEN) +NAN_BOXED(4890909195324358654,64,FLEN) +NAN_BOXED(4890909195324358654,64,FLEN) +NAN_BOXED(4890909195324358655,64,FLEN) +NAN_BOXED(4890909195324358655,64,FLEN) +NAN_BOXED(4890909195324358655,64,FLEN) +NAN_BOXED(4890909195324358655,64,FLEN) +NAN_BOXED(4890909195324358655,64,FLEN) +NAN_BOXED(4890909195324358656,64,FLEN) +NAN_BOXED(4890909195324358656,64,FLEN) +NAN_BOXED(4890909195324358656,64,FLEN) +NAN_BOXED(4890909195324358656,64,FLEN) +test_dataset_1: +NAN_BOXED(4890909195324358656,64,FLEN) +NAN_BOXED(4890909195324358657,64,FLEN) +NAN_BOXED(4890909195324358657,64,FLEN) +NAN_BOXED(4890909195324358657,64,FLEN) +NAN_BOXED(4890909195324358657,64,FLEN) +NAN_BOXED(4890909195324358657,64,FLEN) +NAN_BOXED(4890909195324358658,64,FLEN) +NAN_BOXED(4890909195324358658,64,FLEN) +NAN_BOXED(4890909195324358658,64,FLEN) +NAN_BOXED(4890909195324358658,64,FLEN) +NAN_BOXED(4890909195324358658,64,FLEN) +NAN_BOXED(4890909195324358659,64,FLEN) +NAN_BOXED(4890909195324358659,64,FLEN) +NAN_BOXED(4890909195324358659,64,FLEN) +NAN_BOXED(4890909195324358659,64,FLEN) +NAN_BOXED(4890909195324358659,64,FLEN) +NAN_BOXED(4890909195324358660,64,FLEN) +NAN_BOXED(4890909195324358660,64,FLEN) +NAN_BOXED(4890909195324358660,64,FLEN) +NAN_BOXED(4890909195324358660,64,FLEN) +NAN_BOXED(4890909195324358660,64,FLEN) +NAN_BOXED(4890909195324358658,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 54*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x5_0: + .fill 38*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b24-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b24-01.S new file mode 100644 index 000000000..1c3ab837e --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b24-01.S @@ -0,0 +1,838 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:52 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fcvtmod.w.d.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fcvtmod.w.d instruction of the RISC-V RV64FD_Zicsr_Zfa extension for the fcvtmod.w.d_b24 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fcvtmod.w.d_b24) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1==f31, rd==x31,fs1 == 0 and fe1 == 0x3fb and fm1 == 0xc28f5c28f5c29 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fbc28f5c28f5c29; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2,FLREG) + +inst_1:// rs1==f30, rd==x30,fs1 == 0 and fe1 == 0x3fb and fm1 == 0xc28f5c28f5c29 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f30; dest:x30; op1val:0x3fbc28f5c28f5c29; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x30, f30, dyn, 32, 0, x3, 1*FLEN/8, x4, x1, x2,FLREG) + +inst_2:// rs1==f29, rd==x29,fs1 == 0 and fe1 == 0x3fb and fm1 == 0xc28f5c28f5c29 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f29; dest:x29; op1val:0x3fbc28f5c28f5c29; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x29, f29, dyn, 64, 0, x3, 2*FLEN/8, x4, x1, x2,FLREG) + +inst_3:// rs1==f28, rd==x28,fs1 == 0 and fe1 == 0x3fb and fm1 == 0xc28f5c28f5c29 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f28; dest:x28; op1val:0x3fbc28f5c28f5c29; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x28, f28, dyn, 96, 0, x3, 3*FLEN/8, x4, x1, x2,FLREG) + +inst_4:// rs1==f27, rd==x27,fs1 == 0 and fe1 == 0x3fb and fm1 == 0xc28f5c28f5c29 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f27; dest:x27; op1val:0x3fbc28f5c28f5c29; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x27, f27, dyn, 128, 0, x3, 4*FLEN/8, x4, x1, x2,FLREG) + +inst_5:// rs1==f26, rd==x26,fs1 == 0 and fe1 == 0x3fe and fm1 == 0xc7ae147ae147b and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f26; dest:x26; op1val:0x3fec7ae147ae147b; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x26, f26, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2,FLREG) + +inst_6:// rs1==f25, rd==x25,fs1 == 0 and fe1 == 0x3fe and fm1 == 0xc7ae147ae147b and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f25; dest:x25; op1val:0x3fec7ae147ae147b; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x25, f25, dyn, 32, 0, x3, 6*FLEN/8, x4, x1, x2,FLREG) + +inst_7:// rs1==f24, rd==x24,fs1 == 0 and fe1 == 0x3fe and fm1 == 0xc7ae147ae147b and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f24; dest:x24; op1val:0x3fec7ae147ae147b; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x24, f24, dyn, 64, 0, x3, 7*FLEN/8, x4, x1, x2,FLREG) + +inst_8:// rs1==f23, rd==x23,fs1 == 0 and fe1 == 0x3fe and fm1 == 0xc7ae147ae147b and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f23; dest:x23; op1val:0x3fec7ae147ae147b; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x23, f23, dyn, 96, 0, x3, 8*FLEN/8, x4, x1, x2,FLREG) + +inst_9:// rs1==f22, rd==x22,fs1 == 0 and fe1 == 0x3fe and fm1 == 0xc7ae147ae147b and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f22; dest:x22; op1val:0x3fec7ae147ae147b; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x22, f22, dyn, 128, 0, x3, 9*FLEN/8, x4, x1, x2,FLREG) + +inst_10:// rs1==f21, rd==x21,fs1 == 1 and fe1 == 0x3fb and fm1 == 0x999999999999a and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f21; dest:x21; op1val:0xbfb999999999999a; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x21, f21, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2,FLREG) + +inst_11:// rs1==f20, rd==x20,fs1 == 1 and fe1 == 0x3fb and fm1 == 0x999999999999a and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f20; dest:x20; op1val:0xbfb999999999999a; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x20, f20, dyn, 32, 0, x3, 11*FLEN/8, x4, x1, x2,FLREG) + +inst_12:// rs1==f19, rd==x19,fs1 == 1 and fe1 == 0x3fb and fm1 == 0x999999999999a and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f19; dest:x19; op1val:0xbfb999999999999a; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x19, f19, dyn, 64, 0, x3, 12*FLEN/8, x4, x1, x2,FLREG) + +inst_13:// rs1==f18, rd==x18,fs1 == 1 and fe1 == 0x3fb and fm1 == 0x999999999999a and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f18; dest:x18; op1val:0xbfb999999999999a; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x18, f18, dyn, 96, 0, x3, 13*FLEN/8, x4, x1, x2,FLREG) + +inst_14:// rs1==f17, rd==x17,fs1 == 1 and fe1 == 0x3fb and fm1 == 0x999999999999a and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f17; dest:x17; op1val:0xbfb999999999999a; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x17, f17, dyn, 128, 0, x3, 14*FLEN/8, x4, x1, x2,FLREG) + +inst_15:// rs1==f16, rd==x16,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f16; dest:x16; op1val:0x3ff028f5c28f5c29; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x16, f16, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2,FLREG) + +inst_16:// rs1==f15, rd==x15,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f15; dest:x15; op1val:0x3ff028f5c28f5c29; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x15, f15, dyn, 32, 0, x3, 16*FLEN/8, x4, x1, x2,FLREG) + +inst_17:// rs1==f14, rd==x14,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f14; dest:x14; op1val:0x3ff028f5c28f5c29; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x14, f14, dyn, 64, 0, x3, 17*FLEN/8, x4, x1, x2,FLREG) + +inst_18:// rs1==f13, rd==x13,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f13; dest:x13; op1val:0x3ff028f5c28f5c29; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x13, f13, dyn, 96, 0, x3, 18*FLEN/8, x4, x1, x2,FLREG) + +inst_19:// rs1==f12, rd==x12,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f12; dest:x12; op1val:0x3ff028f5c28f5c29; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x12, f12, dyn, 128, 0, x3, 19*FLEN/8, x4, x1, x2,FLREG) + +inst_20:// rs1==f11, rd==x11,fs1 == 0 and fe1 == 0x3fb and fm1 == 0x999999999999a and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f11; dest:x11; op1val:0x3fb999999999999a; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x11, f11, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2,FLREG) + +inst_21:// rs1==f10, rd==x10,fs1 == 0 and fe1 == 0x3fb and fm1 == 0x999999999999a and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f10; dest:x10; op1val:0x3fb999999999999a; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x10, f10, dyn, 32, 0, x3, 21*FLEN/8, x4, x1, x2,FLREG) + +inst_22:// rs1==f9, rd==x9,fs1 == 0 and fe1 == 0x3fb and fm1 == 0x999999999999a and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f9; dest:x9; op1val:0x3fb999999999999a; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x9, f9, dyn, 64, 0, x3, 22*FLEN/8, x4, x1, x2,FLREG) + +inst_23:// rs1==f8, rd==x8,fs1 == 0 and fe1 == 0x3fb and fm1 == 0x999999999999a and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f8; dest:x8; op1val:0x3fb999999999999a; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x8, f8, dyn, 96, 0, x3, 23*FLEN/8, x4, x1, x2,FLREG) +RVTEST_VALBASEUPD(x8,test_dataset_1) + +inst_24:// rs1==f7, rd==x7,fs1 == 0 and fe1 == 0x3fb and fm1 == 0x999999999999a and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f7; dest:x7; op1val:0x3fb999999999999a; valaddr_reg:x8; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x7, f7, dyn, 128, 0, x8, 0*FLEN/8, x9, x1, x2,FLREG) + +inst_25:// rs1==f6, rd==x6,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x199999999999a and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f6; dest:x6; op1val:0x3ff199999999999a; valaddr_reg:x8; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x6, f6, dyn, 0, 0, x8, 1*FLEN/8, x9, x1, x2,FLREG) + +inst_26:// rs1==f5, rd==x5,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x199999999999a and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f5; dest:x5; op1val:0x3ff199999999999a; valaddr_reg:x8; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x5, f5, dyn, 32, 0, x8, 2*FLEN/8, x9, x1, x6,FLREG) +RVTEST_SIGBASE(x5,signature_x5_0) + +inst_27:// rs1==f4, rd==x4,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x199999999999a and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f4; dest:x4; op1val:0x3ff199999999999a; valaddr_reg:x8; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x4, f4, dyn, 64, 0, x8, 3*FLEN/8, x9, x5, x6,FLREG) + +inst_28:// rs1==f3, rd==x3,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x199999999999a and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f3; dest:x3; op1val:0x3ff199999999999a; valaddr_reg:x8; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x3, f3, dyn, 96, 0, x8, 4*FLEN/8, x9, x5, x6,FLREG) + +inst_29:// rs1==f2, rd==x2,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x199999999999a and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f2; dest:x2; op1val:0x3ff199999999999a; valaddr_reg:x8; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x2, f2, dyn, 128, 0, x8, 5*FLEN/8, x9, x5, x6,FLREG) + +inst_30:// rs1==f1, rd==x1,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f1; dest:x1; op1val:0x3ff1c28f5c28f5c3; valaddr_reg:x8; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x1, f1, dyn, 0, 0, x8, 6*FLEN/8, x9, x5, x6,FLREG) + +inst_31:// rs1==f0, rd==x0,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f0; dest:x0; op1val:0x3ff1c28f5c28f5c3; valaddr_reg:x8; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x0, f0, dyn, 32, 0, x8, 7*FLEN/8, x9, x5, x6,FLREG) + +inst_32:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff1c28f5c28f5c3; valaddr_reg:x8; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 8*FLEN/8, x9, x5, x6,FLREG) + +inst_33:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff1c28f5c28f5c3; valaddr_reg:x8; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 9*FLEN/8, x9, x5, x6,FLREG) + +inst_34:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff1c28f5c28f5c3; valaddr_reg:x8; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 10*FLEN/8, x9, x5, x6,FLREG) + +inst_35:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x0; valaddr_reg:x8; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 11*FLEN/8, x9, x5, x6,FLREG) + +inst_36:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x0; valaddr_reg:x8; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 12*FLEN/8, x9, x5, x6,FLREG) + +inst_37:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x0; valaddr_reg:x8; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 13*FLEN/8, x9, x5, x6,FLREG) + +inst_38:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x0; valaddr_reg:x8; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 14*FLEN/8, x9, x5, x6,FLREG) + +inst_39:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x0; valaddr_reg:x8; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 15*FLEN/8, x9, x5, x6,FLREG) + +inst_40:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xfae147ae147ae and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fefae147ae147ae; valaddr_reg:x8; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 16*FLEN/8, x9, x5, x6,FLREG) + +inst_41:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xfae147ae147ae and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fefae147ae147ae; valaddr_reg:x8; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 17*FLEN/8, x9, x5, x6,FLREG) + +inst_42:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xfae147ae147ae and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fefae147ae147ae; valaddr_reg:x8; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 18*FLEN/8, x9, x5, x6,FLREG) + +inst_43:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xfae147ae147ae and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fefae147ae147ae; valaddr_reg:x8; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 19*FLEN/8, x9, x5, x6,FLREG) + +inst_44:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xfae147ae147ae and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fefae147ae147ae; valaddr_reg:x8; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 20*FLEN/8, x9, x5, x6,FLREG) + +inst_45:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xc7ae147ae147b and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfec7ae147ae147b; valaddr_reg:x8; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 21*FLEN/8, x9, x5, x6,FLREG) + +inst_46:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xc7ae147ae147b and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfec7ae147ae147b; valaddr_reg:x8; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 22*FLEN/8, x9, x5, x6,FLREG) + +inst_47:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xc7ae147ae147b and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfec7ae147ae147b; valaddr_reg:x8; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 23*FLEN/8, x9, x5, x6,FLREG) + +inst_48:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xc7ae147ae147b and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfec7ae147ae147b; valaddr_reg:x8; +val_offset:24*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 24*FLEN/8, x9, x5, x6,FLREG) + +inst_49:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xc7ae147ae147b and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfec7ae147ae147b; valaddr_reg:x8; +val_offset:25*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 25*FLEN/8, x9, x5, x6,FLREG) + +inst_50:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x199999999999a and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff199999999999a; valaddr_reg:x8; +val_offset:26*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 26*FLEN/8, x9, x5, x6,FLREG) + +inst_51:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x199999999999a and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff199999999999a; valaddr_reg:x8; +val_offset:27*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 27*FLEN/8, x9, x5, x6,FLREG) + +inst_52:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x199999999999a and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff199999999999a; valaddr_reg:x8; +val_offset:28*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 28*FLEN/8, x9, x5, x6,FLREG) + +inst_53:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x199999999999a and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff199999999999a; valaddr_reg:x8; +val_offset:29*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 29*FLEN/8, x9, x5, x6,FLREG) + +inst_54:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x199999999999a and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff199999999999a; valaddr_reg:x8; +val_offset:30*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 30*FLEN/8, x9, x5, x6,FLREG) + +inst_55:// fs1 == 1 and fe1 == 0x3fb and fm1 == 0xc28f5c28f5c29 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfbc28f5c28f5c29; valaddr_reg:x8; +val_offset:31*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 31*FLEN/8, x9, x5, x6,FLREG) + +inst_56:// fs1 == 1 and fe1 == 0x3fb and fm1 == 0xc28f5c28f5c29 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfbc28f5c28f5c29; valaddr_reg:x8; +val_offset:32*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 32*FLEN/8, x9, x5, x6,FLREG) + +inst_57:// fs1 == 1 and fe1 == 0x3fb and fm1 == 0xc28f5c28f5c29 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfbc28f5c28f5c29; valaddr_reg:x8; +val_offset:33*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 33*FLEN/8, x9, x5, x6,FLREG) + +inst_58:// fs1 == 1 and fe1 == 0x3fb and fm1 == 0xc28f5c28f5c29 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfbc28f5c28f5c29; valaddr_reg:x8; +val_offset:34*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 34*FLEN/8, x9, x5, x6,FLREG) + +inst_59:// fs1 == 1 and fe1 == 0x3fb and fm1 == 0xc28f5c28f5c29 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfbc28f5c28f5c29; valaddr_reg:x8; +val_offset:35*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 35*FLEN/8, x9, x5, x6,FLREG) + +inst_60:// fs1 == 0 and fe1 == 0x3f8 and fm1 == 0x47ae147ae147b and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3f847ae147ae147b; valaddr_reg:x8; +val_offset:36*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 36*FLEN/8, x9, x5, x6,FLREG) + +inst_61:// fs1 == 0 and fe1 == 0x3f8 and fm1 == 0x47ae147ae147b and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3f847ae147ae147b; valaddr_reg:x8; +val_offset:37*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 37*FLEN/8, x9, x5, x6,FLREG) + +inst_62:// fs1 == 0 and fe1 == 0x3f8 and fm1 == 0x47ae147ae147b and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3f847ae147ae147b; valaddr_reg:x8; +val_offset:38*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 38*FLEN/8, x9, x5, x6,FLREG) + +inst_63:// fs1 == 0 and fe1 == 0x3f8 and fm1 == 0x47ae147ae147b and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3f847ae147ae147b; valaddr_reg:x8; +val_offset:39*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 39*FLEN/8, x9, x5, x6,FLREG) + +inst_64:// fs1 == 0 and fe1 == 0x3f8 and fm1 == 0x47ae147ae147b and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3f847ae147ae147b; valaddr_reg:x8; +val_offset:40*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 40*FLEN/8, x9, x5, x6,FLREG) + +inst_65:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x47ae147ae147b and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbf847ae147ae147b; valaddr_reg:x8; +val_offset:41*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 41*FLEN/8, x9, x5, x6,FLREG) + +inst_66:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x47ae147ae147b and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbf847ae147ae147b; valaddr_reg:x8; +val_offset:42*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 42*FLEN/8, x9, x5, x6,FLREG) + +inst_67:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x47ae147ae147b and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbf847ae147ae147b; valaddr_reg:x8; +val_offset:43*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 43*FLEN/8, x9, x5, x6,FLREG) + +inst_68:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x47ae147ae147b and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbf847ae147ae147b; valaddr_reg:x8; +val_offset:44*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 44*FLEN/8, x9, x5, x6,FLREG) + +inst_69:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x47ae147ae147b and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbf847ae147ae147b; valaddr_reg:x8; +val_offset:45*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 45*FLEN/8, x9, x5, x6,FLREG) + +inst_70:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff0000000000000; valaddr_reg:x8; +val_offset:46*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 46*FLEN/8, x9, x5, x6,FLREG) + +inst_71:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff0000000000000; valaddr_reg:x8; +val_offset:47*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 47*FLEN/8, x9, x5, x6,FLREG) + +inst_72:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff0000000000000; valaddr_reg:x8; +val_offset:48*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 48*FLEN/8, x9, x5, x6,FLREG) + +inst_73:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff0000000000000; valaddr_reg:x8; +val_offset:49*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 49*FLEN/8, x9, x5, x6,FLREG) + +inst_74:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff0000000000000; valaddr_reg:x8; +val_offset:50*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 50*FLEN/8, x9, x5, x6,FLREG) + +inst_75:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xccccccccccccd and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3feccccccccccccd; valaddr_reg:x8; +val_offset:51*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 51*FLEN/8, x9, x5, x6,FLREG) + +inst_76:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xccccccccccccd and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3feccccccccccccd; valaddr_reg:x8; +val_offset:52*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 52*FLEN/8, x9, x5, x6,FLREG) + +inst_77:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xccccccccccccd and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3feccccccccccccd; valaddr_reg:x8; +val_offset:53*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 53*FLEN/8, x9, x5, x6,FLREG) + +inst_78:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xccccccccccccd and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3feccccccccccccd; valaddr_reg:x8; +val_offset:54*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 54*FLEN/8, x9, x5, x6,FLREG) + +inst_79:// fs1 == 0 and fe1 == 0x3fe and fm1 == 0xccccccccccccd and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3feccccccccccccd; valaddr_reg:x8; +val_offset:55*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 55*FLEN/8, x9, x5, x6,FLREG) + +inst_80:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff028f5c28f5c29; valaddr_reg:x8; +val_offset:56*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 56*FLEN/8, x9, x5, x6,FLREG) + +inst_81:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff028f5c28f5c29; valaddr_reg:x8; +val_offset:57*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 57*FLEN/8, x9, x5, x6,FLREG) + +inst_82:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff028f5c28f5c29; valaddr_reg:x8; +val_offset:58*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 58*FLEN/8, x9, x5, x6,FLREG) + +inst_83:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff028f5c28f5c29; valaddr_reg:x8; +val_offset:59*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 59*FLEN/8, x9, x5, x6,FLREG) + +inst_84:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x028f5c28f5c29 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff028f5c28f5c29; valaddr_reg:x8; +val_offset:60*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 60*FLEN/8, x9, x5, x6,FLREG) + +inst_85:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xfae147ae147ae and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfefae147ae147ae; valaddr_reg:x8; +val_offset:61*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 61*FLEN/8, x9, x5, x6,FLREG) + +inst_86:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xfae147ae147ae and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfefae147ae147ae; valaddr_reg:x8; +val_offset:62*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 62*FLEN/8, x9, x5, x6,FLREG) + +inst_87:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xfae147ae147ae and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfefae147ae147ae; valaddr_reg:x8; +val_offset:63*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 63*FLEN/8, x9, x5, x6,FLREG) + +inst_88:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xfae147ae147ae and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfefae147ae147ae; valaddr_reg:x8; +val_offset:64*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 64*FLEN/8, x9, x5, x6,FLREG) + +inst_89:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xfae147ae147ae and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfefae147ae147ae; valaddr_reg:x8; +val_offset:65*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 65*FLEN/8, x9, x5, x6,FLREG) + +inst_90:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff0000000000000; valaddr_reg:x8; +val_offset:66*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 66*FLEN/8, x9, x5, x6,FLREG) + +inst_91:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff0000000000000; valaddr_reg:x8; +val_offset:67*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 67*FLEN/8, x9, x5, x6,FLREG) + +inst_92:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff0000000000000; valaddr_reg:x8; +val_offset:68*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 68*FLEN/8, x9, x5, x6,FLREG) + +inst_93:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff0000000000000; valaddr_reg:x8; +val_offset:69*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 69*FLEN/8, x9, x5, x6,FLREG) + +inst_94:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff0000000000000; valaddr_reg:x8; +val_offset:70*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 70*FLEN/8, x9, x5, x6,FLREG) + +inst_95:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff1c28f5c28f5c3; valaddr_reg:x8; +val_offset:71*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 71*FLEN/8, x9, x5, x6,FLREG) + +inst_96:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff1c28f5c28f5c3; valaddr_reg:x8; +val_offset:72*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 72*FLEN/8, x9, x5, x6,FLREG) + +inst_97:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff1c28f5c28f5c3; valaddr_reg:x8; +val_offset:73*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 73*FLEN/8, x9, x5, x6,FLREG) + +inst_98:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff1c28f5c28f5c3; valaddr_reg:x8; +val_offset:74*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 74*FLEN/8, x9, x5, x6,FLREG) + +inst_99:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbff1c28f5c28f5c3; valaddr_reg:x8; +val_offset:75*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 75*FLEN/8, x9, x5, x6,FLREG) + +inst_100:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xccccccccccccd and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfeccccccccccccd; valaddr_reg:x8; +val_offset:76*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 76*FLEN/8, x9, x5, x6,FLREG) + +inst_101:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xccccccccccccd and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfeccccccccccccd; valaddr_reg:x8; +val_offset:77*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 77*FLEN/8, x9, x5, x6,FLREG) + +inst_102:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xccccccccccccd and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfeccccccccccccd; valaddr_reg:x8; +val_offset:78*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 78*FLEN/8, x9, x5, x6,FLREG) + +inst_103:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xccccccccccccd and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfeccccccccccccd; valaddr_reg:x8; +val_offset:79*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 79*FLEN/8, x9, x5, x6,FLREG) + +inst_104:// fs1 == 1 and fe1 == 0x3fe and fm1 == 0xccccccccccccd and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfeccccccccccccd; valaddr_reg:x8; +val_offset:80*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 80*FLEN/8, x9, x5, x6,FLREG) + +inst_105:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x1c28f5c28f5c3 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3ff1c28f5c28f5c3; valaddr_reg:x8; +val_offset:81*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 81*FLEN/8, x9, x5, x6,FLREG) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(4592590756007337001,64,FLEN) +NAN_BOXED(4592590756007337001,64,FLEN) +NAN_BOXED(4592590756007337001,64,FLEN) +NAN_BOXED(4592590756007337001,64,FLEN) +NAN_BOXED(4592590756007337001,64,FLEN) +NAN_BOXED(4606191626881995899,64,FLEN) +NAN_BOXED(4606191626881995899,64,FLEN) +NAN_BOXED(4606191626881995899,64,FLEN) +NAN_BOXED(4606191626881995899,64,FLEN) +NAN_BOXED(4606191626881995899,64,FLEN) +NAN_BOXED(13815242216921733530,64,FLEN) +NAN_BOXED(13815242216921733530,64,FLEN) +NAN_BOXED(13815242216921733530,64,FLEN) +NAN_BOXED(13815242216921733530,64,FLEN) +NAN_BOXED(13815242216921733530,64,FLEN) +NAN_BOXED(4607227454796291113,64,FLEN) +NAN_BOXED(4607227454796291113,64,FLEN) +NAN_BOXED(4607227454796291113,64,FLEN) +NAN_BOXED(4607227454796291113,64,FLEN) +NAN_BOXED(4607227454796291113,64,FLEN) +NAN_BOXED(4591870180066957722,64,FLEN) +NAN_BOXED(4591870180066957722,64,FLEN) +NAN_BOXED(4591870180066957722,64,FLEN) +NAN_BOXED(4591870180066957722,64,FLEN) +test_dataset_1: +NAN_BOXED(4591870180066957722,64,FLEN) +NAN_BOXED(4607632778762754458,64,FLEN) +NAN_BOXED(4607632778762754458,64,FLEN) +NAN_BOXED(4607632778762754458,64,FLEN) +NAN_BOXED(4607632778762754458,64,FLEN) +NAN_BOXED(4607632778762754458,64,FLEN) +NAN_BOXED(4607677814759028163,64,FLEN) +NAN_BOXED(4607677814759028163,64,FLEN) +NAN_BOXED(4607677814759028163,64,FLEN) +NAN_BOXED(4607677814759028163,64,FLEN) +NAN_BOXED(4607677814759028163,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607092346807469998,64,FLEN) +NAN_BOXED(4607092346807469998,64,FLEN) +NAN_BOXED(4607092346807469998,64,FLEN) +NAN_BOXED(4607092346807469998,64,FLEN) +NAN_BOXED(4607092346807469998,64,FLEN) +NAN_BOXED(13829563663736771707,64,FLEN) +NAN_BOXED(13829563663736771707,64,FLEN) +NAN_BOXED(13829563663736771707,64,FLEN) +NAN_BOXED(13829563663736771707,64,FLEN) +NAN_BOXED(13829563663736771707,64,FLEN) +NAN_BOXED(13831004815617530266,64,FLEN) +NAN_BOXED(13831004815617530266,64,FLEN) +NAN_BOXED(13831004815617530266,64,FLEN) +NAN_BOXED(13831004815617530266,64,FLEN) +NAN_BOXED(13831004815617530266,64,FLEN) +NAN_BOXED(13815962792862112809,64,FLEN) +NAN_BOXED(13815962792862112809,64,FLEN) +NAN_BOXED(13815962792862112809,64,FLEN) +NAN_BOXED(13815962792862112809,64,FLEN) +NAN_BOXED(13815962792862112809,64,FLEN) +NAN_BOXED(4576918229304087675,64,FLEN) +NAN_BOXED(4576918229304087675,64,FLEN) +NAN_BOXED(4576918229304087675,64,FLEN) +NAN_BOXED(4576918229304087675,64,FLEN) +NAN_BOXED(4576918229304087675,64,FLEN) +NAN_BOXED(13800290266158863483,64,FLEN) +NAN_BOXED(13800290266158863483,64,FLEN) +NAN_BOXED(13800290266158863483,64,FLEN) +NAN_BOXED(13800290266158863483,64,FLEN) +NAN_BOXED(13800290266158863483,64,FLEN) +NAN_BOXED(13830554455654793216,64,FLEN) +NAN_BOXED(13830554455654793216,64,FLEN) +NAN_BOXED(13830554455654793216,64,FLEN) +NAN_BOXED(13830554455654793216,64,FLEN) +NAN_BOXED(13830554455654793216,64,FLEN) +NAN_BOXED(4606281698874543309,64,FLEN) +NAN_BOXED(4606281698874543309,64,FLEN) +NAN_BOXED(4606281698874543309,64,FLEN) +NAN_BOXED(4606281698874543309,64,FLEN) +NAN_BOXED(4606281698874543309,64,FLEN) +NAN_BOXED(13830599491651066921,64,FLEN) +NAN_BOXED(13830599491651066921,64,FLEN) +NAN_BOXED(13830599491651066921,64,FLEN) +NAN_BOXED(13830599491651066921,64,FLEN) +NAN_BOXED(13830599491651066921,64,FLEN) +NAN_BOXED(13830464383662245806,64,FLEN) +NAN_BOXED(13830464383662245806,64,FLEN) +NAN_BOXED(13830464383662245806,64,FLEN) +NAN_BOXED(13830464383662245806,64,FLEN) +NAN_BOXED(13830464383662245806,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13831049851613803971,64,FLEN) +NAN_BOXED(13831049851613803971,64,FLEN) +NAN_BOXED(13831049851613803971,64,FLEN) +NAN_BOXED(13831049851613803971,64,FLEN) +NAN_BOXED(13831049851613803971,64,FLEN) +NAN_BOXED(13829653735729319117,64,FLEN) +NAN_BOXED(13829653735729319117,64,FLEN) +NAN_BOXED(13829653735729319117,64,FLEN) +NAN_BOXED(13829653735729319117,64,FLEN) +NAN_BOXED(13829653735729319117,64,FLEN) +NAN_BOXED(4607677814759028163,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 54*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x5_0: + .fill 158*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b27-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b27-01.S new file mode 100644 index 000000000..334d2cd19 --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b27-01.S @@ -0,0 +1,320 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:52 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fcvtmod.w.d.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fcvtmod.w.d instruction of the RISC-V RV64FD_Zicsr_Zfa extension for the fcvtmod.w.d_b27 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fcvtmod.w.d_b27) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1==f31, rd==x31,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x7ff0000000000001; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2,FLREG) + +inst_1:// rs1==f30, rd==x30,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f30; dest:x30; op1val:0xfff0000000000001; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x30, f30, dyn, 0, 0, x3, 1*FLEN/8, x4, x1, x2,FLREG) + +inst_2:// rs1==f29, rd==x29,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x4aaaaaaaaaaaa and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f29; dest:x29; op1val:0x7ff4aaaaaaaaaaaa; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x29, f29, dyn, 0, 0, x3, 2*FLEN/8, x4, x1, x2,FLREG) + +inst_3:// rs1==f28, rd==x28,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x4aaaaaaaaaaaa and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f28; dest:x28; op1val:0xfff4aaaaaaaaaaaa; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x28, f28, dyn, 0, 0, x3, 3*FLEN/8, x4, x1, x2,FLREG) + +inst_4:// rs1==f27, rd==x27,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f27; dest:x27; op1val:0x7ff8000000000001; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x27, f27, dyn, 0, 0, x3, 4*FLEN/8, x4, x1, x2,FLREG) + +inst_5:// rs1==f26, rd==x26,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f26; dest:x26; op1val:0xfff8000000000001; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x26, f26, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2,FLREG) + +inst_6:// rs1==f25, rd==x25,fs1 == 0 and fe1 == 0x7ff and fm1 == 0xc000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f25; dest:x25; op1val:0x7ffc000000000001; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x25, f25, dyn, 0, 0, x3, 6*FLEN/8, x4, x1, x2,FLREG) + +inst_7:// rs1==f24, rd==x24,fs1 == 1 and fe1 == 0x7ff and fm1 == 0xc000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f24; dest:x24; op1val:0xfffc000000000001; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x24, f24, dyn, 0, 0, x3, 7*FLEN/8, x4, x1, x2,FLREG) + +inst_8:// rs1==f23, rd==x23, +/* opcode: fcvtmod.w.d ; op1:f23; dest:x23; op1val:0x0; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x23, f23, dyn, 0, 0, x3, 8*FLEN/8, x4, x1, x2,FLREG) + +inst_9:// rs1==f22, rd==x22, +/* opcode: fcvtmod.w.d ; op1:f22; dest:x22; op1val:0x0; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x22, f22, dyn, 0, 0, x3, 9*FLEN/8, x4, x1, x2,FLREG) + +inst_10:// rs1==f21, rd==x21, +/* opcode: fcvtmod.w.d ; op1:f21; dest:x21; op1val:0x0; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x21, f21, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2,FLREG) + +inst_11:// rs1==f20, rd==x20, +/* opcode: fcvtmod.w.d ; op1:f20; dest:x20; op1val:0x0; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x20, f20, dyn, 0, 0, x3, 11*FLEN/8, x4, x1, x2,FLREG) + +inst_12:// rs1==f19, rd==x19, +/* opcode: fcvtmod.w.d ; op1:f19; dest:x19; op1val:0x0; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x19, f19, dyn, 0, 0, x3, 12*FLEN/8, x4, x1, x2,FLREG) + +inst_13:// rs1==f18, rd==x18, +/* opcode: fcvtmod.w.d ; op1:f18; dest:x18; op1val:0x0; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x18, f18, dyn, 0, 0, x3, 13*FLEN/8, x4, x1, x2,FLREG) + +inst_14:// rs1==f17, rd==x17, +/* opcode: fcvtmod.w.d ; op1:f17; dest:x17; op1val:0x0; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x17, f17, dyn, 0, 0, x3, 14*FLEN/8, x4, x1, x2,FLREG) + +inst_15:// rs1==f16, rd==x16, +/* opcode: fcvtmod.w.d ; op1:f16; dest:x16; op1val:0x0; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x16, f16, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2,FLREG) + +inst_16:// rs1==f15, rd==x15, +/* opcode: fcvtmod.w.d ; op1:f15; dest:x15; op1val:0x0; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x15, f15, dyn, 0, 0, x3, 16*FLEN/8, x4, x1, x2,FLREG) + +inst_17:// rs1==f14, rd==x14, +/* opcode: fcvtmod.w.d ; op1:f14; dest:x14; op1val:0x0; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x14, f14, dyn, 0, 0, x3, 17*FLEN/8, x4, x1, x2,FLREG) + +inst_18:// rs1==f13, rd==x13, +/* opcode: fcvtmod.w.d ; op1:f13; dest:x13; op1val:0x0; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x13, f13, dyn, 0, 0, x3, 18*FLEN/8, x4, x1, x2,FLREG) + +inst_19:// rs1==f12, rd==x12, +/* opcode: fcvtmod.w.d ; op1:f12; dest:x12; op1val:0x0; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x12, f12, dyn, 0, 0, x3, 19*FLEN/8, x4, x1, x2,FLREG) + +inst_20:// rs1==f11, rd==x11, +/* opcode: fcvtmod.w.d ; op1:f11; dest:x11; op1val:0x0; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x11, f11, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2,FLREG) + +inst_21:// rs1==f10, rd==x10, +/* opcode: fcvtmod.w.d ; op1:f10; dest:x10; op1val:0x0; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x10, f10, dyn, 0, 0, x3, 21*FLEN/8, x4, x1, x2,FLREG) + +inst_22:// rs1==f9, rd==x9, +/* opcode: fcvtmod.w.d ; op1:f9; dest:x9; op1val:0x0; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x9, f9, dyn, 0, 0, x3, 22*FLEN/8, x4, x1, x2,FLREG) + +inst_23:// rs1==f8, rd==x8, +/* opcode: fcvtmod.w.d ; op1:f8; dest:x8; op1val:0x0; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x8, f8, dyn, 0, 0, x3, 23*FLEN/8, x4, x1, x2,FLREG) +RVTEST_VALBASEUPD(x8,test_dataset_1) + +inst_24:// rs1==f7, rd==x7, +/* opcode: fcvtmod.w.d ; op1:f7; dest:x7; op1val:0x0; valaddr_reg:x8; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x7, f7, dyn, 0, 0, x8, 0*FLEN/8, x9, x1, x2,FLREG) + +inst_25:// rs1==f6, rd==x6, +/* opcode: fcvtmod.w.d ; op1:f6; dest:x6; op1val:0x0; valaddr_reg:x8; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x6, f6, dyn, 0, 0, x8, 1*FLEN/8, x9, x1, x2,FLREG) + +inst_26:// rs1==f5, rd==x5, +/* opcode: fcvtmod.w.d ; op1:f5; dest:x5; op1val:0x0; valaddr_reg:x8; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x5, f5, dyn, 0, 0, x8, 2*FLEN/8, x9, x1, x6,FLREG) +RVTEST_SIGBASE(x5,signature_x5_0) + +inst_27:// rs1==f4, rd==x4, +/* opcode: fcvtmod.w.d ; op1:f4; dest:x4; op1val:0x0; valaddr_reg:x8; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x4, f4, dyn, 0, 0, x8, 3*FLEN/8, x9, x5, x6,FLREG) + +inst_28:// rs1==f3, rd==x3, +/* opcode: fcvtmod.w.d ; op1:f3; dest:x3; op1val:0x0; valaddr_reg:x8; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x3, f3, dyn, 0, 0, x8, 4*FLEN/8, x9, x5, x6,FLREG) + +inst_29:// rs1==f2, rd==x2, +/* opcode: fcvtmod.w.d ; op1:f2; dest:x2; op1val:0x0; valaddr_reg:x8; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x2, f2, dyn, 0, 0, x8, 5*FLEN/8, x9, x5, x6,FLREG) + +inst_30:// rs1==f1, rd==x1, +/* opcode: fcvtmod.w.d ; op1:f1; dest:x1; op1val:0x0; valaddr_reg:x8; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x1, f1, dyn, 0, 0, x8, 6*FLEN/8, x9, x5, x6,FLREG) + +inst_31:// rs1==f0, rd==x0, +/* opcode: fcvtmod.w.d ; op1:f0; dest:x0; op1val:0x0; valaddr_reg:x8; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x0, f0, dyn, 0, 0, x8, 7*FLEN/8, x9, x5, x6,FLREG) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9220181987118721706,64,FLEN) +NAN_BOXED(18443554023973497514,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9222246136947933185,64,FLEN) +NAN_BOXED(18445618173802708993,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +test_dataset_1: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 54*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x5_0: + .fill 10*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b28-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b28-01.S new file mode 100644 index 000000000..ab290e5b3 --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b28-01.S @@ -0,0 +1,320 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:52 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fcvtmod.w.d.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fcvtmod.w.d instruction of the RISC-V RV64FD_Zicsr_Zfa extension for the fcvtmod.w.d_b28 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fcvtmod.w.d_b28) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1==f31, rd==x31,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x0; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2,FLREG) + +inst_1:// rs1==f30, rd==x30,fs1 == 0 and fe1 == 0x3fe and fm1 == 0x248ee18215dfa and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f30; dest:x30; op1val:0x3fe248ee18215dfa; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x30, f30, dyn, 0, 0, x3, 1*FLEN/8, x4, x1, x2,FLREG) + +inst_2:// rs1==f29, rd==x29,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f29; dest:x29; op1val:0x3ff0000000000000; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x29, f29, dyn, 0, 0, x3, 2*FLEN/8, x4, x1, x2,FLREG) + +inst_3:// rs1==f28, rd==x28,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x4000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f28; dest:x28; op1val:0x3ff4000000000000; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x28, f28, dyn, 0, 0, x3, 3*FLEN/8, x4, x1, x2,FLREG) + +inst_4:// rs1==f27, rd==x27,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x8000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f27; dest:x27; op1val:0x3ff8000000000000; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x27, f27, dyn, 0, 0, x3, 4*FLEN/8, x4, x1, x2,FLREG) + +inst_5:// rs1==f26, rd==x26,fs1 == 0 and fe1 == 0x3ff and fm1 == 0xc000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f26; dest:x26; op1val:0x3ffc000000000000; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x26, f26, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2,FLREG) + +inst_6:// rs1==f25, rd==x25,fs1 == 0 and fe1 == 0x400 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f25; dest:x25; op1val:0x4000000000000000; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x25, f25, dyn, 0, 0, x3, 6*FLEN/8, x4, x1, x2,FLREG) + +inst_7:// rs1==f24, rd==x24,fs1 == 0 and fe1 == 0x400 and fm1 == 0x2000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f24; dest:x24; op1val:0x4002000000000000; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x24, f24, dyn, 0, 0, x3, 7*FLEN/8, x4, x1, x2,FLREG) + +inst_8:// rs1==f23, rd==x23,fs1 == 0 and fe1 == 0x400 and fm1 == 0x4000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f23; dest:x23; op1val:0x4004000000000000; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x23, f23, dyn, 0, 0, x3, 8*FLEN/8, x4, x1, x2,FLREG) + +inst_9:// rs1==f22, rd==x22,fs1 == 0 and fe1 == 0x400 and fm1 == 0x6000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f22; dest:x22; op1val:0x4006000000000000; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x22, f22, dyn, 0, 0, x3, 9*FLEN/8, x4, x1, x2,FLREG) + +inst_10:// rs1==f21, rd==x21,fs1 == 0 and fe1 == 0x43c and fm1 == 0xb72eb13dc494a and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f21; dest:x21; op1val:0x43cb72eb13dc494a; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x21, f21, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2,FLREG) + +inst_11:// rs1==f20, rd==x20,fs1 == 0 and fe1 == 0x43e and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f20; dest:x20; op1val:0x43e0000000000000; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x20, f20, dyn, 0, 0, x3, 11*FLEN/8, x4, x1, x2,FLREG) + +inst_12:// rs1==f19, rd==x19,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f19; dest:x19; op1val:0x7ff0000000000000; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x19, f19, dyn, 0, 0, x3, 12*FLEN/8, x4, x1, x2,FLREG) + +inst_13:// rs1==f18, rd==x18,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f18; dest:x18; op1val:0x7ff0000000000001; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x18, f18, dyn, 0, 0, x3, 13*FLEN/8, x4, x1, x2,FLREG) + +inst_14:// rs1==f17, rd==x17,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f17; dest:x17; op1val:0x7ff8000000000001; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x17, f17, dyn, 0, 0, x3, 14*FLEN/8, x4, x1, x2,FLREG) + +inst_15:// rs1==f16, rd==x16,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f16; dest:x16; op1val:0x8000000000000000; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x16, f16, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2,FLREG) + +inst_16:// rs1==f15, rd==x15,fs1 == 1 and fe1 == 0x3fd and fm1 == 0xb008d57e19f88 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f15; dest:x15; op1val:0xbfdb008d57e19f88; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x15, f15, dyn, 0, 0, x3, 16*FLEN/8, x4, x1, x2,FLREG) + +inst_17:// rs1==f14, rd==x14,fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f14; dest:x14; op1val:0xbf80000000000000; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x14, f14, dyn, 0, 0, x3, 17*FLEN/8, x4, x1, x2,FLREG) + +inst_18:// rs1==f13, rd==x13,fs1 == 1 and fe1 == 0x400 and fm1 == 0x6000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f13; dest:x13; op1val:0xc006000000000000; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x13, f13, dyn, 0, 0, x3, 18*FLEN/8, x4, x1, x2,FLREG) + +inst_19:// rs1==f12, rd==x12,fs1 == 1 and fe1 == 0x400 and fm1 == 0x4000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f12; dest:x12; op1val:0xc004000000000000; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x12, f12, dyn, 0, 0, x3, 19*FLEN/8, x4, x1, x2,FLREG) + +inst_20:// rs1==f11, rd==x11,fs1 == 1 and fe1 == 0x400 and fm1 == 0x2000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f11; dest:x11; op1val:0xc002000000000000; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x11, f11, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2,FLREG) + +inst_21:// rs1==f10, rd==x10,fs1 == 1 and fe1 == 0x400 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f10; dest:x10; op1val:0xc000000000000000; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x10, f10, dyn, 0, 0, x3, 21*FLEN/8, x4, x1, x2,FLREG) + +inst_22:// rs1==f9, rd==x9,fs1 == 1 and fe1 == 0x3ff and fm1 == 0xc000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f9; dest:x9; op1val:0xbffc000000000000; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x9, f9, dyn, 0, 0, x3, 22*FLEN/8, x4, x1, x2,FLREG) + +inst_23:// rs1==f8, rd==x8,fs1 == 1 and fe1 == 0x3ff and fm1 == 0x8000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f8; dest:x8; op1val:0xbff8000000000000; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x8, f8, dyn, 0, 0, x3, 23*FLEN/8, x4, x1, x2,FLREG) +RVTEST_VALBASEUPD(x8,test_dataset_1) + +inst_24:// rs1==f7, rd==x7,fs1 == 1 and fe1 == 0x3ff and fm1 == 0x4000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f7; dest:x7; op1val:0xbff4000000000000; valaddr_reg:x8; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x7, f7, dyn, 0, 0, x8, 0*FLEN/8, x9, x1, x2,FLREG) + +inst_25:// rs1==f6, rd==x6,fs1 == 1 and fe1 == 0x43d and fm1 == 0x967a4ae26514c and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f6; dest:x6; op1val:0xc3d967a4ae26514c; valaddr_reg:x8; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x6, f6, dyn, 0, 0, x8, 1*FLEN/8, x9, x1, x2,FLREG) + +inst_26:// rs1==f5, rd==x5,fs1 == 1 and fe1 == 0x43e and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f5; dest:x5; op1val:0xc3e0000000000000; valaddr_reg:x8; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x5, f5, dyn, 0, 0, x8, 2*FLEN/8, x9, x1, x6,FLREG) +RVTEST_SIGBASE(x5,signature_x5_0) + +inst_27:// rs1==f4, rd==x4,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f4; dest:x4; op1val:0xfff0000000000000; valaddr_reg:x8; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x4, f4, dyn, 0, 0, x8, 3*FLEN/8, x9, x5, x6,FLREG) + +inst_28:// rs1==f3, rd==x3, +/* opcode: fcvtmod.w.d ; op1:f3; dest:x3; op1val:0x0; valaddr_reg:x8; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x3, f3, dyn, 0, 0, x8, 4*FLEN/8, x9, x5, x6,FLREG) + +inst_29:// rs1==f2, rd==x2, +/* opcode: fcvtmod.w.d ; op1:f2; dest:x2; op1val:0x0; valaddr_reg:x8; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x2, f2, dyn, 0, 0, x8, 5*FLEN/8, x9, x5, x6,FLREG) + +inst_30:// rs1==f1, rd==x1, +/* opcode: fcvtmod.w.d ; op1:f1; dest:x1; op1val:0x0; valaddr_reg:x8; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x1, f1, dyn, 0, 0, x8, 6*FLEN/8, x9, x5, x6,FLREG) + +inst_31:// rs1==f0, rd==x0, +/* opcode: fcvtmod.w.d ; op1:f0; dest:x0; op1val:0x0; valaddr_reg:x8; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x0, f0, dyn, 0, 0, x8, 7*FLEN/8, x9, x5, x6,FLREG) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4603321956570324474,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4608308318706860032,64,FLEN) +NAN_BOXED(4609434218613702656,64,FLEN) +NAN_BOXED(4610560118520545280,64,FLEN) +NAN_BOXED(4611686018427387904,64,FLEN) +NAN_BOXED(4612248968380809216,64,FLEN) +NAN_BOXED(4612811918334230528,64,FLEN) +NAN_BOXED(4613374868287651840,64,FLEN) +NAN_BOXED(4885124574789519690,64,FLEN) +NAN_BOXED(4890909195324358656,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(13824644088208662408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(13836746905142427648,64,FLEN) +NAN_BOXED(13836183955189006336,64,FLEN) +NAN_BOXED(13835621005235585024,64,FLEN) +NAN_BOXED(13835058055282163712,64,FLEN) +NAN_BOXED(13833932155375321088,64,FLEN) +NAN_BOXED(13832806255468478464,64,FLEN) +test_dataset_1: +NAN_BOXED(13831680355561635840,64,FLEN) +NAN_BOXED(14112424864336204108,64,FLEN) +NAN_BOXED(14114281232179134464,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 54*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x5_0: + .fill 10*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b29-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b29-01.S new file mode 100644 index 000000000..bcfc08c5d --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fcvtmod.w.d_b29-01.S @@ -0,0 +1,663 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:52 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fcvtmod.w.d.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fcvtmod.w.d instruction of the RISC-V RV64FD_Zicsr_Zfa extension for the fcvtmod.w.d_b29 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fcvtmod.w.d_b29) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1==f31, rd==x31,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b8698 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fc08574923b8698; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2,FLREG) + +inst_1:// rs1==f30, rd==x30,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b8698 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f30; dest:x30; op1val:0x3fc08574923b8698; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x30, f30, dyn, 32, 0, x3, 1*FLEN/8, x4, x1, x2,FLREG) + +inst_2:// rs1==f29, rd==x29,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b8698 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f29; dest:x29; op1val:0x3fc08574923b8698; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x29, f29, dyn, 64, 0, x3, 2*FLEN/8, x4, x1, x2,FLREG) + +inst_3:// rs1==f28, rd==x28,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b8698 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f28; dest:x28; op1val:0x3fc08574923b8698; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x28, f28, dyn, 96, 0, x3, 3*FLEN/8, x4, x1, x2,FLREG) + +inst_4:// rs1==f27, rd==x27,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b8698 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f27; dest:x27; op1val:0x3fc08574923b8698; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x27, f27, dyn, 128, 0, x3, 4*FLEN/8, x4, x1, x2,FLREG) + +inst_5:// rs1==f26, rd==x26,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b8699 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f26; dest:x26; op1val:0x3fc08574923b8699; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x26, f26, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2,FLREG) + +inst_6:// rs1==f25, rd==x25,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b8699 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f25; dest:x25; op1val:0x3fc08574923b8699; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x25, f25, dyn, 32, 0, x3, 6*FLEN/8, x4, x1, x2,FLREG) + +inst_7:// rs1==f24, rd==x24,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b8699 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f24; dest:x24; op1val:0x3fc08574923b8699; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x24, f24, dyn, 64, 0, x3, 7*FLEN/8, x4, x1, x2,FLREG) + +inst_8:// rs1==f23, rd==x23,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b8699 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f23; dest:x23; op1val:0x3fc08574923b8699; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x23, f23, dyn, 96, 0, x3, 8*FLEN/8, x4, x1, x2,FLREG) + +inst_9:// rs1==f22, rd==x22,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b8699 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f22; dest:x22; op1val:0x3fc08574923b8699; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x22, f22, dyn, 128, 0, x3, 9*FLEN/8, x4, x1, x2,FLREG) + +inst_10:// rs1==f21, rd==x21,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869a and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f21; dest:x21; op1val:0x3fc08574923b869a; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x21, f21, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2,FLREG) + +inst_11:// rs1==f20, rd==x20,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869a and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f20; dest:x20; op1val:0x3fc08574923b869a; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x20, f20, dyn, 32, 0, x3, 11*FLEN/8, x4, x1, x2,FLREG) + +inst_12:// rs1==f19, rd==x19,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869a and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f19; dest:x19; op1val:0x3fc08574923b869a; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x19, f19, dyn, 64, 0, x3, 12*FLEN/8, x4, x1, x2,FLREG) + +inst_13:// rs1==f18, rd==x18,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869a and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f18; dest:x18; op1val:0x3fc08574923b869a; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x18, f18, dyn, 96, 0, x3, 13*FLEN/8, x4, x1, x2,FLREG) + +inst_14:// rs1==f17, rd==x17,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869a and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f17; dest:x17; op1val:0x3fc08574923b869a; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x17, f17, dyn, 128, 0, x3, 14*FLEN/8, x4, x1, x2,FLREG) + +inst_15:// rs1==f16, rd==x16,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869b and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f16; dest:x16; op1val:0x3fc08574923b869b; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x16, f16, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2,FLREG) + +inst_16:// rs1==f15, rd==x15,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869b and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f15; dest:x15; op1val:0x3fc08574923b869b; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x15, f15, dyn, 32, 0, x3, 16*FLEN/8, x4, x1, x2,FLREG) + +inst_17:// rs1==f14, rd==x14,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869b and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f14; dest:x14; op1val:0x3fc08574923b869b; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x14, f14, dyn, 64, 0, x3, 17*FLEN/8, x4, x1, x2,FLREG) + +inst_18:// rs1==f13, rd==x13,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869b and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f13; dest:x13; op1val:0x3fc08574923b869b; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x13, f13, dyn, 96, 0, x3, 18*FLEN/8, x4, x1, x2,FLREG) + +inst_19:// rs1==f12, rd==x12,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869b and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f12; dest:x12; op1val:0x3fc08574923b869b; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x12, f12, dyn, 128, 0, x3, 19*FLEN/8, x4, x1, x2,FLREG) + +inst_20:// rs1==f11, rd==x11,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869c and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f11; dest:x11; op1val:0x3fc08574923b869c; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x11, f11, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2,FLREG) + +inst_21:// rs1==f10, rd==x10,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869c and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f10; dest:x10; op1val:0x3fc08574923b869c; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x10, f10, dyn, 32, 0, x3, 21*FLEN/8, x4, x1, x2,FLREG) + +inst_22:// rs1==f9, rd==x9,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869c and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f9; dest:x9; op1val:0x3fc08574923b869c; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x9, f9, dyn, 64, 0, x3, 22*FLEN/8, x4, x1, x2,FLREG) + +inst_23:// rs1==f8, rd==x8,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869c and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f8; dest:x8; op1val:0x3fc08574923b869c; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x8, f8, dyn, 96, 0, x3, 23*FLEN/8, x4, x1, x2,FLREG) +RVTEST_VALBASEUPD(x8,test_dataset_1) + +inst_24:// rs1==f7, rd==x7,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869c and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f7; dest:x7; op1val:0x3fc08574923b869c; valaddr_reg:x8; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x7, f7, dyn, 128, 0, x8, 0*FLEN/8, x9, x1, x2,FLREG) + +inst_25:// rs1==f6, rd==x6,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869d and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f6; dest:x6; op1val:0x3fc08574923b869d; valaddr_reg:x8; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x6, f6, dyn, 0, 0, x8, 1*FLEN/8, x9, x1, x2,FLREG) + +inst_26:// rs1==f5, rd==x5,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869d and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f5; dest:x5; op1val:0x3fc08574923b869d; valaddr_reg:x8; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x5, f5, dyn, 32, 0, x8, 2*FLEN/8, x9, x1, x6,FLREG) +RVTEST_SIGBASE(x5,signature_x5_0) + +inst_27:// rs1==f4, rd==x4,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869d and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f4; dest:x4; op1val:0x3fc08574923b869d; valaddr_reg:x8; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x4, f4, dyn, 64, 0, x8, 3*FLEN/8, x9, x5, x6,FLREG) + +inst_28:// rs1==f3, rd==x3,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869d and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f3; dest:x3; op1val:0x3fc08574923b869d; valaddr_reg:x8; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x3, f3, dyn, 96, 0, x8, 4*FLEN/8, x9, x5, x6,FLREG) + +inst_29:// rs1==f2, rd==x2,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869d and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f2; dest:x2; op1val:0x3fc08574923b869d; valaddr_reg:x8; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x2, f2, dyn, 128, 0, x8, 5*FLEN/8, x9, x5, x6,FLREG) + +inst_30:// rs1==f1, rd==x1,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f1; dest:x1; op1val:0x3fc08574923b869e; valaddr_reg:x8; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x1, f1, dyn, 0, 0, x8, 6*FLEN/8, x9, x5, x6,FLREG) + +inst_31:// rs1==f0, rd==x0,fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f0; dest:x0; op1val:0x3fc08574923b869e; valaddr_reg:x8; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x0, f0, dyn, 32, 0, x8, 7*FLEN/8, x9, x5, x6,FLREG) + +inst_32:// fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fc08574923b869e; valaddr_reg:x8; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 8*FLEN/8, x9, x5, x6,FLREG) + +inst_33:// fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fc08574923b869e; valaddr_reg:x8; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 9*FLEN/8, x9, x5, x6,FLREG) + +inst_34:// fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fc08574923b869e; valaddr_reg:x8; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 10*FLEN/8, x9, x5, x6,FLREG) + +inst_35:// fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869f and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fc08574923b869f; valaddr_reg:x8; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 11*FLEN/8, x9, x5, x6,FLREG) + +inst_36:// fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869f and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fc08574923b869f; valaddr_reg:x8; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 12*FLEN/8, x9, x5, x6,FLREG) + +inst_37:// fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869f and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fc08574923b869f; valaddr_reg:x8; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 13*FLEN/8, x9, x5, x6,FLREG) + +inst_38:// fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869f and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fc08574923b869f; valaddr_reg:x8; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 14*FLEN/8, x9, x5, x6,FLREG) + +inst_39:// fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869f and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fc08574923b869f; valaddr_reg:x8; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 15*FLEN/8, x9, x5, x6,FLREG) + +inst_40:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b8698 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b8698; valaddr_reg:x8; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 16*FLEN/8, x9, x5, x6,FLREG) + +inst_41:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b8698 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b8698; valaddr_reg:x8; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 17*FLEN/8, x9, x5, x6,FLREG) + +inst_42:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b8698 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b8698; valaddr_reg:x8; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 18*FLEN/8, x9, x5, x6,FLREG) + +inst_43:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b8698 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b8698; valaddr_reg:x8; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 19*FLEN/8, x9, x5, x6,FLREG) + +inst_44:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b8698 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b8698; valaddr_reg:x8; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 20*FLEN/8, x9, x5, x6,FLREG) + +inst_45:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b8699 and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b8699; valaddr_reg:x8; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 21*FLEN/8, x9, x5, x6,FLREG) + +inst_46:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b8699 and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b8699; valaddr_reg:x8; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 22*FLEN/8, x9, x5, x6,FLREG) + +inst_47:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b8699 and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b8699; valaddr_reg:x8; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 23*FLEN/8, x9, x5, x6,FLREG) + +inst_48:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b8699 and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b8699; valaddr_reg:x8; +val_offset:24*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 24*FLEN/8, x9, x5, x6,FLREG) + +inst_49:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b8699 and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b8699; valaddr_reg:x8; +val_offset:25*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 25*FLEN/8, x9, x5, x6,FLREG) + +inst_50:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869a and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869a; valaddr_reg:x8; +val_offset:26*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 26*FLEN/8, x9, x5, x6,FLREG) + +inst_51:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869a and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869a; valaddr_reg:x8; +val_offset:27*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 27*FLEN/8, x9, x5, x6,FLREG) + +inst_52:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869a and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869a; valaddr_reg:x8; +val_offset:28*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 28*FLEN/8, x9, x5, x6,FLREG) + +inst_53:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869a and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869a; valaddr_reg:x8; +val_offset:29*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 29*FLEN/8, x9, x5, x6,FLREG) + +inst_54:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869a and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869a; valaddr_reg:x8; +val_offset:30*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 30*FLEN/8, x9, x5, x6,FLREG) + +inst_55:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869b and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869b; valaddr_reg:x8; +val_offset:31*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 31*FLEN/8, x9, x5, x6,FLREG) + +inst_56:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869b and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869b; valaddr_reg:x8; +val_offset:32*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 32*FLEN/8, x9, x5, x6,FLREG) + +inst_57:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869b and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869b; valaddr_reg:x8; +val_offset:33*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 33*FLEN/8, x9, x5, x6,FLREG) + +inst_58:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869b and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869b; valaddr_reg:x8; +val_offset:34*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 34*FLEN/8, x9, x5, x6,FLREG) + +inst_59:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869b and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869b; valaddr_reg:x8; +val_offset:35*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 35*FLEN/8, x9, x5, x6,FLREG) + +inst_60:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869c and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869c; valaddr_reg:x8; +val_offset:36*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 36*FLEN/8, x9, x5, x6,FLREG) + +inst_61:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869c and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869c; valaddr_reg:x8; +val_offset:37*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 37*FLEN/8, x9, x5, x6,FLREG) + +inst_62:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869c and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869c; valaddr_reg:x8; +val_offset:38*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 38*FLEN/8, x9, x5, x6,FLREG) + +inst_63:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869c and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869c; valaddr_reg:x8; +val_offset:39*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 39*FLEN/8, x9, x5, x6,FLREG) + +inst_64:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869c and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869c; valaddr_reg:x8; +val_offset:40*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 40*FLEN/8, x9, x5, x6,FLREG) + +inst_65:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869d and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869d; valaddr_reg:x8; +val_offset:41*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 41*FLEN/8, x9, x5, x6,FLREG) + +inst_66:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869d and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869d; valaddr_reg:x8; +val_offset:42*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 42*FLEN/8, x9, x5, x6,FLREG) + +inst_67:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869d and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869d; valaddr_reg:x8; +val_offset:43*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 43*FLEN/8, x9, x5, x6,FLREG) + +inst_68:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869d and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869d; valaddr_reg:x8; +val_offset:44*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 44*FLEN/8, x9, x5, x6,FLREG) + +inst_69:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869d and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869d; valaddr_reg:x8; +val_offset:45*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 45*FLEN/8, x9, x5, x6,FLREG) + +inst_70:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869e; valaddr_reg:x8; +val_offset:46*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 46*FLEN/8, x9, x5, x6,FLREG) + +inst_71:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869e; valaddr_reg:x8; +val_offset:47*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 47*FLEN/8, x9, x5, x6,FLREG) + +inst_72:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869e; valaddr_reg:x8; +val_offset:48*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 48*FLEN/8, x9, x5, x6,FLREG) + +inst_73:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869e; valaddr_reg:x8; +val_offset:49*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 49*FLEN/8, x9, x5, x6,FLREG) + +inst_74:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869e; valaddr_reg:x8; +val_offset:50*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 50*FLEN/8, x9, x5, x6,FLREG) + +inst_75:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869f and fcsr == 0x0 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869f; valaddr_reg:x8; +val_offset:51*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:0*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 0, 0, x8, 51*FLEN/8, x9, x5, x6,FLREG) + +inst_76:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869f and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869f; valaddr_reg:x8; +val_offset:52*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 52*FLEN/8, x9, x5, x6,FLREG) + +inst_77:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869f and fcsr == 0x40 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869f; valaddr_reg:x8; +val_offset:53*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:64*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 64, 0, x8, 53*FLEN/8, x9, x5, x6,FLREG) + +inst_78:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869f and fcsr == 0x60 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869f; valaddr_reg:x8; +val_offset:54*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:96*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 96, 0, x8, 54*FLEN/8, x9, x5, x6,FLREG) + +inst_79:// fs1 == 1 and fe1 == 0x3fc and fm1 == 0x08574923b869f and fcsr == 0x80 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0xbfc08574923b869f; valaddr_reg:x8; +val_offset:55*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:128*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 128, 0, x8, 55*FLEN/8, x9, x5, x6,FLREG) + +inst_80:// fs1 == 0 and fe1 == 0x3fc and fm1 == 0x08574923b869e and fcsr == 0x20 and rm_val == 7 +/* opcode: fcvtmod.w.d ; op1:f31; dest:x31; op1val:0x3fc08574923b869e; valaddr_reg:x8; +val_offset:56*FLEN/8; rmval:dyn; correctval:??; testreg:x6; +fcsr_val:32*/ +TEST_FPID_OP(fcvtmod.w.d, x31, f31, dyn, 32, 0, x8, 56*FLEN/8, x9, x5, x6,FLREG) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(4593818355633981080,64,FLEN) +NAN_BOXED(4593818355633981080,64,FLEN) +NAN_BOXED(4593818355633981080,64,FLEN) +NAN_BOXED(4593818355633981080,64,FLEN) +NAN_BOXED(4593818355633981080,64,FLEN) +NAN_BOXED(4593818355633981081,64,FLEN) +NAN_BOXED(4593818355633981081,64,FLEN) +NAN_BOXED(4593818355633981081,64,FLEN) +NAN_BOXED(4593818355633981081,64,FLEN) +NAN_BOXED(4593818355633981081,64,FLEN) +NAN_BOXED(4593818355633981082,64,FLEN) +NAN_BOXED(4593818355633981082,64,FLEN) +NAN_BOXED(4593818355633981082,64,FLEN) +NAN_BOXED(4593818355633981082,64,FLEN) +NAN_BOXED(4593818355633981082,64,FLEN) +NAN_BOXED(4593818355633981083,64,FLEN) +NAN_BOXED(4593818355633981083,64,FLEN) +NAN_BOXED(4593818355633981083,64,FLEN) +NAN_BOXED(4593818355633981083,64,FLEN) +NAN_BOXED(4593818355633981083,64,FLEN) +NAN_BOXED(4593818355633981084,64,FLEN) +NAN_BOXED(4593818355633981084,64,FLEN) +NAN_BOXED(4593818355633981084,64,FLEN) +NAN_BOXED(4593818355633981084,64,FLEN) +test_dataset_1: +NAN_BOXED(4593818355633981084,64,FLEN) +NAN_BOXED(4593818355633981085,64,FLEN) +NAN_BOXED(4593818355633981085,64,FLEN) +NAN_BOXED(4593818355633981085,64,FLEN) +NAN_BOXED(4593818355633981085,64,FLEN) +NAN_BOXED(4593818355633981085,64,FLEN) +NAN_BOXED(4593818355633981086,64,FLEN) +NAN_BOXED(4593818355633981086,64,FLEN) +NAN_BOXED(4593818355633981086,64,FLEN) +NAN_BOXED(4593818355633981086,64,FLEN) +NAN_BOXED(4593818355633981086,64,FLEN) +NAN_BOXED(4593818355633981087,64,FLEN) +NAN_BOXED(4593818355633981087,64,FLEN) +NAN_BOXED(4593818355633981087,64,FLEN) +NAN_BOXED(4593818355633981087,64,FLEN) +NAN_BOXED(4593818355633981087,64,FLEN) +NAN_BOXED(13817190392488756888,64,FLEN) +NAN_BOXED(13817190392488756888,64,FLEN) +NAN_BOXED(13817190392488756888,64,FLEN) +NAN_BOXED(13817190392488756888,64,FLEN) +NAN_BOXED(13817190392488756888,64,FLEN) +NAN_BOXED(13817190392488756889,64,FLEN) +NAN_BOXED(13817190392488756889,64,FLEN) +NAN_BOXED(13817190392488756889,64,FLEN) +NAN_BOXED(13817190392488756889,64,FLEN) +NAN_BOXED(13817190392488756889,64,FLEN) +NAN_BOXED(13817190392488756890,64,FLEN) +NAN_BOXED(13817190392488756890,64,FLEN) +NAN_BOXED(13817190392488756890,64,FLEN) +NAN_BOXED(13817190392488756890,64,FLEN) +NAN_BOXED(13817190392488756890,64,FLEN) +NAN_BOXED(13817190392488756891,64,FLEN) +NAN_BOXED(13817190392488756891,64,FLEN) +NAN_BOXED(13817190392488756891,64,FLEN) +NAN_BOXED(13817190392488756891,64,FLEN) +NAN_BOXED(13817190392488756891,64,FLEN) +NAN_BOXED(13817190392488756892,64,FLEN) +NAN_BOXED(13817190392488756892,64,FLEN) +NAN_BOXED(13817190392488756892,64,FLEN) +NAN_BOXED(13817190392488756892,64,FLEN) +NAN_BOXED(13817190392488756892,64,FLEN) +NAN_BOXED(13817190392488756893,64,FLEN) +NAN_BOXED(13817190392488756893,64,FLEN) +NAN_BOXED(13817190392488756893,64,FLEN) +NAN_BOXED(13817190392488756893,64,FLEN) +NAN_BOXED(13817190392488756893,64,FLEN) +NAN_BOXED(13817190392488756894,64,FLEN) +NAN_BOXED(13817190392488756894,64,FLEN) +NAN_BOXED(13817190392488756894,64,FLEN) +NAN_BOXED(13817190392488756894,64,FLEN) +NAN_BOXED(13817190392488756894,64,FLEN) +NAN_BOXED(13817190392488756895,64,FLEN) +NAN_BOXED(13817190392488756895,64,FLEN) +NAN_BOXED(13817190392488756895,64,FLEN) +NAN_BOXED(13817190392488756895,64,FLEN) +NAN_BOXED(13817190392488756895,64,FLEN) +NAN_BOXED(4593818355633981086,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 54*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x5_0: + .fill 108*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fleq.d_b1-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fleq.d_b1-01.S new file mode 100644 index 000000000..34718bf0e --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fleq.d_b1-01.S @@ -0,0 +1,4740 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:42:05 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fleq.d.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fleq.d instruction of the RISC-V RV64FD_Zicsr_Zfa extension for the fleq.d_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fleq.d_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 != rs2, rs1==f31, rs2==f30, rd==x31,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x0; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 == rs2, rs1==f29, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f29; op2:f29; dest:x30; op1val:0x0; op2val:0x0; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x30, f29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f30, rs2==f31, rd==x29,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f30; op2:f31; dest:x29; op1val:0x0; op2val:0x1; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x29, f30, f31, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f28; op2:f27; dest:x28; op1val:0x0; op2val:0x8000000000000001; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f27; op2:f28; dest:x27; op1val:0x0; op2val:0x2; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f26; op2:f25; dest:x26; op1val:0x0; op2val:0x8000000000000002; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f25; op2:f26; dest:x25; op1val:0x0; op2val:0xfffffffffffff; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f24; op2:f23; dest:x24; op1val:0x0; op2val:0x800fffffffffffff; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f23; op2:f24; dest:x23; op1val:0x0; op2val:0x10000000000000; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f22; op2:f21; dest:x22; op1val:0x0; op2val:0x8010000000000000; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f21; op2:f22; dest:x21; op1val:0x0; op2val:0x10000000000002; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f20; op2:f19; dest:x20; op1val:0x0; op2val:0x8010000000000002; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f19; op2:f20; dest:x19; op1val:0x0; op2val:0x7fefffffffffffff; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f18; op2:f17; dest:x18; op1val:0x0; op2val:0xffefffffffffffff; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f17; op2:f18; dest:x17; op1val:0x0; op2val:0x7ff0000000000000; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f16; op2:f15; dest:x16; op1val:0x0; op2val:0xfff0000000000000; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f15; op2:f16; dest:x15; op1val:0x0; op2val:0x7ff8000000000000; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f14; op2:f13; dest:x14; op1val:0x0; op2val:0xfff8000000000000; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f13; op2:f14; dest:x13; op1val:0x0; op2val:0x7ff8000000000001; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f12; op2:f11; dest:x12; op1val:0x0; op2val:0xfff8000000000001; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f11; op2:f12; dest:x11; op1val:0x0; op2val:0x7ff0000000000001; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f10; op2:f9; dest:x10; op1val:0x0; op2val:0xfff0000000000001; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f9; op2:f10; dest:x9; op1val:0x0; op2val:0x3ff0000000000000; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f8; op2:f7; dest:x8; op1val:0x0; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f7; op2:f8; dest:x7; op1val:0x8000000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f6; op2:f5; dest:x6; op1val:0x8000000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f5; op2:f6; dest:x5; op1val:0x8000000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f4; op2:f3; dest:x4; op1val:0x8000000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f3; op2:f4; dest:x3; op1val:0x8000000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f2; op2:f1; dest:x2; op1val:0x8000000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f1; op2:f2; dest:x1; op1val:0x8000000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f0; op2:f31; dest:x31; op1val:0x8000000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f0; dest:x31; op1val:0x8000000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x0; op1val:0x8000000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) + +inst_34:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:22*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 22*FLEN/8, x10, x6, x7) + +inst_35:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:24*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 24*FLEN/8, x10, x6, x7) + +inst_36:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:26*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 26*FLEN/8, x10, x6, x7) + +inst_37:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:28*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 28*FLEN/8, x10, x6, x7) + +inst_38:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:30*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 30*FLEN/8, x10, x6, x7) + +inst_39:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:32*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 32*FLEN/8, x10, x6, x7) + +inst_40:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:34*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 34*FLEN/8, x10, x6, x7) + +inst_41:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:36*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 36*FLEN/8, x10, x6, x7) + +inst_42:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:38*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 38*FLEN/8, x10, x6, x7) + +inst_43:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:40*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 40*FLEN/8, x10, x6, x7) + +inst_44:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:42*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 42*FLEN/8, x10, x6, x7) + +inst_45:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:44*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 44*FLEN/8, x10, x6, x7) + +inst_46:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:46*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 46*FLEN/8, x10, x6, x7) + +inst_47:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:48*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 48*FLEN/8, x10, x6, x7) + +inst_48:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x0; +valaddr_reg:x9; val_offset:50*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 50*FLEN/8, x10, x6, x7) + +inst_49:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:52*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 52*FLEN/8, x10, x6, x7) + +inst_50:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x1; +valaddr_reg:x9; val_offset:54*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 54*FLEN/8, x10, x6, x7) + +inst_51:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:56*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 56*FLEN/8, x10, x6, x7) + +inst_52:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x2; +valaddr_reg:x9; val_offset:58*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 58*FLEN/8, x10, x6, x7) + +inst_53:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:60*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 60*FLEN/8, x10, x6, x7) + +inst_54:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:62*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 62*FLEN/8, x10, x6, x7) + +inst_55:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:64*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 64*FLEN/8, x10, x6, x7) + +inst_56:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:66*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 66*FLEN/8, x10, x6, x7) + +inst_57:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:68*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 68*FLEN/8, x10, x6, x7) + +inst_58:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:70*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 70*FLEN/8, x10, x6, x7) + +inst_59:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:72*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 72*FLEN/8, x10, x6, x7) + +inst_60:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:74*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 74*FLEN/8, x10, x6, x7) + +inst_61:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:76*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 76*FLEN/8, x10, x6, x7) + +inst_62:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:78*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 78*FLEN/8, x10, x6, x7) + +inst_63:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:80*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 80*FLEN/8, x10, x6, x7) + +inst_64:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:82*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 82*FLEN/8, x10, x6, x7) + +inst_65:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:84*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 84*FLEN/8, x10, x6, x7) + +inst_66:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:86*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 86*FLEN/8, x10, x6, x7) + +inst_67:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:88*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 88*FLEN/8, x10, x6, x7) + +inst_68:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:90*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 90*FLEN/8, x10, x6, x7) + +inst_69:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:92*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 92*FLEN/8, x10, x6, x7) + +inst_70:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:94*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 94*FLEN/8, x10, x6, x7) + +inst_71:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:96*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 96*FLEN/8, x10, x6, x7) + +inst_72:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x0; +valaddr_reg:x9; val_offset:98*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 98*FLEN/8, x10, x6, x7) + +inst_73:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 100*FLEN/8, x10, x6, x7) + +inst_74:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x1; +valaddr_reg:x9; val_offset:102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 102*FLEN/8, x10, x6, x7) + +inst_75:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 104*FLEN/8, x10, x6, x7) + +inst_76:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x2; +valaddr_reg:x9; val_offset:106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 106*FLEN/8, x10, x6, x7) + +inst_77:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 108*FLEN/8, x10, x6, x7) + +inst_78:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 110*FLEN/8, x10, x6, x7) + +inst_79:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 112*FLEN/8, x10, x6, x7) + +inst_80:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 114*FLEN/8, x10, x6, x7) + +inst_81:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 116*FLEN/8, x10, x6, x7) + +inst_82:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 118*FLEN/8, x10, x6, x7) + +inst_83:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 120*FLEN/8, x10, x6, x7) + +inst_84:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 122*FLEN/8, x10, x6, x7) + +inst_85:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 124*FLEN/8, x10, x6, x7) + +inst_86:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 126*FLEN/8, x10, x6, x7) + +inst_87:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 128*FLEN/8, x10, x6, x7) + +inst_88:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 130*FLEN/8, x10, x6, x7) + +inst_89:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 132*FLEN/8, x10, x6, x7) + +inst_90:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 134*FLEN/8, x10, x6, x7) + +inst_91:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 136*FLEN/8, x10, x6, x7) + +inst_92:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 138*FLEN/8, x10, x6, x7) + +inst_93:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 140*FLEN/8, x10, x6, x7) + +inst_94:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 142*FLEN/8, x10, x6, x7) + +inst_95:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 144*FLEN/8, x10, x6, x7) + +inst_96:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x0; +valaddr_reg:x9; val_offset:146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 146*FLEN/8, x10, x6, x7) + +inst_97:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 148*FLEN/8, x10, x6, x7) + +inst_98:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x1; +valaddr_reg:x9; val_offset:150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 150*FLEN/8, x10, x6, x7) + +inst_99:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 152*FLEN/8, x10, x6, x7) + +inst_100:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x2; +valaddr_reg:x9; val_offset:154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 154*FLEN/8, x10, x6, x7) + +inst_101:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 156*FLEN/8, x10, x6, x7) + +inst_102:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 158*FLEN/8, x10, x6, x7) + +inst_103:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 160*FLEN/8, x10, x6, x7) + +inst_104:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 162*FLEN/8, x10, x6, x7) + +inst_105:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 164*FLEN/8, x10, x6, x7) + +inst_106:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 166*FLEN/8, x10, x6, x7) + +inst_107:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 168*FLEN/8, x10, x6, x7) + +inst_108:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 170*FLEN/8, x10, x6, x7) + +inst_109:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 172*FLEN/8, x10, x6, x7) + +inst_110:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 174*FLEN/8, x10, x6, x7) + +inst_111:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 176*FLEN/8, x10, x6, x7) + +inst_112:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 178*FLEN/8, x10, x6, x7) + +inst_113:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 180*FLEN/8, x10, x6, x7) + +inst_114:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 182*FLEN/8, x10, x6, x7) + +inst_115:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 184*FLEN/8, x10, x6, x7) + +inst_116:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 186*FLEN/8, x10, x6, x7) + +inst_117:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 188*FLEN/8, x10, x6, x7) + +inst_118:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 190*FLEN/8, x10, x6, x7) + +inst_119:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 192*FLEN/8, x10, x6, x7) + +inst_120:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x0; +valaddr_reg:x9; val_offset:194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 194*FLEN/8, x10, x6, x7) + +inst_121:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 196*FLEN/8, x10, x6, x7) + +inst_122:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x1; +valaddr_reg:x9; val_offset:198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 198*FLEN/8, x10, x6, x7) + +inst_123:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 200*FLEN/8, x10, x6, x7) + +inst_124:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x2; +valaddr_reg:x9; val_offset:202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 202*FLEN/8, x10, x6, x7) + +inst_125:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 204*FLEN/8, x10, x6, x7) + +inst_126:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 206*FLEN/8, x10, x6, x7) + +inst_127:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 208*FLEN/8, x10, x6, x7) + +inst_128:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 210*FLEN/8, x10, x6, x7) + +inst_129:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 212*FLEN/8, x10, x6, x7) + +inst_130:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 214*FLEN/8, x10, x6, x7) + +inst_131:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 216*FLEN/8, x10, x6, x7) + +inst_132:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 218*FLEN/8, x10, x6, x7) + +inst_133:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 220*FLEN/8, x10, x6, x7) + +inst_134:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 222*FLEN/8, x10, x6, x7) + +inst_135:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 224*FLEN/8, x10, x6, x7) + +inst_136:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 226*FLEN/8, x10, x6, x7) + +inst_137:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 228*FLEN/8, x10, x6, x7) + +inst_138:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 230*FLEN/8, x10, x6, x7) + +inst_139:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 232*FLEN/8, x10, x6, x7) + +inst_140:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 234*FLEN/8, x10, x6, x7) + +inst_141:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 236*FLEN/8, x10, x6, x7) + +inst_142:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 238*FLEN/8, x10, x6, x7) + +inst_143:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 240*FLEN/8, x10, x6, x7) + +inst_144:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x0; +valaddr_reg:x9; val_offset:242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 242*FLEN/8, x10, x6, x7) + +inst_145:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 244*FLEN/8, x10, x6, x7) + +inst_146:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x1; +valaddr_reg:x9; val_offset:246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 246*FLEN/8, x10, x6, x7) + +inst_147:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 248*FLEN/8, x10, x6, x7) + +inst_148:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x2; +valaddr_reg:x9; val_offset:250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 250*FLEN/8, x10, x6, x7) + +inst_149:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 252*FLEN/8, x10, x6, x7) + +inst_150:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 254*FLEN/8, x10, x6, x7) + +inst_151:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 256*FLEN/8, x10, x6, x7) + +inst_152:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 258*FLEN/8, x10, x6, x7) + +inst_153:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 260*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_1) + +inst_154:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 262*FLEN/8, x10, x6, x7) + +inst_155:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 264*FLEN/8, x10, x6, x7) + +inst_156:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 266*FLEN/8, x10, x6, x7) + +inst_157:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 268*FLEN/8, x10, x6, x7) + +inst_158:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 270*FLEN/8, x10, x6, x7) + +inst_159:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 272*FLEN/8, x10, x6, x7) + +inst_160:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 274*FLEN/8, x10, x6, x7) + +inst_161:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 276*FLEN/8, x10, x6, x7) + +inst_162:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 278*FLEN/8, x10, x6, x7) + +inst_163:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 280*FLEN/8, x10, x6, x7) + +inst_164:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 282*FLEN/8, x10, x6, x7) + +inst_165:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 284*FLEN/8, x10, x6, x7) + +inst_166:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 286*FLEN/8, x10, x6, x7) + +inst_167:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 288*FLEN/8, x10, x6, x7) + +inst_168:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x0; +valaddr_reg:x9; val_offset:290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 290*FLEN/8, x10, x6, x7) + +inst_169:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 292*FLEN/8, x10, x6, x7) + +inst_170:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x1; +valaddr_reg:x9; val_offset:294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 294*FLEN/8, x10, x6, x7) + +inst_171:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 296*FLEN/8, x10, x6, x7) + +inst_172:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x2; +valaddr_reg:x9; val_offset:298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 298*FLEN/8, x10, x6, x7) + +inst_173:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 300*FLEN/8, x10, x6, x7) + +inst_174:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 302*FLEN/8, x10, x6, x7) + +inst_175:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 304*FLEN/8, x10, x6, x7) + +inst_176:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 306*FLEN/8, x10, x6, x7) + +inst_177:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 308*FLEN/8, x10, x6, x7) + +inst_178:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 310*FLEN/8, x10, x6, x7) + +inst_179:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 312*FLEN/8, x10, x6, x7) + +inst_180:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 314*FLEN/8, x10, x6, x7) + +inst_181:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 316*FLEN/8, x10, x6, x7) + +inst_182:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 318*FLEN/8, x10, x6, x7) + +inst_183:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 320*FLEN/8, x10, x6, x7) + +inst_184:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 322*FLEN/8, x10, x6, x7) + +inst_185:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 324*FLEN/8, x10, x6, x7) + +inst_186:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 326*FLEN/8, x10, x6, x7) + +inst_187:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 328*FLEN/8, x10, x6, x7) + +inst_188:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 330*FLEN/8, x10, x6, x7) + +inst_189:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 332*FLEN/8, x10, x6, x7) + +inst_190:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 334*FLEN/8, x10, x6, x7) + +inst_191:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 336*FLEN/8, x10, x6, x7) + +inst_192:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 338*FLEN/8, x10, x6, x7) + +inst_193:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 340*FLEN/8, x10, x6, x7) + +inst_194:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 342*FLEN/8, x10, x6, x7) + +inst_195:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 344*FLEN/8, x10, x6, x7) + +inst_196:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 346*FLEN/8, x10, x6, x7) + +inst_197:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 348*FLEN/8, x10, x6, x7) + +inst_198:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 350*FLEN/8, x10, x6, x7) + +inst_199:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 352*FLEN/8, x10, x6, x7) + +inst_200:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 354*FLEN/8, x10, x6, x7) + +inst_201:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 356*FLEN/8, x10, x6, x7) + +inst_202:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 358*FLEN/8, x10, x6, x7) + +inst_203:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 360*FLEN/8, x10, x6, x7) + +inst_204:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 362*FLEN/8, x10, x6, x7) + +inst_205:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 364*FLEN/8, x10, x6, x7) + +inst_206:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 366*FLEN/8, x10, x6, x7) + +inst_207:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 368*FLEN/8, x10, x6, x7) + +inst_208:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 370*FLEN/8, x10, x6, x7) + +inst_209:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 372*FLEN/8, x10, x6, x7) + +inst_210:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 374*FLEN/8, x10, x6, x7) + +inst_211:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 376*FLEN/8, x10, x6, x7) + +inst_212:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 378*FLEN/8, x10, x6, x7) + +inst_213:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 380*FLEN/8, x10, x6, x7) + +inst_214:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 382*FLEN/8, x10, x6, x7) + +inst_215:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 384*FLEN/8, x10, x6, x7) + +inst_216:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 386*FLEN/8, x10, x6, x7) + +inst_217:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 388*FLEN/8, x10, x6, x7) + +inst_218:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 390*FLEN/8, x10, x6, x7) + +inst_219:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 392*FLEN/8, x10, x6, x7) + +inst_220:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 394*FLEN/8, x10, x6, x7) + +inst_221:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 396*FLEN/8, x10, x6, x7) + +inst_222:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 398*FLEN/8, x10, x6, x7) + +inst_223:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 400*FLEN/8, x10, x6, x7) + +inst_224:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 402*FLEN/8, x10, x6, x7) + +inst_225:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 404*FLEN/8, x10, x6, x7) + +inst_226:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 406*FLEN/8, x10, x6, x7) + +inst_227:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 408*FLEN/8, x10, x6, x7) + +inst_228:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 410*FLEN/8, x10, x6, x7) + +inst_229:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 412*FLEN/8, x10, x6, x7) + +inst_230:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 414*FLEN/8, x10, x6, x7) + +inst_231:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 416*FLEN/8, x10, x6, x7) + +inst_232:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 418*FLEN/8, x10, x6, x7) + +inst_233:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 420*FLEN/8, x10, x6, x7) + +inst_234:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 422*FLEN/8, x10, x6, x7) + +inst_235:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 424*FLEN/8, x10, x6, x7) + +inst_236:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 426*FLEN/8, x10, x6, x7) + +inst_237:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 428*FLEN/8, x10, x6, x7) + +inst_238:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 430*FLEN/8, x10, x6, x7) + +inst_239:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 432*FLEN/8, x10, x6, x7) + +inst_240:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x0; +valaddr_reg:x9; val_offset:434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 434*FLEN/8, x10, x6, x7) + +inst_241:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 436*FLEN/8, x10, x6, x7) + +inst_242:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x1; +valaddr_reg:x9; val_offset:438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 438*FLEN/8, x10, x6, x7) + +inst_243:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 440*FLEN/8, x10, x6, x7) + +inst_244:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x2; +valaddr_reg:x9; val_offset:442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 442*FLEN/8, x10, x6, x7) + +inst_245:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 444*FLEN/8, x10, x6, x7) + +inst_246:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 446*FLEN/8, x10, x6, x7) + +inst_247:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 448*FLEN/8, x10, x6, x7) + +inst_248:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 450*FLEN/8, x10, x6, x7) + +inst_249:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 452*FLEN/8, x10, x6, x7) + +inst_250:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 454*FLEN/8, x10, x6, x7) + +inst_251:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 456*FLEN/8, x10, x6, x7) + +inst_252:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 458*FLEN/8, x10, x6, x7) + +inst_253:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 460*FLEN/8, x10, x6, x7) + +inst_254:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 462*FLEN/8, x10, x6, x7) + +inst_255:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 464*FLEN/8, x10, x6, x7) + +inst_256:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 466*FLEN/8, x10, x6, x7) + +inst_257:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 468*FLEN/8, x10, x6, x7) + +inst_258:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 470*FLEN/8, x10, x6, x7) + +inst_259:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 472*FLEN/8, x10, x6, x7) + +inst_260:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 474*FLEN/8, x10, x6, x7) + +inst_261:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 476*FLEN/8, x10, x6, x7) + +inst_262:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 478*FLEN/8, x10, x6, x7) + +inst_263:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 480*FLEN/8, x10, x6, x7) + +inst_264:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x0; +valaddr_reg:x9; val_offset:482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 482*FLEN/8, x10, x6, x7) + +inst_265:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 484*FLEN/8, x10, x6, x7) + +inst_266:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x1; +valaddr_reg:x9; val_offset:486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 486*FLEN/8, x10, x6, x7) + +inst_267:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 488*FLEN/8, x10, x6, x7) + +inst_268:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x2; +valaddr_reg:x9; val_offset:490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 490*FLEN/8, x10, x6, x7) + +inst_269:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 492*FLEN/8, x10, x6, x7) + +inst_270:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 494*FLEN/8, x10, x6, x7) + +inst_271:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 496*FLEN/8, x10, x6, x7) + +inst_272:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 498*FLEN/8, x10, x6, x7) + +inst_273:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 500*FLEN/8, x10, x6, x7) + +inst_274:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 502*FLEN/8, x10, x6, x7) + +inst_275:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 504*FLEN/8, x10, x6, x7) + +inst_276:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 506*FLEN/8, x10, x6, x7) + +inst_277:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 508*FLEN/8, x10, x6, x7) + +inst_278:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 510*FLEN/8, x10, x6, x7) + +inst_279:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 512*FLEN/8, x10, x6, x7) + +inst_280:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 514*FLEN/8, x10, x6, x7) + +inst_281:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 516*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_2) + +inst_282:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 518*FLEN/8, x10, x6, x7) + +inst_283:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 520*FLEN/8, x10, x6, x7) + +inst_284:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 522*FLEN/8, x10, x6, x7) + +inst_285:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 524*FLEN/8, x10, x6, x7) + +inst_286:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 526*FLEN/8, x10, x6, x7) + +inst_287:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 528*FLEN/8, x10, x6, x7) + +inst_288:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x0; +valaddr_reg:x9; val_offset:530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 530*FLEN/8, x10, x6, x7) + +inst_289:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 532*FLEN/8, x10, x6, x7) + +inst_290:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x1; +valaddr_reg:x9; val_offset:534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 534*FLEN/8, x10, x6, x7) + +inst_291:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 536*FLEN/8, x10, x6, x7) + +inst_292:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x2; +valaddr_reg:x9; val_offset:538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 538*FLEN/8, x10, x6, x7) + +inst_293:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 540*FLEN/8, x10, x6, x7) + +inst_294:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 542*FLEN/8, x10, x6, x7) + +inst_295:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 544*FLEN/8, x10, x6, x7) + +inst_296:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 546*FLEN/8, x10, x6, x7) + +inst_297:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 548*FLEN/8, x10, x6, x7) + +inst_298:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 550*FLEN/8, x10, x6, x7) + +inst_299:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 552*FLEN/8, x10, x6, x7) + +inst_300:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 554*FLEN/8, x10, x6, x7) + +inst_301:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 556*FLEN/8, x10, x6, x7) + +inst_302:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 558*FLEN/8, x10, x6, x7) + +inst_303:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 560*FLEN/8, x10, x6, x7) + +inst_304:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 562*FLEN/8, x10, x6, x7) + +inst_305:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 564*FLEN/8, x10, x6, x7) + +inst_306:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 566*FLEN/8, x10, x6, x7) + +inst_307:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 568*FLEN/8, x10, x6, x7) + +inst_308:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 570*FLEN/8, x10, x6, x7) + +inst_309:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 572*FLEN/8, x10, x6, x7) + +inst_310:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 574*FLEN/8, x10, x6, x7) + +inst_311:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 576*FLEN/8, x10, x6, x7) + +inst_312:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x0; +valaddr_reg:x9; val_offset:578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 578*FLEN/8, x10, x6, x7) + +inst_313:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 580*FLEN/8, x10, x6, x7) + +inst_314:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x1; +valaddr_reg:x9; val_offset:582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 582*FLEN/8, x10, x6, x7) + +inst_315:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 584*FLEN/8, x10, x6, x7) + +inst_316:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x2; +valaddr_reg:x9; val_offset:586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 586*FLEN/8, x10, x6, x7) + +inst_317:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 588*FLEN/8, x10, x6, x7) + +inst_318:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 590*FLEN/8, x10, x6, x7) + +inst_319:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 592*FLEN/8, x10, x6, x7) + +inst_320:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 594*FLEN/8, x10, x6, x7) + +inst_321:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 596*FLEN/8, x10, x6, x7) + +inst_322:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 598*FLEN/8, x10, x6, x7) + +inst_323:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 600*FLEN/8, x10, x6, x7) + +inst_324:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 602*FLEN/8, x10, x6, x7) + +inst_325:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 604*FLEN/8, x10, x6, x7) + +inst_326:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 606*FLEN/8, x10, x6, x7) + +inst_327:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 608*FLEN/8, x10, x6, x7) + +inst_328:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 610*FLEN/8, x10, x6, x7) + +inst_329:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 612*FLEN/8, x10, x6, x7) + +inst_330:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 614*FLEN/8, x10, x6, x7) + +inst_331:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 616*FLEN/8, x10, x6, x7) + +inst_332:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 618*FLEN/8, x10, x6, x7) + +inst_333:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 620*FLEN/8, x10, x6, x7) + +inst_334:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 622*FLEN/8, x10, x6, x7) + +inst_335:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 624*FLEN/8, x10, x6, x7) + +inst_336:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 626*FLEN/8, x10, x6, x7) + +inst_337:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 628*FLEN/8, x10, x6, x7) + +inst_338:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 630*FLEN/8, x10, x6, x7) + +inst_339:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 632*FLEN/8, x10, x6, x7) + +inst_340:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 634*FLEN/8, x10, x6, x7) + +inst_341:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 636*FLEN/8, x10, x6, x7) + +inst_342:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 638*FLEN/8, x10, x6, x7) + +inst_343:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 640*FLEN/8, x10, x6, x7) + +inst_344:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 642*FLEN/8, x10, x6, x7) + +inst_345:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 644*FLEN/8, x10, x6, x7) + +inst_346:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 646*FLEN/8, x10, x6, x7) + +inst_347:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 648*FLEN/8, x10, x6, x7) + +inst_348:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 650*FLEN/8, x10, x6, x7) + +inst_349:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 652*FLEN/8, x10, x6, x7) + +inst_350:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 654*FLEN/8, x10, x6, x7) + +inst_351:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 656*FLEN/8, x10, x6, x7) + +inst_352:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 658*FLEN/8, x10, x6, x7) + +inst_353:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 660*FLEN/8, x10, x6, x7) + +inst_354:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 662*FLEN/8, x10, x6, x7) + +inst_355:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 664*FLEN/8, x10, x6, x7) + +inst_356:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 666*FLEN/8, x10, x6, x7) + +inst_357:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 668*FLEN/8, x10, x6, x7) + +inst_358:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 670*FLEN/8, x10, x6, x7) + +inst_359:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 672*FLEN/8, x10, x6, x7) + +inst_360:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 674*FLEN/8, x10, x6, x7) + +inst_361:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 676*FLEN/8, x10, x6, x7) + +inst_362:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 678*FLEN/8, x10, x6, x7) + +inst_363:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 680*FLEN/8, x10, x6, x7) + +inst_364:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 682*FLEN/8, x10, x6, x7) + +inst_365:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 684*FLEN/8, x10, x6, x7) + +inst_366:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 686*FLEN/8, x10, x6, x7) + +inst_367:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 688*FLEN/8, x10, x6, x7) + +inst_368:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 690*FLEN/8, x10, x6, x7) + +inst_369:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 692*FLEN/8, x10, x6, x7) + +inst_370:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 694*FLEN/8, x10, x6, x7) + +inst_371:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 696*FLEN/8, x10, x6, x7) + +inst_372:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 698*FLEN/8, x10, x6, x7) + +inst_373:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 700*FLEN/8, x10, x6, x7) + +inst_374:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 702*FLEN/8, x10, x6, x7) + +inst_375:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 704*FLEN/8, x10, x6, x7) + +inst_376:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 706*FLEN/8, x10, x6, x7) + +inst_377:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 708*FLEN/8, x10, x6, x7) + +inst_378:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 710*FLEN/8, x10, x6, x7) + +inst_379:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 712*FLEN/8, x10, x6, x7) + +inst_380:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 714*FLEN/8, x10, x6, x7) + +inst_381:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 716*FLEN/8, x10, x6, x7) + +inst_382:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 718*FLEN/8, x10, x6, x7) + +inst_383:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 720*FLEN/8, x10, x6, x7) + +inst_384:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 722*FLEN/8, x10, x6, x7) + +inst_385:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 724*FLEN/8, x10, x6, x7) + +inst_386:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 726*FLEN/8, x10, x6, x7) + +inst_387:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 728*FLEN/8, x10, x6, x7) + +inst_388:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 730*FLEN/8, x10, x6, x7) + +inst_389:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 732*FLEN/8, x10, x6, x7) + +inst_390:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 734*FLEN/8, x10, x6, x7) + +inst_391:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 736*FLEN/8, x10, x6, x7) + +inst_392:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 738*FLEN/8, x10, x6, x7) + +inst_393:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 740*FLEN/8, x10, x6, x7) + +inst_394:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 742*FLEN/8, x10, x6, x7) + +inst_395:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 744*FLEN/8, x10, x6, x7) + +inst_396:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 746*FLEN/8, x10, x6, x7) + +inst_397:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 748*FLEN/8, x10, x6, x7) + +inst_398:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 750*FLEN/8, x10, x6, x7) + +inst_399:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 752*FLEN/8, x10, x6, x7) + +inst_400:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 754*FLEN/8, x10, x6, x7) + +inst_401:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 756*FLEN/8, x10, x6, x7) + +inst_402:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 758*FLEN/8, x10, x6, x7) + +inst_403:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 760*FLEN/8, x10, x6, x7) + +inst_404:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 762*FLEN/8, x10, x6, x7) + +inst_405:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 764*FLEN/8, x10, x6, x7) + +inst_406:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 766*FLEN/8, x10, x6, x7) + +inst_407:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 768*FLEN/8, x10, x6, x7) + +inst_408:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 770*FLEN/8, x10, x6, x7) + +inst_409:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 772*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_3) + +inst_410:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 774*FLEN/8, x10, x6, x7) + +inst_411:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 776*FLEN/8, x10, x6, x7) + +inst_412:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 778*FLEN/8, x10, x6, x7) + +inst_413:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 780*FLEN/8, x10, x6, x7) + +inst_414:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 782*FLEN/8, x10, x6, x7) + +inst_415:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 784*FLEN/8, x10, x6, x7) + +inst_416:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 786*FLEN/8, x10, x6, x7) + +inst_417:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 788*FLEN/8, x10, x6, x7) + +inst_418:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 790*FLEN/8, x10, x6, x7) + +inst_419:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 792*FLEN/8, x10, x6, x7) + +inst_420:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 794*FLEN/8, x10, x6, x7) + +inst_421:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 796*FLEN/8, x10, x6, x7) + +inst_422:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 798*FLEN/8, x10, x6, x7) + +inst_423:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 800*FLEN/8, x10, x6, x7) + +inst_424:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 802*FLEN/8, x10, x6, x7) + +inst_425:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 804*FLEN/8, x10, x6, x7) + +inst_426:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 806*FLEN/8, x10, x6, x7) + +inst_427:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 808*FLEN/8, x10, x6, x7) + +inst_428:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 810*FLEN/8, x10, x6, x7) + +inst_429:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 812*FLEN/8, x10, x6, x7) + +inst_430:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 814*FLEN/8, x10, x6, x7) + +inst_431:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 816*FLEN/8, x10, x6, x7) + +inst_432:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x0; +valaddr_reg:x9; val_offset:818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 818*FLEN/8, x10, x6, x7) + +inst_433:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 820*FLEN/8, x10, x6, x7) + +inst_434:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x1; +valaddr_reg:x9; val_offset:822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 822*FLEN/8, x10, x6, x7) + +inst_435:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 824*FLEN/8, x10, x6, x7) + +inst_436:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x2; +valaddr_reg:x9; val_offset:826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 826*FLEN/8, x10, x6, x7) + +inst_437:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 828*FLEN/8, x10, x6, x7) + +inst_438:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 830*FLEN/8, x10, x6, x7) + +inst_439:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 832*FLEN/8, x10, x6, x7) + +inst_440:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 834*FLEN/8, x10, x6, x7) + +inst_441:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 836*FLEN/8, x10, x6, x7) + +inst_442:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 838*FLEN/8, x10, x6, x7) + +inst_443:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 840*FLEN/8, x10, x6, x7) + +inst_444:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 842*FLEN/8, x10, x6, x7) + +inst_445:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 844*FLEN/8, x10, x6, x7) + +inst_446:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 846*FLEN/8, x10, x6, x7) + +inst_447:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 848*FLEN/8, x10, x6, x7) + +inst_448:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 850*FLEN/8, x10, x6, x7) + +inst_449:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 852*FLEN/8, x10, x6, x7) + +inst_450:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 854*FLEN/8, x10, x6, x7) + +inst_451:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 856*FLEN/8, x10, x6, x7) + +inst_452:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 858*FLEN/8, x10, x6, x7) + +inst_453:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 860*FLEN/8, x10, x6, x7) + +inst_454:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 862*FLEN/8, x10, x6, x7) + +inst_455:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 864*FLEN/8, x10, x6, x7) + +inst_456:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x0; +valaddr_reg:x9; val_offset:866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 866*FLEN/8, x10, x6, x7) + +inst_457:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 868*FLEN/8, x10, x6, x7) + +inst_458:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x1; +valaddr_reg:x9; val_offset:870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 870*FLEN/8, x10, x6, x7) + +inst_459:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 872*FLEN/8, x10, x6, x7) + +inst_460:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x2; +valaddr_reg:x9; val_offset:874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 874*FLEN/8, x10, x6, x7) + +inst_461:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 876*FLEN/8, x10, x6, x7) + +inst_462:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 878*FLEN/8, x10, x6, x7) + +inst_463:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 880*FLEN/8, x10, x6, x7) + +inst_464:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 882*FLEN/8, x10, x6, x7) + +inst_465:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 884*FLEN/8, x10, x6, x7) + +inst_466:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 886*FLEN/8, x10, x6, x7) + +inst_467:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 888*FLEN/8, x10, x6, x7) + +inst_468:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 890*FLEN/8, x10, x6, x7) + +inst_469:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 892*FLEN/8, x10, x6, x7) + +inst_470:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 894*FLEN/8, x10, x6, x7) + +inst_471:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 896*FLEN/8, x10, x6, x7) + +inst_472:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 898*FLEN/8, x10, x6, x7) + +inst_473:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 900*FLEN/8, x10, x6, x7) + +inst_474:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 902*FLEN/8, x10, x6, x7) + +inst_475:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 904*FLEN/8, x10, x6, x7) + +inst_476:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 906*FLEN/8, x10, x6, x7) + +inst_477:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 908*FLEN/8, x10, x6, x7) + +inst_478:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 910*FLEN/8, x10, x6, x7) + +inst_479:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 912*FLEN/8, x10, x6, x7) + +inst_480:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x0; +valaddr_reg:x9; val_offset:914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 914*FLEN/8, x10, x6, x7) + +inst_481:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 916*FLEN/8, x10, x6, x7) + +inst_482:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x1; +valaddr_reg:x9; val_offset:918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 918*FLEN/8, x10, x6, x7) + +inst_483:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 920*FLEN/8, x10, x6, x7) + +inst_484:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x2; +valaddr_reg:x9; val_offset:922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 922*FLEN/8, x10, x6, x7) + +inst_485:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 924*FLEN/8, x10, x6, x7) + +inst_486:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 926*FLEN/8, x10, x6, x7) + +inst_487:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:928*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 928*FLEN/8, x10, x6, x7) + +inst_488:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:930*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 930*FLEN/8, x10, x6, x7) + +inst_489:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:932*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 932*FLEN/8, x10, x6, x7) + +inst_490:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:934*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 934*FLEN/8, x10, x6, x7) + +inst_491:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:936*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 936*FLEN/8, x10, x6, x7) + +inst_492:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:938*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 938*FLEN/8, x10, x6, x7) + +inst_493:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:940*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 940*FLEN/8, x10, x6, x7) + +inst_494:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:942*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 942*FLEN/8, x10, x6, x7) + +inst_495:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:944*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 944*FLEN/8, x10, x6, x7) + +inst_496:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:946*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 946*FLEN/8, x10, x6, x7) + +inst_497:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:948*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 948*FLEN/8, x10, x6, x7) + +inst_498:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:950*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 950*FLEN/8, x10, x6, x7) + +inst_499:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:952*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 952*FLEN/8, x10, x6, x7) + +inst_500:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:954*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 954*FLEN/8, x10, x6, x7) + +inst_501:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:956*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 956*FLEN/8, x10, x6, x7) + +inst_502:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:958*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 958*FLEN/8, x10, x6, x7) + +inst_503:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:960*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 960*FLEN/8, x10, x6, x7) + +inst_504:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x0; +valaddr_reg:x9; val_offset:962*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 962*FLEN/8, x10, x6, x7) + +inst_505:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:964*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 964*FLEN/8, x10, x6, x7) + +inst_506:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x1; +valaddr_reg:x9; val_offset:966*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 966*FLEN/8, x10, x6, x7) + +inst_507:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:968*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 968*FLEN/8, x10, x6, x7) + +inst_508:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x2; +valaddr_reg:x9; val_offset:970*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 970*FLEN/8, x10, x6, x7) + +inst_509:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:972*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 972*FLEN/8, x10, x6, x7) + +inst_510:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:974*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 974*FLEN/8, x10, x6, x7) + +inst_511:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:976*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 976*FLEN/8, x10, x6, x7) + +inst_512:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:978*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 978*FLEN/8, x10, x6, x7) + +inst_513:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:980*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 980*FLEN/8, x10, x6, x7) + +inst_514:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:982*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 982*FLEN/8, x10, x6, x7) + +inst_515:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:984*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 984*FLEN/8, x10, x6, x7) + +inst_516:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:986*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 986*FLEN/8, x10, x6, x7) + +inst_517:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:988*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 988*FLEN/8, x10, x6, x7) + +inst_518:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:990*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 990*FLEN/8, x10, x6, x7) + +inst_519:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:992*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 992*FLEN/8, x10, x6, x7) + +inst_520:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:994*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 994*FLEN/8, x10, x6, x7) + +inst_521:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:996*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 996*FLEN/8, x10, x6, x7) + +inst_522:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:998*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 998*FLEN/8, x10, x6, x7) + +inst_523:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:1000*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1000*FLEN/8, x10, x6, x7) + +inst_524:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:1002*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1002*FLEN/8, x10, x6, x7) + +inst_525:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:1004*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1004*FLEN/8, x10, x6, x7) + +inst_526:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:1006*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1006*FLEN/8, x10, x6, x7) + +inst_527:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:1008*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1008*FLEN/8, x10, x6, x7) + +inst_528:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:1010*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1010*FLEN/8, x10, x6, x7) + +inst_529:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:1012*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1012*FLEN/8, x10, x6, x7) + +inst_530:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:1014*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1014*FLEN/8, x10, x6, x7) + +inst_531:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:1016*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1016*FLEN/8, x10, x6, x7) + +inst_532:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:1018*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1018*FLEN/8, x10, x6, x7) + +inst_533:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:1020*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1020*FLEN/8, x10, x6, x7) + +inst_534:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:1022*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1022*FLEN/8, x10, x6, x7) + +inst_535:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:1024*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1024*FLEN/8, x10, x6, x7) + +inst_536:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:1026*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1026*FLEN/8, x10, x6, x7) + +inst_537:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:1028*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1028*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_4) + +inst_538:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:1030*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1030*FLEN/8, x10, x6, x7) + +inst_539:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:1032*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1032*FLEN/8, x10, x6, x7) + +inst_540:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:1034*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1034*FLEN/8, x10, x6, x7) + +inst_541:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:1036*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1036*FLEN/8, x10, x6, x7) + +inst_542:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:1038*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1038*FLEN/8, x10, x6, x7) + +inst_543:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1040*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1040*FLEN/8, x10, x6, x7) + +inst_544:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:1042*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1042*FLEN/8, x10, x6, x7) + +inst_545:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:1044*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1044*FLEN/8, x10, x6, x7) + +inst_546:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:1046*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1046*FLEN/8, x10, x6, x7) + +inst_547:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:1048*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1048*FLEN/8, x10, x6, x7) + +inst_548:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:1050*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1050*FLEN/8, x10, x6, x7) + +inst_549:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:1052*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1052*FLEN/8, x10, x6, x7) + +inst_550:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:1054*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1054*FLEN/8, x10, x6, x7) + +inst_551:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:1056*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1056*FLEN/8, x10, x6, x7) + +inst_552:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:1058*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1058*FLEN/8, x10, x6, x7) + +inst_553:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:1060*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1060*FLEN/8, x10, x6, x7) + +inst_554:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:1062*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1062*FLEN/8, x10, x6, x7) + +inst_555:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:1064*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1064*FLEN/8, x10, x6, x7) + +inst_556:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:1066*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1066*FLEN/8, x10, x6, x7) + +inst_557:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:1068*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1068*FLEN/8, x10, x6, x7) + +inst_558:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:1070*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1070*FLEN/8, x10, x6, x7) + +inst_559:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:1072*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1072*FLEN/8, x10, x6, x7) + +inst_560:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:1074*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1074*FLEN/8, x10, x6, x7) + +inst_561:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:1076*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1076*FLEN/8, x10, x6, x7) + +inst_562:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:1078*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1078*FLEN/8, x10, x6, x7) + +inst_563:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:1080*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1080*FLEN/8, x10, x6, x7) + +inst_564:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:1082*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1082*FLEN/8, x10, x6, x7) + +inst_565:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:1084*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1084*FLEN/8, x10, x6, x7) + +inst_566:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:1086*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1086*FLEN/8, x10, x6, x7) + +inst_567:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1088*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1088*FLEN/8, x10, x6, x7) + +inst_568:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:1090*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1090*FLEN/8, x10, x6, x7) + +inst_569:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:1092*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1092*FLEN/8, x10, x6, x7) + +inst_570:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:1094*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1094*FLEN/8, x10, x6, x7) + +inst_571:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:1096*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1096*FLEN/8, x10, x6, x7) + +inst_572:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:1098*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1098*FLEN/8, x10, x6, x7) + +inst_573:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:1100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1100*FLEN/8, x10, x6, x7) + +inst_574:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:1102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1102*FLEN/8, x10, x6, x7) + +inst_575:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:1104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1104*FLEN/8, x10, x6, x7) + +inst_576:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:1106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1106*FLEN/8, x10, x6, x7) + +inst_577:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:1108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1108*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +test_dataset_1: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_4: + .fill 80*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fleq.d_b19-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fleq.d_b19-01.S new file mode 100644 index 000000000..b2f01296b --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fleq.d_b19-01.S @@ -0,0 +1,8928 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:42:05 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fleq.d.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fleq.d instruction of the RISC-V RV64FD_Zicsr_Zfa extension for the fleq.d_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fleq.d_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 != rs2, rs1==f31, rs2==f30, rd==x31,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x7fce759ff97b7507; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 == rs2, rs1==f29, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f29; op2:f29; dest:x30; op1val:0x7fce759ff97b7507; op2val:0x7fce759ff97b7507; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x30, f29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f30, rs2==f31, rd==x29,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x004b878423be8 and fcsr == 0 +/* opcode: fleq.d ; op1:f30; op2:f31; dest:x29; op1val:0x7ff0000000000000; op2val:0x7fb004b878423be8; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x29, f30, f31, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x004b878423be8 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f28; op2:f27; dest:x28; op1val:0x7fb004b878423be8; op2val:0x7ff0000000000000; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f27; op2:f28; dest:x27; op1val:0x7ff0000000000000; op2val:0x7fe405e69652cae2; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f26; op2:f25; dest:x26; op1val:0x7fce759ff97b7507; op2val:0x7ff0000000000000; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f25; op2:f26; dest:x25; op1val:0x7fce759ff97b7507; op2val:0x7fd09941946801c5; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f24; op2:f23; dest:x24; op1val:0x7fd09941946801c5; op2val:0x7fce759ff97b7507; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f23; op2:f24; dest:x23; op1val:0x7fce759ff97b7507; op2val:0x7feac44ace32d282; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x569d571c24201 and fcsr == 0 +/* opcode: fleq.d ; op1:f22; op2:f21; dest:x22; op1val:0x7ff0000000000000; op2val:0x7fb569d571c24201; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x569d571c24201 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f21; op2:f22; dest:x21; op1val:0x7fb569d571c24201; op2val:0x7ff0000000000000; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f20; op2:f19; dest:x20; op1val:0x7ff0000000000000; op2val:0x7feac44ace32d282; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f19; op2:f20; dest:x19; op1val:0x7fce759ff97b7507; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x0409f707c3583 and fcsr == 0 +/* opcode: fleq.d ; op1:f18; op2:f17; dest:x18; op1val:0x7ff0000000000000; op2val:0x7fb0409f707c3583; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x0409f707c3583 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f17; op2:f18; dest:x17; op1val:0x7fb0409f707c3583; op2val:0x7ff0000000000000; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f16; op2:f15; dest:x16; op1val:0x7ff0000000000000; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f15; op2:f16; dest:x15; op1val:0x7fce759ff97b7507; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f14; op2:f13; dest:x14; op1val:0xffdd2b592ef4e4e6; op2val:0x7fce759ff97b7507; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f13; op2:f14; dest:x13; op1val:0x7fce759ff97b7507; op2val:0xffede7300593ddb7; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x7ec266adcb15f and fcsr == 0 +/* opcode: fleq.d ; op1:f12; op2:f11; dest:x12; op1val:0x7ff0000000000000; op2val:0xffb7ec266adcb15f; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7ec266adcb15f and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f11; op2:f12; dest:x11; op1val:0xffb7ec266adcb15f; op2val:0x7ff0000000000000; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f10; op2:f9; dest:x10; op1val:0x7ff0000000000000; op2val:0xffede7300593ddb7; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f9; op2:f10; dest:x9; op1val:0x7fce759ff97b7507; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x399e37c2fb926 and fcsr == 0 +/* opcode: fleq.d ; op1:f8; op2:f7; dest:x8; op1val:0x7ff0000000000000; op2val:0xffb399e37c2fb926; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 1 and fe1 == 0x7fb and fm1 == 0x399e37c2fb926 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f7; op2:f8; dest:x7; op1val:0xffb399e37c2fb926; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f6; op2:f5; dest:x6; op1val:0x7ff0000000000000; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f5; op2:f6; dest:x5; op1val:0x7fce759ff97b7507; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f4; op2:f3; dest:x4; op1val:0xffe0c1b6ea69558e; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f3; op2:f4; dest:x3; op1val:0x7fce759ff97b7507; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f2; op2:f1; dest:x2; op1val:0xffc0e3e4312fc728; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f1; op2:f2; dest:x1; op1val:0x7fce759ff97b7507; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 0 and fe1 == 0x000 and fm1 == 0x3137cb6875068 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xd4e5c31a3975f and fcsr == 0 +/* opcode: fleq.d ; op1:f0; op2:f31; dest:x31; op1val:0x3137cb6875068; op2val:0x7fdd4e5c31a3975f; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 0 and fe1 == 0x7fd and fm1 == 0xd4e5c31a3975f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x3137cb6875068 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f0; dest:x31; op1val:0x7fdd4e5c31a3975f; op2val:0x3137cb6875068; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 0 and fe1 == 0x000 and fm1 == 0x3137cb6875068 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x0; op1val:0x3137cb6875068; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) + +inst_34:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x3137cb6875068 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x3137cb6875068; +valaddr_reg:x9; val_offset:22*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 22*FLEN/8, x10, x6, x7) + +inst_35:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:24*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 24*FLEN/8, x10, x6, x7) + +inst_36:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x04ebfabda54d7 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x4dcb3b62b25ff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x4ebfabda54d7; op2val:0x7fc4dcb3b62b25ff; +valaddr_reg:x9; val_offset:26*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 26*FLEN/8, x10, x6, x7) + +inst_37:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x4dcb3b62b25ff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x04ebfabda54d7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fc4dcb3b62b25ff; op2val:0x4ebfabda54d7; +valaddr_reg:x9; val_offset:28*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 28*FLEN/8, x10, x6, x7) + +inst_38:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x04ebfabda54d7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x4ebfabda54d7; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:30*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 30*FLEN/8, x10, x6, x7) + +inst_39:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x04ebfabda54d7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x4ebfabda54d7; +valaddr_reg:x9; val_offset:32*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 32*FLEN/8, x10, x6, x7) + +inst_40:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:34*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 34*FLEN/8, x10, x6, x7) + +inst_41:// fs1 == 0 and fe1 == 0x001 and fm1 == 0xec2df2149240f and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xf8c50a18d0c04 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ec2df2149240f; op2val:0x7fbf8c50a18d0c04; +valaddr_reg:x9; val_offset:36*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 36*FLEN/8, x10, x6, x7) + +inst_42:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xf8c50a18d0c04 and fs2 == 0 and fe2 == 0x001 and fm2 == 0xec2df2149240f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fbf8c50a18d0c04; op2val:0x1ec2df2149240f; +valaddr_reg:x9; val_offset:38*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 38*FLEN/8, x10, x6, x7) + +inst_43:// fs1 == 0 and fe1 == 0x001 and fm1 == 0xec2df2149240f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ec2df2149240f; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:40*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 40*FLEN/8, x10, x6, x7) + +inst_44:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x001 and fm2 == 0xec2df2149240f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x1ec2df2149240f; +valaddr_reg:x9; val_offset:42*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 42*FLEN/8, x10, x6, x7) + +inst_45:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:44*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 44*FLEN/8, x10, x6, x7) + +inst_46:// fs1 == 0 and fe1 == 0x001 and fm1 == 0xec2df2149240f and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x43fe46d2b7ce6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ec2df2149240f; op2val:0x7fb43fe46d2b7ce6; +valaddr_reg:x9; val_offset:46*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 46*FLEN/8, x10, x6, x7) + +inst_47:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x43fe46d2b7ce6 and fs2 == 0 and fe2 == 0x001 and fm2 == 0xec2df2149240f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb43fe46d2b7ce6; op2val:0x1ec2df2149240f; +valaddr_reg:x9; val_offset:48*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 48*FLEN/8, x10, x6, x7) + +inst_48:// fs1 == 0 and fe1 == 0x001 and fm1 == 0xec2df2149240f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ec2df2149240f; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:50*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 50*FLEN/8, x10, x6, x7) + +inst_49:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:52*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 52*FLEN/8, x10, x6, x7) + +inst_50:// fs1 == 0 and fe1 == 0x001 and fm1 == 0xec2df2149240f and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x093dbe3aa0387 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ec2df2149240f; op2val:0x7fb093dbe3aa0387; +valaddr_reg:x9; val_offset:54*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 54*FLEN/8, x10, x6, x7) + +inst_51:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x093dbe3aa0387 and fs2 == 0 and fe2 == 0x001 and fm2 == 0xec2df2149240f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb093dbe3aa0387; op2val:0x1ec2df2149240f; +valaddr_reg:x9; val_offset:56*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 56*FLEN/8, x10, x6, x7) + +inst_52:// fs1 == 0 and fe1 == 0x001 and fm1 == 0xec2df2149240f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ec2df2149240f; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:58*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 58*FLEN/8, x10, x6, x7) + +inst_53:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:60*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 60*FLEN/8, x10, x6, x7) + +inst_54:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x3137cb6875068 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xf6025caa2d205 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3137cb6875068; op2val:0xffdf6025caa2d205; +valaddr_reg:x9; val_offset:62*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 62*FLEN/8, x10, x6, x7) + +inst_55:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xf6025caa2d205 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x3137cb6875068 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdf6025caa2d205; op2val:0x3137cb6875068; +valaddr_reg:x9; val_offset:64*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 64*FLEN/8, x10, x6, x7) + +inst_56:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x3137cb6875068 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3137cb6875068; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:66*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 66*FLEN/8, x10, x6, x7) + +inst_57:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:68*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 68*FLEN/8, x10, x6, x7) + +inst_58:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x3137cb6875068 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x9bff6a8783cf3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3137cb6875068; op2val:0xffc9bff6a8783cf3; +valaddr_reg:x9; val_offset:70*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 70*FLEN/8, x10, x6, x7) + +inst_59:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x9bff6a8783cf3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x3137cb6875068 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc9bff6a8783cf3; op2val:0x3137cb6875068; +valaddr_reg:x9; val_offset:72*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 72*FLEN/8, x10, x6, x7) + +inst_60:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x3137cb6875068 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3137cb6875068; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:74*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 74*FLEN/8, x10, x6, x7) + +inst_61:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:76*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 76*FLEN/8, x10, x6, x7) + +inst_62:// fs1 == 0 and fe1 == 0x001 and fm1 == 0xec2df2149240f and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x0c90875ccb5d8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ec2df2149240f; op2val:0xffb0c90875ccb5d8; +valaddr_reg:x9; val_offset:78*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 78*FLEN/8, x10, x6, x7) + +inst_63:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x0c90875ccb5d8 and fs2 == 0 and fe2 == 0x001 and fm2 == 0xec2df2149240f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb0c90875ccb5d8; op2val:0x1ec2df2149240f; +valaddr_reg:x9; val_offset:80*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 80*FLEN/8, x10, x6, x7) + +inst_64:// fs1 == 0 and fe1 == 0x001 and fm1 == 0xec2df2149240f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ec2df2149240f; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:82*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 82*FLEN/8, x10, x6, x7) + +inst_65:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:84*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 84*FLEN/8, x10, x6, x7) + +inst_66:// fs1 == 0 and fe1 == 0x001 and fm1 == 0xec2df2149240f and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xae9e55abc765f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ec2df2149240f; op2val:0xffbae9e55abc765f; +valaddr_reg:x9; val_offset:86*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 86*FLEN/8, x10, x6, x7) + +inst_67:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xae9e55abc765f and fs2 == 0 and fe2 == 0x001 and fm2 == 0xec2df2149240f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbae9e55abc765f; op2val:0x1ec2df2149240f; +valaddr_reg:x9; val_offset:88*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 88*FLEN/8, x10, x6, x7) + +inst_68:// fs1 == 0 and fe1 == 0x001 and fm1 == 0xec2df2149240f and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ec2df2149240f; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:90*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 90*FLEN/8, x10, x6, x7) + +inst_69:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:92*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 92*FLEN/8, x10, x6, x7) + +inst_70:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x3137cb6875068 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x47f2e5cadc271 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3137cb6875068; op2val:0xffd47f2e5cadc271; +valaddr_reg:x9; val_offset:94*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 94*FLEN/8, x10, x6, x7) + +inst_71:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x47f2e5cadc271 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x3137cb6875068 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd47f2e5cadc271; op2val:0x3137cb6875068; +valaddr_reg:x9; val_offset:96*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 96*FLEN/8, x10, x6, x7) + +inst_72:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x3137cb6875068 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3137cb6875068; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:98*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 98*FLEN/8, x10, x6, x7) + +inst_73:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x0; +valaddr_reg:x9; val_offset:100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 100*FLEN/8, x10, x6, x7) + +inst_74:// fs1 == 0 and fe1 == 0x401 and fm1 == 0x11c8af0ae0986 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x40111c8af0ae0986; op2val:0x0; +valaddr_reg:x9; val_offset:102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 102*FLEN/8, x10, x6, x7) + +inst_75:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x401 and fm2 == 0x11c8af0ae0986 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x40111c8af0ae0986; +valaddr_reg:x9; val_offset:104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 104*FLEN/8, x10, x6, x7) + +inst_76:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x401 and fm2 == 0x11c8af0ae0986 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x40111c8af0ae0986; +valaddr_reg:x9; val_offset:106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 106*FLEN/8, x10, x6, x7) + +inst_77:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 108*FLEN/8, x10, x6, x7) + +inst_78:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x004b878423be8 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb004b878423be8; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 110*FLEN/8, x10, x6, x7) + +inst_79:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x004b878423be8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x7fb004b878423be8; +valaddr_reg:x9; val_offset:112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 112*FLEN/8, x10, x6, x7) + +inst_80:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 114*FLEN/8, x10, x6, x7) + +inst_81:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 116*FLEN/8, x10, x6, x7) + +inst_82:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x004b878423be8 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb004b878423be8; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 118*FLEN/8, x10, x6, x7) + +inst_83:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 120*FLEN/8, x10, x6, x7) + +inst_84:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 122*FLEN/8, x10, x6, x7) + +inst_85:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 124*FLEN/8, x10, x6, x7) + +inst_86:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 126*FLEN/8, x10, x6, x7) + +inst_87:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 128*FLEN/8, x10, x6, x7) + +inst_88:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x004b878423be8 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb004b878423be8; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 130*FLEN/8, x10, x6, x7) + +inst_89:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x004b878423be8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fb004b878423be8; +valaddr_reg:x9; val_offset:132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 132*FLEN/8, x10, x6, x7) + +inst_90:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x004b878423be8 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb004b878423be8; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 134*FLEN/8, x10, x6, x7) + +inst_91:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 136*FLEN/8, x10, x6, x7) + +inst_92:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 138*FLEN/8, x10, x6, x7) + +inst_93:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 140*FLEN/8, x10, x6, x7) + +inst_94:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 142*FLEN/8, x10, x6, x7) + +inst_95:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 144*FLEN/8, x10, x6, x7) + +inst_96:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x004b878423be8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb004b878423be8; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 146*FLEN/8, x10, x6, x7) + +inst_97:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 148*FLEN/8, x10, x6, x7) + +inst_98:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x004b878423be8 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb004b878423be8; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 150*FLEN/8, x10, x6, x7) + +inst_99:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 152*FLEN/8, x10, x6, x7) + +inst_100:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0cf11346ee18e and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xcf11346ee18e; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 154*FLEN/8, x10, x6, x7) + +inst_101:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0cf11346ee18e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xcf11346ee18e; +valaddr_reg:x9; val_offset:156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 156*FLEN/8, x10, x6, x7) + +inst_102:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0cf11346ee18e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xcf11346ee18e; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 158*FLEN/8, x10, x6, x7) + +inst_103:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0cf11346ee18e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0xcf11346ee18e; +valaddr_reg:x9; val_offset:160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 160*FLEN/8, x10, x6, x7) + +inst_104:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 162*FLEN/8, x10, x6, x7) + +inst_105:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x014b4eba4b028 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x14b4eba4b028; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 164*FLEN/8, x10, x6, x7) + +inst_106:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x014b4eba4b028 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x14b4eba4b028; +valaddr_reg:x9; val_offset:166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 166*FLEN/8, x10, x6, x7) + +inst_107:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x014b4eba4b028 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x14b4eba4b028; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 168*FLEN/8, x10, x6, x7) + +inst_108:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x014b4eba4b028 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x14b4eba4b028; +valaddr_reg:x9; val_offset:170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 170*FLEN/8, x10, x6, x7) + +inst_109:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 172*FLEN/8, x10, x6, x7) + +inst_110:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x816ac0c54cf8a and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x816ac0c54cf8a; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 174*FLEN/8, x10, x6, x7) + +inst_111:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x816ac0c54cf8a and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x816ac0c54cf8a; +valaddr_reg:x9; val_offset:176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 176*FLEN/8, x10, x6, x7) + +inst_112:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x816ac0c54cf8a and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x816ac0c54cf8a; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 178*FLEN/8, x10, x6, x7) + +inst_113:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x816ac0c54cf8a and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x816ac0c54cf8a; +valaddr_reg:x9; val_offset:180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 180*FLEN/8, x10, x6, x7) + +inst_114:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 182*FLEN/8, x10, x6, x7) + +inst_115:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x816ac0c54cf8a and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x94fdd88765c1f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x816ac0c54cf8a; op2val:0x7fe94fdd88765c1f; +valaddr_reg:x9; val_offset:184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 184*FLEN/8, x10, x6, x7) + +inst_116:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x94fdd88765c1f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x816ac0c54cf8a and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe94fdd88765c1f; op2val:0x816ac0c54cf8a; +valaddr_reg:x9; val_offset:186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 186*FLEN/8, x10, x6, x7) + +inst_117:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x816ac0c54cf8a and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x816ac0c54cf8a; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 188*FLEN/8, x10, x6, x7) + +inst_118:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 190*FLEN/8, x10, x6, x7) + +inst_119:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x816ac0c54cf8a and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x4b8d2dc948469 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x816ac0c54cf8a; op2val:0x7fe4b8d2dc948469; +valaddr_reg:x9; val_offset:192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 192*FLEN/8, x10, x6, x7) + +inst_120:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x4b8d2dc948469 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x816ac0c54cf8a and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe4b8d2dc948469; op2val:0x816ac0c54cf8a; +valaddr_reg:x9; val_offset:194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 194*FLEN/8, x10, x6, x7) + +inst_121:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x816ac0c54cf8a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x816ac0c54cf8a; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 196*FLEN/8, x10, x6, x7) + +inst_122:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 198*FLEN/8, x10, x6, x7) + +inst_123:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0cf11346ee18e and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xcf11346ee18e; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 200*FLEN/8, x10, x6, x7) + +inst_124:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0cf11346ee18e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xcf11346ee18e; +valaddr_reg:x9; val_offset:202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 202*FLEN/8, x10, x6, x7) + +inst_125:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0cf11346ee18e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xcf11346ee18e; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 204*FLEN/8, x10, x6, x7) + +inst_126:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 206*FLEN/8, x10, x6, x7) + +inst_127:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0cf11346ee18e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xcf11346ee18e; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 208*FLEN/8, x10, x6, x7) + +inst_128:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 210*FLEN/8, x10, x6, x7) + +inst_129:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x816ac0c54cf8a and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x4fb4a933fe34f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x816ac0c54cf8a; op2val:0xffe4fb4a933fe34f; +valaddr_reg:x9; val_offset:212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 212*FLEN/8, x10, x6, x7) + +inst_130:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x4fb4a933fe34f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x816ac0c54cf8a and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe4fb4a933fe34f; op2val:0x816ac0c54cf8a; +valaddr_reg:x9; val_offset:214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 214*FLEN/8, x10, x6, x7) + +inst_131:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x816ac0c54cf8a and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x816ac0c54cf8a; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 216*FLEN/8, x10, x6, x7) + +inst_132:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 218*FLEN/8, x10, x6, x7) + +inst_133:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x816ac0c54cf8a and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x816ac0c54cf8a; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 220*FLEN/8, x10, x6, x7) + +inst_134:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x816ac0c54cf8a and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x816ac0c54cf8a; +valaddr_reg:x9; val_offset:222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 222*FLEN/8, x10, x6, x7) + +inst_135:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x816ac0c54cf8a and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x816ac0c54cf8a; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 224*FLEN/8, x10, x6, x7) + +inst_136:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 226*FLEN/8, x10, x6, x7) + +inst_137:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0cf11346ee18e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xcf11346ee18e; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 228*FLEN/8, x10, x6, x7) + +inst_138:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x0; +valaddr_reg:x9; val_offset:230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 230*FLEN/8, x10, x6, x7) + +inst_139:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x1ff65f57ff366 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff1ff65f57ff366; op2val:0x0; +valaddr_reg:x9; val_offset:232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 232*FLEN/8, x10, x6, x7) + +inst_140:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x1ff65f57ff366 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ff1ff65f57ff366; +valaddr_reg:x9; val_offset:234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 234*FLEN/8, x10, x6, x7) + +inst_141:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x405e69652cae2 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x1ff65f57ff366 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe405e69652cae2; op2val:0x3ff1ff65f57ff366; +valaddr_reg:x9; val_offset:236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 236*FLEN/8, x10, x6, x7) + +inst_142:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 238*FLEN/8, x10, x6, x7) + +inst_143:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 240*FLEN/8, x10, x6, x7) + +inst_144:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 242*FLEN/8, x10, x6, x7) + +inst_145:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 244*FLEN/8, x10, x6, x7) + +inst_146:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 246*FLEN/8, x10, x6, x7) + +inst_147:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 248*FLEN/8, x10, x6, x7) + +inst_148:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 250*FLEN/8, x10, x6, x7) + +inst_149:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 252*FLEN/8, x10, x6, x7) + +inst_150:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 254*FLEN/8, x10, x6, x7) + +inst_151:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 256*FLEN/8, x10, x6, x7) + +inst_152:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 258*FLEN/8, x10, x6, x7) + +inst_153:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 260*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_1) + +inst_154:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 262*FLEN/8, x10, x6, x7) + +inst_155:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 264*FLEN/8, x10, x6, x7) + +inst_156:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x35a452e11324d and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xd4e5c31a3975f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35a452e11324d; op2val:0x7fdd4e5c31a3975f; +valaddr_reg:x9; val_offset:266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 266*FLEN/8, x10, x6, x7) + +inst_157:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xd4e5c31a3975f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x35a452e11324d and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdd4e5c31a3975f; op2val:0x35a452e11324d; +valaddr_reg:x9; val_offset:268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 268*FLEN/8, x10, x6, x7) + +inst_158:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x35a452e11324d and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35a452e11324d; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 270*FLEN/8, x10, x6, x7) + +inst_159:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x35a452e11324d and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x35a452e11324d; +valaddr_reg:x9; val_offset:272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 272*FLEN/8, x10, x6, x7) + +inst_160:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 274*FLEN/8, x10, x6, x7) + +inst_161:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x055d3b7ce8508 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x4dcb3b62b25ff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x55d3b7ce8508; op2val:0x7fc4dcb3b62b25ff; +valaddr_reg:x9; val_offset:276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 276*FLEN/8, x10, x6, x7) + +inst_162:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x4dcb3b62b25ff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x055d3b7ce8508 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fc4dcb3b62b25ff; op2val:0x55d3b7ce8508; +valaddr_reg:x9; val_offset:278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 278*FLEN/8, x10, x6, x7) + +inst_163:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x055d3b7ce8508 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x55d3b7ce8508; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 280*FLEN/8, x10, x6, x7) + +inst_164:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x055d3b7ce8508 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x55d3b7ce8508; +valaddr_reg:x9; val_offset:282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 282*FLEN/8, x10, x6, x7) + +inst_165:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 284*FLEN/8, x10, x6, x7) + +inst_166:// fs1 == 0 and fe1 == 0x002 and fm1 == 0x0c359e655fb81 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xf8c50a18d0c04 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x20c359e655fb81; op2val:0x7fbf8c50a18d0c04; +valaddr_reg:x9; val_offset:286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 286*FLEN/8, x10, x6, x7) + +inst_167:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xf8c50a18d0c04 and fs2 == 0 and fe2 == 0x002 and fm2 == 0x0c359e655fb81 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fbf8c50a18d0c04; op2val:0x20c359e655fb81; +valaddr_reg:x9; val_offset:288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 288*FLEN/8, x10, x6, x7) + +inst_168:// fs1 == 0 and fe1 == 0x002 and fm1 == 0x0c359e655fb81 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x20c359e655fb81; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 290*FLEN/8, x10, x6, x7) + +inst_169:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x002 and fm2 == 0x0c359e655fb81 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x20c359e655fb81; +valaddr_reg:x9; val_offset:292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 292*FLEN/8, x10, x6, x7) + +inst_170:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 294*FLEN/8, x10, x6, x7) + +inst_171:// fs1 == 0 and fe1 == 0x002 and fm1 == 0x0c359e655fb81 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x43fe46d2b7ce6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x20c359e655fb81; op2val:0x7fb43fe46d2b7ce6; +valaddr_reg:x9; val_offset:296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 296*FLEN/8, x10, x6, x7) + +inst_172:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x43fe46d2b7ce6 and fs2 == 0 and fe2 == 0x002 and fm2 == 0x0c359e655fb81 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb43fe46d2b7ce6; op2val:0x20c359e655fb81; +valaddr_reg:x9; val_offset:298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 298*FLEN/8, x10, x6, x7) + +inst_173:// fs1 == 0 and fe1 == 0x002 and fm1 == 0x0c359e655fb81 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x20c359e655fb81; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 300*FLEN/8, x10, x6, x7) + +inst_174:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 302*FLEN/8, x10, x6, x7) + +inst_175:// fs1 == 0 and fe1 == 0x002 and fm1 == 0x0c359e655fb81 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x093dbe3aa0387 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x20c359e655fb81; op2val:0x7fb093dbe3aa0387; +valaddr_reg:x9; val_offset:304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 304*FLEN/8, x10, x6, x7) + +inst_176:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x093dbe3aa0387 and fs2 == 0 and fe2 == 0x002 and fm2 == 0x0c359e655fb81 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb093dbe3aa0387; op2val:0x20c359e655fb81; +valaddr_reg:x9; val_offset:306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 306*FLEN/8, x10, x6, x7) + +inst_177:// fs1 == 0 and fe1 == 0x002 and fm1 == 0x0c359e655fb81 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x20c359e655fb81; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 308*FLEN/8, x10, x6, x7) + +inst_178:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 310*FLEN/8, x10, x6, x7) + +inst_179:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x35a452e11324d and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xf6025caa2d205 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35a452e11324d; op2val:0xffdf6025caa2d205; +valaddr_reg:x9; val_offset:312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 312*FLEN/8, x10, x6, x7) + +inst_180:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xf6025caa2d205 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x35a452e11324d and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdf6025caa2d205; op2val:0x35a452e11324d; +valaddr_reg:x9; val_offset:314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 314*FLEN/8, x10, x6, x7) + +inst_181:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x35a452e11324d and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35a452e11324d; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 316*FLEN/8, x10, x6, x7) + +inst_182:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 318*FLEN/8, x10, x6, x7) + +inst_183:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x35a452e11324d and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x9bff6a8783cf3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35a452e11324d; op2val:0xffc9bff6a8783cf3; +valaddr_reg:x9; val_offset:320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 320*FLEN/8, x10, x6, x7) + +inst_184:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x9bff6a8783cf3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x35a452e11324d and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc9bff6a8783cf3; op2val:0x35a452e11324d; +valaddr_reg:x9; val_offset:322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 322*FLEN/8, x10, x6, x7) + +inst_185:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x35a452e11324d and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35a452e11324d; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 324*FLEN/8, x10, x6, x7) + +inst_186:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 326*FLEN/8, x10, x6, x7) + +inst_187:// fs1 == 0 and fe1 == 0x002 and fm1 == 0x0c359e655fb81 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x0c90875ccb5d8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x20c359e655fb81; op2val:0xffb0c90875ccb5d8; +valaddr_reg:x9; val_offset:328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 328*FLEN/8, x10, x6, x7) + +inst_188:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x0c90875ccb5d8 and fs2 == 0 and fe2 == 0x002 and fm2 == 0x0c359e655fb81 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb0c90875ccb5d8; op2val:0x20c359e655fb81; +valaddr_reg:x9; val_offset:330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 330*FLEN/8, x10, x6, x7) + +inst_189:// fs1 == 0 and fe1 == 0x002 and fm1 == 0x0c359e655fb81 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x20c359e655fb81; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 332*FLEN/8, x10, x6, x7) + +inst_190:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 334*FLEN/8, x10, x6, x7) + +inst_191:// fs1 == 0 and fe1 == 0x002 and fm1 == 0x0c359e655fb81 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xae9e55abc765f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x20c359e655fb81; op2val:0xffbae9e55abc765f; +valaddr_reg:x9; val_offset:336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 336*FLEN/8, x10, x6, x7) + +inst_192:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xae9e55abc765f and fs2 == 0 and fe2 == 0x002 and fm2 == 0x0c359e655fb81 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbae9e55abc765f; op2val:0x20c359e655fb81; +valaddr_reg:x9; val_offset:338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 338*FLEN/8, x10, x6, x7) + +inst_193:// fs1 == 0 and fe1 == 0x002 and fm1 == 0x0c359e655fb81 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x20c359e655fb81; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 340*FLEN/8, x10, x6, x7) + +inst_194:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 342*FLEN/8, x10, x6, x7) + +inst_195:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x35a452e11324d and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x47f2e5cadc271 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35a452e11324d; op2val:0xffd47f2e5cadc271; +valaddr_reg:x9; val_offset:344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 344*FLEN/8, x10, x6, x7) + +inst_196:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x47f2e5cadc271 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x35a452e11324d and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd47f2e5cadc271; op2val:0x35a452e11324d; +valaddr_reg:x9; val_offset:346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 346*FLEN/8, x10, x6, x7) + +inst_197:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x35a452e11324d and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35a452e11324d; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 348*FLEN/8, x10, x6, x7) + +inst_198:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x0; +valaddr_reg:x9; val_offset:350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 350*FLEN/8, x10, x6, x7) + +inst_199:// fs1 == 0 and fe1 == 0x401 and fm1 == 0x2a6496228606e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x4012a6496228606e; op2val:0x0; +valaddr_reg:x9; val_offset:352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 352*FLEN/8, x10, x6, x7) + +inst_200:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x401 and fm2 == 0x2a6496228606e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x4012a6496228606e; +valaddr_reg:x9; val_offset:354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 354*FLEN/8, x10, x6, x7) + +inst_201:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x09941946801c5 and fs2 == 0 and fe2 == 0x401 and fm2 == 0x2a6496228606e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fd09941946801c5; op2val:0x4012a6496228606e; +valaddr_reg:x9; val_offset:356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 356*FLEN/8, x10, x6, x7) + +inst_202:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 358*FLEN/8, x10, x6, x7) + +inst_203:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x569d571c24201 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb569d571c24201; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 360*FLEN/8, x10, x6, x7) + +inst_204:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x569d571c24201 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x7fb569d571c24201; +valaddr_reg:x9; val_offset:362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 362*FLEN/8, x10, x6, x7) + +inst_205:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 364*FLEN/8, x10, x6, x7) + +inst_206:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 366*FLEN/8, x10, x6, x7) + +inst_207:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x569d571c24201 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb569d571c24201; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 368*FLEN/8, x10, x6, x7) + +inst_208:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 370*FLEN/8, x10, x6, x7) + +inst_209:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 372*FLEN/8, x10, x6, x7) + +inst_210:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 374*FLEN/8, x10, x6, x7) + +inst_211:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x569d571c24201 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb569d571c24201; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 376*FLEN/8, x10, x6, x7) + +inst_212:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x569d571c24201 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fb569d571c24201; +valaddr_reg:x9; val_offset:378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 378*FLEN/8, x10, x6, x7) + +inst_213:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x569d571c24201 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb569d571c24201; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 380*FLEN/8, x10, x6, x7) + +inst_214:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 382*FLEN/8, x10, x6, x7) + +inst_215:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 384*FLEN/8, x10, x6, x7) + +inst_216:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 386*FLEN/8, x10, x6, x7) + +inst_217:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 388*FLEN/8, x10, x6, x7) + +inst_218:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 390*FLEN/8, x10, x6, x7) + +inst_219:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x569d571c24201 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb569d571c24201; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 392*FLEN/8, x10, x6, x7) + +inst_220:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 394*FLEN/8, x10, x6, x7) + +inst_221:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x569d571c24201 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb569d571c24201; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 396*FLEN/8, x10, x6, x7) + +inst_222:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 398*FLEN/8, x10, x6, x7) + +inst_223:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x114ce95016c16 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x114ce95016c16; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 400*FLEN/8, x10, x6, x7) + +inst_224:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x114ce95016c16 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x114ce95016c16; +valaddr_reg:x9; val_offset:402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 402*FLEN/8, x10, x6, x7) + +inst_225:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x114ce95016c16 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x114ce95016c16; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 404*FLEN/8, x10, x6, x7) + +inst_226:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x114ce95016c16 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x114ce95016c16; +valaddr_reg:x9; val_offset:406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 406*FLEN/8, x10, x6, x7) + +inst_227:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 408*FLEN/8, x10, x6, x7) + +inst_228:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01bae4219be02 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1bae4219be02; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 410*FLEN/8, x10, x6, x7) + +inst_229:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01bae4219be02 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x1bae4219be02; +valaddr_reg:x9; val_offset:412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 412*FLEN/8, x10, x6, x7) + +inst_230:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01bae4219be02 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1bae4219be02; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 414*FLEN/8, x10, x6, x7) + +inst_231:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01bae4219be02 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x1bae4219be02; +valaddr_reg:x9; val_offset:416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 416*FLEN/8, x10, x6, x7) + +inst_232:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 418*FLEN/8, x10, x6, x7) + +inst_233:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xad011d20e38de and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xad011d20e38de; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 420*FLEN/8, x10, x6, x7) + +inst_234:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xad011d20e38de and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xad011d20e38de; +valaddr_reg:x9; val_offset:422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 422*FLEN/8, x10, x6, x7) + +inst_235:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xad011d20e38de and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xad011d20e38de; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 424*FLEN/8, x10, x6, x7) + +inst_236:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xad011d20e38de and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0xad011d20e38de; +valaddr_reg:x9; val_offset:426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 426*FLEN/8, x10, x6, x7) + +inst_237:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 428*FLEN/8, x10, x6, x7) + +inst_238:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xad011d20e38de and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x94fdd88765c1f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xad011d20e38de; op2val:0x7fe94fdd88765c1f; +valaddr_reg:x9; val_offset:430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 430*FLEN/8, x10, x6, x7) + +inst_239:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x94fdd88765c1f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xad011d20e38de and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe94fdd88765c1f; op2val:0xad011d20e38de; +valaddr_reg:x9; val_offset:432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 432*FLEN/8, x10, x6, x7) + +inst_240:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xad011d20e38de and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xad011d20e38de; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 434*FLEN/8, x10, x6, x7) + +inst_241:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 436*FLEN/8, x10, x6, x7) + +inst_242:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xad011d20e38de and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x4b8d2dc948469 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xad011d20e38de; op2val:0x7fe4b8d2dc948469; +valaddr_reg:x9; val_offset:438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 438*FLEN/8, x10, x6, x7) + +inst_243:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x4b8d2dc948469 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xad011d20e38de and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe4b8d2dc948469; op2val:0xad011d20e38de; +valaddr_reg:x9; val_offset:440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 440*FLEN/8, x10, x6, x7) + +inst_244:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xad011d20e38de and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xad011d20e38de; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 442*FLEN/8, x10, x6, x7) + +inst_245:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 444*FLEN/8, x10, x6, x7) + +inst_246:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x114ce95016c16 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x114ce95016c16; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 446*FLEN/8, x10, x6, x7) + +inst_247:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x114ce95016c16 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x114ce95016c16; +valaddr_reg:x9; val_offset:448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 448*FLEN/8, x10, x6, x7) + +inst_248:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x114ce95016c16 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x114ce95016c16; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 450*FLEN/8, x10, x6, x7) + +inst_249:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 452*FLEN/8, x10, x6, x7) + +inst_250:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x114ce95016c16 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x114ce95016c16; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 454*FLEN/8, x10, x6, x7) + +inst_251:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 456*FLEN/8, x10, x6, x7) + +inst_252:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xad011d20e38de and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x4fb4a933fe34f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xad011d20e38de; op2val:0xffe4fb4a933fe34f; +valaddr_reg:x9; val_offset:458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 458*FLEN/8, x10, x6, x7) + +inst_253:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x4fb4a933fe34f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xad011d20e38de and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe4fb4a933fe34f; op2val:0xad011d20e38de; +valaddr_reg:x9; val_offset:460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 460*FLEN/8, x10, x6, x7) + +inst_254:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xad011d20e38de and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xad011d20e38de; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 462*FLEN/8, x10, x6, x7) + +inst_255:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 464*FLEN/8, x10, x6, x7) + +inst_256:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xad011d20e38de and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xad011d20e38de; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 466*FLEN/8, x10, x6, x7) + +inst_257:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xad011d20e38de and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xad011d20e38de; +valaddr_reg:x9; val_offset:468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 468*FLEN/8, x10, x6, x7) + +inst_258:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xad011d20e38de and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xad011d20e38de; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 470*FLEN/8, x10, x6, x7) + +inst_259:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 472*FLEN/8, x10, x6, x7) + +inst_260:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x114ce95016c16 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x114ce95016c16; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 474*FLEN/8, x10, x6, x7) + +inst_261:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x0; +valaddr_reg:x9; val_offset:476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 476*FLEN/8, x10, x6, x7) + +inst_262:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x80f28c9e9c76b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff80f28c9e9c76b; op2val:0x0; +valaddr_reg:x9; val_offset:478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 478*FLEN/8, x10, x6, x7) + +inst_263:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x80f28c9e9c76b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ff80f28c9e9c76b; +valaddr_reg:x9; val_offset:480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 480*FLEN/8, x10, x6, x7) + +inst_264:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xac44ace32d282 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x80f28c9e9c76b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feac44ace32d282; op2val:0x3ff80f28c9e9c76b; +valaddr_reg:x9; val_offset:482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 482*FLEN/8, x10, x6, x7) + +inst_265:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 484*FLEN/8, x10, x6, x7) + +inst_266:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x0409f707c3583 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb0409f707c3583; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 486*FLEN/8, x10, x6, x7) + +inst_267:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x0409f707c3583 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x7fb0409f707c3583; +valaddr_reg:x9; val_offset:488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 488*FLEN/8, x10, x6, x7) + +inst_268:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 490*FLEN/8, x10, x6, x7) + +inst_269:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 492*FLEN/8, x10, x6, x7) + +inst_270:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x0409f707c3583 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb0409f707c3583; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 494*FLEN/8, x10, x6, x7) + +inst_271:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 496*FLEN/8, x10, x6, x7) + +inst_272:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x0409f707c3583 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb0409f707c3583; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 498*FLEN/8, x10, x6, x7) + +inst_273:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x0409f707c3583 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fb0409f707c3583; +valaddr_reg:x9; val_offset:500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 500*FLEN/8, x10, x6, x7) + +inst_274:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x0409f707c3583 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb0409f707c3583; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 502*FLEN/8, x10, x6, x7) + +inst_275:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 504*FLEN/8, x10, x6, x7) + +inst_276:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 506*FLEN/8, x10, x6, x7) + +inst_277:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 508*FLEN/8, x10, x6, x7) + +inst_278:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 510*FLEN/8, x10, x6, x7) + +inst_279:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 512*FLEN/8, x10, x6, x7) + +inst_280:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x0409f707c3583 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb0409f707c3583; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 514*FLEN/8, x10, x6, x7) + +inst_281:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 516*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_2) + +inst_282:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x0409f707c3583 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb0409f707c3583; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 518*FLEN/8, x10, x6, x7) + +inst_283:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 520*FLEN/8, x10, x6, x7) + +inst_284:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d2178c8e4bc2 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd2178c8e4bc2; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 522*FLEN/8, x10, x6, x7) + +inst_285:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0d2178c8e4bc2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xd2178c8e4bc2; +valaddr_reg:x9; val_offset:524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 524*FLEN/8, x10, x6, x7) + +inst_286:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d2178c8e4bc2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd2178c8e4bc2; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 526*FLEN/8, x10, x6, x7) + +inst_287:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0d2178c8e4bc2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0xd2178c8e4bc2; +valaddr_reg:x9; val_offset:528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 528*FLEN/8, x10, x6, x7) + +inst_288:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 530*FLEN/8, x10, x6, x7) + +inst_289:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x015025adb0793 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15025adb0793; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 532*FLEN/8, x10, x6, x7) + +inst_290:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x015025adb0793 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x15025adb0793; +valaddr_reg:x9; val_offset:534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 534*FLEN/8, x10, x6, x7) + +inst_291:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x015025adb0793 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15025adb0793; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 536*FLEN/8, x10, x6, x7) + +inst_292:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x015025adb0793 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x15025adb0793; +valaddr_reg:x9; val_offset:538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 538*FLEN/8, x10, x6, x7) + +inst_293:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 540*FLEN/8, x10, x6, x7) + +inst_294:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x834eb7d8ef590 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x834eb7d8ef590; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 542*FLEN/8, x10, x6, x7) + +inst_295:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x834eb7d8ef590 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x834eb7d8ef590; +valaddr_reg:x9; val_offset:544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 544*FLEN/8, x10, x6, x7) + +inst_296:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x834eb7d8ef590 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x834eb7d8ef590; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 546*FLEN/8, x10, x6, x7) + +inst_297:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x834eb7d8ef590 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x834eb7d8ef590; +valaddr_reg:x9; val_offset:548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 548*FLEN/8, x10, x6, x7) + +inst_298:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 550*FLEN/8, x10, x6, x7) + +inst_299:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x834eb7d8ef590 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x94fdd88765c1f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x834eb7d8ef590; op2val:0x7fe94fdd88765c1f; +valaddr_reg:x9; val_offset:552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 552*FLEN/8, x10, x6, x7) + +inst_300:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x94fdd88765c1f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x834eb7d8ef590 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe94fdd88765c1f; op2val:0x834eb7d8ef590; +valaddr_reg:x9; val_offset:554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 554*FLEN/8, x10, x6, x7) + +inst_301:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x834eb7d8ef590 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x834eb7d8ef590; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 556*FLEN/8, x10, x6, x7) + +inst_302:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 558*FLEN/8, x10, x6, x7) + +inst_303:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x834eb7d8ef590 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x4b8d2dc948469 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x834eb7d8ef590; op2val:0x7fe4b8d2dc948469; +valaddr_reg:x9; val_offset:560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 560*FLEN/8, x10, x6, x7) + +inst_304:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x4b8d2dc948469 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x834eb7d8ef590 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe4b8d2dc948469; op2val:0x834eb7d8ef590; +valaddr_reg:x9; val_offset:562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 562*FLEN/8, x10, x6, x7) + +inst_305:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x834eb7d8ef590 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x834eb7d8ef590; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 564*FLEN/8, x10, x6, x7) + +inst_306:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 566*FLEN/8, x10, x6, x7) + +inst_307:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d2178c8e4bc2 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd2178c8e4bc2; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 568*FLEN/8, x10, x6, x7) + +inst_308:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0d2178c8e4bc2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xd2178c8e4bc2; +valaddr_reg:x9; val_offset:570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 570*FLEN/8, x10, x6, x7) + +inst_309:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d2178c8e4bc2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd2178c8e4bc2; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 572*FLEN/8, x10, x6, x7) + +inst_310:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 574*FLEN/8, x10, x6, x7) + +inst_311:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d2178c8e4bc2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd2178c8e4bc2; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 576*FLEN/8, x10, x6, x7) + +inst_312:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 578*FLEN/8, x10, x6, x7) + +inst_313:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x834eb7d8ef590 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x4fb4a933fe34f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x834eb7d8ef590; op2val:0xffe4fb4a933fe34f; +valaddr_reg:x9; val_offset:580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 580*FLEN/8, x10, x6, x7) + +inst_314:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x4fb4a933fe34f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x834eb7d8ef590 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe4fb4a933fe34f; op2val:0x834eb7d8ef590; +valaddr_reg:x9; val_offset:582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 582*FLEN/8, x10, x6, x7) + +inst_315:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x834eb7d8ef590 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x834eb7d8ef590; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 584*FLEN/8, x10, x6, x7) + +inst_316:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 586*FLEN/8, x10, x6, x7) + +inst_317:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x834eb7d8ef590 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x834eb7d8ef590; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 588*FLEN/8, x10, x6, x7) + +inst_318:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x834eb7d8ef590 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x834eb7d8ef590; +valaddr_reg:x9; val_offset:590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 590*FLEN/8, x10, x6, x7) + +inst_319:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x834eb7d8ef590 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x834eb7d8ef590; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 592*FLEN/8, x10, x6, x7) + +inst_320:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 594*FLEN/8, x10, x6, x7) + +inst_321:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d2178c8e4bc2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd2178c8e4bc2; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 596*FLEN/8, x10, x6, x7) + +inst_322:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x0; +valaddr_reg:x9; val_offset:598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 598*FLEN/8, x10, x6, x7) + +inst_323:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x242b3b0a4387a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff242b3b0a4387a; op2val:0x0; +valaddr_reg:x9; val_offset:600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 600*FLEN/8, x10, x6, x7) + +inst_324:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x242b3b0a4387a and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ff242b3b0a4387a; +valaddr_reg:x9; val_offset:602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 602*FLEN/8, x10, x6, x7) + +inst_325:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x450c74c9b42e4 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x242b3b0a4387a and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe450c74c9b42e4; op2val:0x3ff242b3b0a4387a; +valaddr_reg:x9; val_offset:604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 604*FLEN/8, x10, x6, x7) + +inst_326:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 606*FLEN/8, x10, x6, x7) + +inst_327:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 608*FLEN/8, x10, x6, x7) + +inst_328:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 610*FLEN/8, x10, x6, x7) + +inst_329:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 612*FLEN/8, x10, x6, x7) + +inst_330:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 614*FLEN/8, x10, x6, x7) + +inst_331:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 616*FLEN/8, x10, x6, x7) + +inst_332:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 618*FLEN/8, x10, x6, x7) + +inst_333:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 620*FLEN/8, x10, x6, x7) + +inst_334:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 622*FLEN/8, x10, x6, x7) + +inst_335:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x7ec266adcb15f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xffb7ec266adcb15f; +valaddr_reg:x9; val_offset:624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 624*FLEN/8, x10, x6, x7) + +inst_336:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7ec266adcb15f and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb7ec266adcb15f; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 626*FLEN/8, x10, x6, x7) + +inst_337:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 628*FLEN/8, x10, x6, x7) + +inst_338:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 630*FLEN/8, x10, x6, x7) + +inst_339:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x399e37c2fb926 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xffb399e37c2fb926; +valaddr_reg:x9; val_offset:632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 632*FLEN/8, x10, x6, x7) + +inst_340:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x399e37c2fb926 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb399e37c2fb926; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 634*FLEN/8, x10, x6, x7) + +inst_341:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 636*FLEN/8, x10, x6, x7) + +inst_342:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 638*FLEN/8, x10, x6, x7) + +inst_343:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 640*FLEN/8, x10, x6, x7) + +inst_344:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 642*FLEN/8, x10, x6, x7) + +inst_345:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 644*FLEN/8, x10, x6, x7) + +inst_346:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 646*FLEN/8, x10, x6, x7) + +inst_347:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e443bf91c5dd and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xd4e5c31a3975f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e443bf91c5dd; op2val:0x7fdd4e5c31a3975f; +valaddr_reg:x9; val_offset:648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 648*FLEN/8, x10, x6, x7) + +inst_348:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xd4e5c31a3975f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x5e443bf91c5dd and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdd4e5c31a3975f; op2val:0x8005e443bf91c5dd; +valaddr_reg:x9; val_offset:650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 650*FLEN/8, x10, x6, x7) + +inst_349:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e443bf91c5dd and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e443bf91c5dd; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 652*FLEN/8, x10, x6, x7) + +inst_350:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x5e443bf91c5dd and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x8005e443bf91c5dd; +valaddr_reg:x9; val_offset:654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 654*FLEN/8, x10, x6, x7) + +inst_351:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 656*FLEN/8, x10, x6, x7) + +inst_352:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x096d393282d63 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x4dcb3b62b25ff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800096d393282d63; op2val:0x7fc4dcb3b62b25ff; +valaddr_reg:x9; val_offset:658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 658*FLEN/8, x10, x6, x7) + +inst_353:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x4dcb3b62b25ff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x096d393282d63 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fc4dcb3b62b25ff; op2val:0x800096d393282d63; +valaddr_reg:x9; val_offset:660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 660*FLEN/8, x10, x6, x7) + +inst_354:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x096d393282d63 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800096d393282d63; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 662*FLEN/8, x10, x6, x7) + +inst_355:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x096d393282d63 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x800096d393282d63; +valaddr_reg:x9; val_offset:664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 664*FLEN/8, x10, x6, x7) + +inst_356:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 666*FLEN/8, x10, x6, x7) + +inst_357:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd7552bdd8dd50 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xf8c50a18d0c04 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d7552bdd8dd50; op2val:0x7fbf8c50a18d0c04; +valaddr_reg:x9; val_offset:668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 668*FLEN/8, x10, x6, x7) + +inst_358:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xf8c50a18d0c04 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd7552bdd8dd50 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fbf8c50a18d0c04; op2val:0x802d7552bdd8dd50; +valaddr_reg:x9; val_offset:670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 670*FLEN/8, x10, x6, x7) + +inst_359:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd7552bdd8dd50 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d7552bdd8dd50; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 672*FLEN/8, x10, x6, x7) + +inst_360:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd7552bdd8dd50 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x802d7552bdd8dd50; +valaddr_reg:x9; val_offset:674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 674*FLEN/8, x10, x6, x7) + +inst_361:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 676*FLEN/8, x10, x6, x7) + +inst_362:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd7552bdd8dd50 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x43fe46d2b7ce6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d7552bdd8dd50; op2val:0x7fb43fe46d2b7ce6; +valaddr_reg:x9; val_offset:678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 678*FLEN/8, x10, x6, x7) + +inst_363:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x43fe46d2b7ce6 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd7552bdd8dd50 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb43fe46d2b7ce6; op2val:0x802d7552bdd8dd50; +valaddr_reg:x9; val_offset:680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 680*FLEN/8, x10, x6, x7) + +inst_364:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd7552bdd8dd50 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d7552bdd8dd50; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 682*FLEN/8, x10, x6, x7) + +inst_365:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 684*FLEN/8, x10, x6, x7) + +inst_366:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd7552bdd8dd50 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x093dbe3aa0387 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d7552bdd8dd50; op2val:0x7fb093dbe3aa0387; +valaddr_reg:x9; val_offset:686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 686*FLEN/8, x10, x6, x7) + +inst_367:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x093dbe3aa0387 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd7552bdd8dd50 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb093dbe3aa0387; op2val:0x802d7552bdd8dd50; +valaddr_reg:x9; val_offset:688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 688*FLEN/8, x10, x6, x7) + +inst_368:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd7552bdd8dd50 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d7552bdd8dd50; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 690*FLEN/8, x10, x6, x7) + +inst_369:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 692*FLEN/8, x10, x6, x7) + +inst_370:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e443bf91c5dd and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xf6025caa2d205 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e443bf91c5dd; op2val:0xffdf6025caa2d205; +valaddr_reg:x9; val_offset:694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 694*FLEN/8, x10, x6, x7) + +inst_371:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xf6025caa2d205 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x5e443bf91c5dd and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdf6025caa2d205; op2val:0x8005e443bf91c5dd; +valaddr_reg:x9; val_offset:696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 696*FLEN/8, x10, x6, x7) + +inst_372:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e443bf91c5dd and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e443bf91c5dd; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 698*FLEN/8, x10, x6, x7) + +inst_373:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 700*FLEN/8, x10, x6, x7) + +inst_374:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e443bf91c5dd and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x9bff6a8783cf3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e443bf91c5dd; op2val:0xffc9bff6a8783cf3; +valaddr_reg:x9; val_offset:702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 702*FLEN/8, x10, x6, x7) + +inst_375:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x9bff6a8783cf3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x5e443bf91c5dd and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc9bff6a8783cf3; op2val:0x8005e443bf91c5dd; +valaddr_reg:x9; val_offset:704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 704*FLEN/8, x10, x6, x7) + +inst_376:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e443bf91c5dd and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e443bf91c5dd; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 706*FLEN/8, x10, x6, x7) + +inst_377:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 708*FLEN/8, x10, x6, x7) + +inst_378:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd7552bdd8dd50 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x0c90875ccb5d8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d7552bdd8dd50; op2val:0xffb0c90875ccb5d8; +valaddr_reg:x9; val_offset:710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 710*FLEN/8, x10, x6, x7) + +inst_379:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x0c90875ccb5d8 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd7552bdd8dd50 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb0c90875ccb5d8; op2val:0x802d7552bdd8dd50; +valaddr_reg:x9; val_offset:712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 712*FLEN/8, x10, x6, x7) + +inst_380:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd7552bdd8dd50 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d7552bdd8dd50; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 714*FLEN/8, x10, x6, x7) + +inst_381:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 716*FLEN/8, x10, x6, x7) + +inst_382:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd7552bdd8dd50 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xae9e55abc765f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d7552bdd8dd50; op2val:0xffbae9e55abc765f; +valaddr_reg:x9; val_offset:718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 718*FLEN/8, x10, x6, x7) + +inst_383:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xae9e55abc765f and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd7552bdd8dd50 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbae9e55abc765f; op2val:0x802d7552bdd8dd50; +valaddr_reg:x9; val_offset:720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 720*FLEN/8, x10, x6, x7) + +inst_384:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd7552bdd8dd50 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d7552bdd8dd50; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 722*FLEN/8, x10, x6, x7) + +inst_385:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 724*FLEN/8, x10, x6, x7) + +inst_386:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e443bf91c5dd and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x47f2e5cadc271 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e443bf91c5dd; op2val:0xffd47f2e5cadc271; +valaddr_reg:x9; val_offset:726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 726*FLEN/8, x10, x6, x7) + +inst_387:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x47f2e5cadc271 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x5e443bf91c5dd and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd47f2e5cadc271; op2val:0x8005e443bf91c5dd; +valaddr_reg:x9; val_offset:728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 728*FLEN/8, x10, x6, x7) + +inst_388:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e443bf91c5dd and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e443bf91c5dd; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 730*FLEN/8, x10, x6, x7) + +inst_389:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0x0; +valaddr_reg:x9; val_offset:732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 732*FLEN/8, x10, x6, x7) + +inst_390:// fs1 == 1 and fe1 == 0x402 and fm1 == 0x06300128a7be9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc0206300128a7be9; op2val:0x0; +valaddr_reg:x9; val_offset:734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 734*FLEN/8, x10, x6, x7) + +inst_391:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x402 and fm2 == 0x06300128a7be9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xc0206300128a7be9; +valaddr_reg:x9; val_offset:736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 736*FLEN/8, x10, x6, x7) + +inst_392:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2b592ef4e4e6 and fs2 == 1 and fe2 == 0x402 and fm2 == 0x06300128a7be9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2b592ef4e4e6; op2val:0xc0206300128a7be9; +valaddr_reg:x9; val_offset:738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 738*FLEN/8, x10, x6, x7) + +inst_393:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 740*FLEN/8, x10, x6, x7) + +inst_394:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7ec266adcb15f and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb7ec266adcb15f; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 742*FLEN/8, x10, x6, x7) + +inst_395:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x7ec266adcb15f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0xffb7ec266adcb15f; +valaddr_reg:x9; val_offset:744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 744*FLEN/8, x10, x6, x7) + +inst_396:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 746*FLEN/8, x10, x6, x7) + +inst_397:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 748*FLEN/8, x10, x6, x7) + +inst_398:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7ec266adcb15f and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb7ec266adcb15f; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 750*FLEN/8, x10, x6, x7) + +inst_399:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 752*FLEN/8, x10, x6, x7) + +inst_400:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7ec266adcb15f and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb7ec266adcb15f; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 754*FLEN/8, x10, x6, x7) + +inst_401:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 756*FLEN/8, x10, x6, x7) + +inst_402:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 758*FLEN/8, x10, x6, x7) + +inst_403:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 760*FLEN/8, x10, x6, x7) + +inst_404:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7ec266adcb15f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb7ec266adcb15f; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 762*FLEN/8, x10, x6, x7) + +inst_405:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 764*FLEN/8, x10, x6, x7) + +inst_406:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7ec266adcb15f and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb7ec266adcb15f; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 766*FLEN/8, x10, x6, x7) + +inst_407:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 768*FLEN/8, x10, x6, x7) + +inst_408:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1353dad8f9fcc and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001353dad8f9fcc; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 770*FLEN/8, x10, x6, x7) + +inst_409:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1353dad8f9fcc and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8001353dad8f9fcc; +valaddr_reg:x9; val_offset:772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 772*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_3) + +inst_410:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1353dad8f9fcc and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001353dad8f9fcc; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 774*FLEN/8, x10, x6, x7) + +inst_411:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1353dad8f9fcc and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x8001353dad8f9fcc; +valaddr_reg:x9; val_offset:776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 776*FLEN/8, x10, x6, x7) + +inst_412:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 778*FLEN/8, x10, x6, x7) + +inst_413:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x01eec915b2994 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80001eec915b2994; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 780*FLEN/8, x10, x6, x7) + +inst_414:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x01eec915b2994 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x80001eec915b2994; +valaddr_reg:x9; val_offset:782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 782*FLEN/8, x10, x6, x7) + +inst_415:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x01eec915b2994 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80001eec915b2994; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 784*FLEN/8, x10, x6, x7) + +inst_416:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x01eec915b2994 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x80001eec915b2994; +valaddr_reg:x9; val_offset:786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 786*FLEN/8, x10, x6, x7) + +inst_417:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 788*FLEN/8, x10, x6, x7) + +inst_418:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc1468c79c3df8 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800c1468c79c3df8; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 790*FLEN/8, x10, x6, x7) + +inst_419:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc1468c79c3df8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x800c1468c79c3df8; +valaddr_reg:x9; val_offset:792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 792*FLEN/8, x10, x6, x7) + +inst_420:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc1468c79c3df8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800c1468c79c3df8; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 794*FLEN/8, x10, x6, x7) + +inst_421:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc1468c79c3df8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x800c1468c79c3df8; +valaddr_reg:x9; val_offset:796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 796*FLEN/8, x10, x6, x7) + +inst_422:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 798*FLEN/8, x10, x6, x7) + +inst_423:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc1468c79c3df8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x94fdd88765c1f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800c1468c79c3df8; op2val:0x7fe94fdd88765c1f; +valaddr_reg:x9; val_offset:800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 800*FLEN/8, x10, x6, x7) + +inst_424:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x94fdd88765c1f and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc1468c79c3df8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe94fdd88765c1f; op2val:0x800c1468c79c3df8; +valaddr_reg:x9; val_offset:802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 802*FLEN/8, x10, x6, x7) + +inst_425:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc1468c79c3df8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800c1468c79c3df8; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 804*FLEN/8, x10, x6, x7) + +inst_426:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 806*FLEN/8, x10, x6, x7) + +inst_427:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc1468c79c3df8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x4b8d2dc948469 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800c1468c79c3df8; op2val:0x7fe4b8d2dc948469; +valaddr_reg:x9; val_offset:808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 808*FLEN/8, x10, x6, x7) + +inst_428:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x4b8d2dc948469 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc1468c79c3df8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe4b8d2dc948469; op2val:0x800c1468c79c3df8; +valaddr_reg:x9; val_offset:810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 810*FLEN/8, x10, x6, x7) + +inst_429:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc1468c79c3df8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800c1468c79c3df8; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 812*FLEN/8, x10, x6, x7) + +inst_430:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 814*FLEN/8, x10, x6, x7) + +inst_431:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1353dad8f9fcc and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001353dad8f9fcc; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 816*FLEN/8, x10, x6, x7) + +inst_432:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1353dad8f9fcc and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8001353dad8f9fcc; +valaddr_reg:x9; val_offset:818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 818*FLEN/8, x10, x6, x7) + +inst_433:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1353dad8f9fcc and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001353dad8f9fcc; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 820*FLEN/8, x10, x6, x7) + +inst_434:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 822*FLEN/8, x10, x6, x7) + +inst_435:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1353dad8f9fcc and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001353dad8f9fcc; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 824*FLEN/8, x10, x6, x7) + +inst_436:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 826*FLEN/8, x10, x6, x7) + +inst_437:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc1468c79c3df8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x4fb4a933fe34f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800c1468c79c3df8; op2val:0xffe4fb4a933fe34f; +valaddr_reg:x9; val_offset:828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 828*FLEN/8, x10, x6, x7) + +inst_438:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x4fb4a933fe34f and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc1468c79c3df8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe4fb4a933fe34f; op2val:0x800c1468c79c3df8; +valaddr_reg:x9; val_offset:830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 830*FLEN/8, x10, x6, x7) + +inst_439:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc1468c79c3df8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800c1468c79c3df8; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 832*FLEN/8, x10, x6, x7) + +inst_440:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 834*FLEN/8, x10, x6, x7) + +inst_441:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc1468c79c3df8 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800c1468c79c3df8; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 836*FLEN/8, x10, x6, x7) + +inst_442:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc1468c79c3df8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x800c1468c79c3df8; +valaddr_reg:x9; val_offset:838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 838*FLEN/8, x10, x6, x7) + +inst_443:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc1468c79c3df8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800c1468c79c3df8; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 840*FLEN/8, x10, x6, x7) + +inst_444:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 842*FLEN/8, x10, x6, x7) + +inst_445:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1353dad8f9fcc and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001353dad8f9fcc; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 844*FLEN/8, x10, x6, x7) + +inst_446:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0x0; +valaddr_reg:x9; val_offset:846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 846*FLEN/8, x10, x6, x7) + +inst_447:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0xae0d6ce341771 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbffae0d6ce341771; op2val:0x0; +valaddr_reg:x9; val_offset:848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 848*FLEN/8, x10, x6, x7) + +inst_448:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xae0d6ce341771 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xbffae0d6ce341771; +valaddr_reg:x9; val_offset:850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 850*FLEN/8, x10, x6, x7) + +inst_449:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xde7300593ddb7 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xae0d6ce341771 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffede7300593ddb7; op2val:0xbffae0d6ce341771; +valaddr_reg:x9; val_offset:852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 852*FLEN/8, x10, x6, x7) + +inst_450:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 854*FLEN/8, x10, x6, x7) + +inst_451:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x399e37c2fb926 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb399e37c2fb926; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 856*FLEN/8, x10, x6, x7) + +inst_452:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x399e37c2fb926 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0xffb399e37c2fb926; +valaddr_reg:x9; val_offset:858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 858*FLEN/8, x10, x6, x7) + +inst_453:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 860*FLEN/8, x10, x6, x7) + +inst_454:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 862*FLEN/8, x10, x6, x7) + +inst_455:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x399e37c2fb926 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb399e37c2fb926; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 864*FLEN/8, x10, x6, x7) + +inst_456:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 866*FLEN/8, x10, x6, x7) + +inst_457:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x399e37c2fb926 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb399e37c2fb926; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 868*FLEN/8, x10, x6, x7) + +inst_458:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 870*FLEN/8, x10, x6, x7) + +inst_459:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x399e37c2fb926 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb399e37c2fb926; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 872*FLEN/8, x10, x6, x7) + +inst_460:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 874*FLEN/8, x10, x6, x7) + +inst_461:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x399e37c2fb926 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb399e37c2fb926; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 876*FLEN/8, x10, x6, x7) + +inst_462:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 878*FLEN/8, x10, x6, x7) + +inst_463:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0fd6141352983 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000fd6141352983; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 880*FLEN/8, x10, x6, x7) + +inst_464:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0fd6141352983 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8000fd6141352983; +valaddr_reg:x9; val_offset:882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 882*FLEN/8, x10, x6, x7) + +inst_465:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0fd6141352983 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000fd6141352983; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 884*FLEN/8, x10, x6, x7) + +inst_466:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0fd6141352983 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x8000fd6141352983; +valaddr_reg:x9; val_offset:886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 886*FLEN/8, x10, x6, x7) + +inst_467:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 888*FLEN/8, x10, x6, x7) + +inst_468:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x01956868550f3 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80001956868550f3; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 890*FLEN/8, x10, x6, x7) + +inst_469:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x01956868550f3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x80001956868550f3; +valaddr_reg:x9; val_offset:892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 892*FLEN/8, x10, x6, x7) + +inst_470:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x01956868550f3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80001956868550f3; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 894*FLEN/8, x10, x6, x7) + +inst_471:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x01956868550f3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x80001956868550f3; +valaddr_reg:x9; val_offset:896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 896*FLEN/8, x10, x6, x7) + +inst_472:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 898*FLEN/8, x10, x6, x7) + +inst_473:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x9e5cc8c139f1c and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8009e5cc8c139f1c; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 900*FLEN/8, x10, x6, x7) + +inst_474:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x9e5cc8c139f1c and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8009e5cc8c139f1c; +valaddr_reg:x9; val_offset:902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 902*FLEN/8, x10, x6, x7) + +inst_475:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x9e5cc8c139f1c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8009e5cc8c139f1c; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 904*FLEN/8, x10, x6, x7) + +inst_476:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x9e5cc8c139f1c and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x8009e5cc8c139f1c; +valaddr_reg:x9; val_offset:906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 906*FLEN/8, x10, x6, x7) + +inst_477:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 908*FLEN/8, x10, x6, x7) + +inst_478:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x9e5cc8c139f1c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x94fdd88765c1f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8009e5cc8c139f1c; op2val:0x7fe94fdd88765c1f; +valaddr_reg:x9; val_offset:910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 910*FLEN/8, x10, x6, x7) + +inst_479:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x94fdd88765c1f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x9e5cc8c139f1c and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe94fdd88765c1f; op2val:0x8009e5cc8c139f1c; +valaddr_reg:x9; val_offset:912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 912*FLEN/8, x10, x6, x7) + +inst_480:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x9e5cc8c139f1c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8009e5cc8c139f1c; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 914*FLEN/8, x10, x6, x7) + +inst_481:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 916*FLEN/8, x10, x6, x7) + +inst_482:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x9e5cc8c139f1c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x4b8d2dc948469 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8009e5cc8c139f1c; op2val:0x7fe4b8d2dc948469; +valaddr_reg:x9; val_offset:918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 918*FLEN/8, x10, x6, x7) + +inst_483:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x4b8d2dc948469 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x9e5cc8c139f1c and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe4b8d2dc948469; op2val:0x8009e5cc8c139f1c; +valaddr_reg:x9; val_offset:920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 920*FLEN/8, x10, x6, x7) + +inst_484:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x9e5cc8c139f1c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8009e5cc8c139f1c; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 922*FLEN/8, x10, x6, x7) + +inst_485:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 924*FLEN/8, x10, x6, x7) + +inst_486:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0fd6141352983 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000fd6141352983; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 926*FLEN/8, x10, x6, x7) + +inst_487:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0fd6141352983 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8000fd6141352983; +valaddr_reg:x9; val_offset:928*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 928*FLEN/8, x10, x6, x7) + +inst_488:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0fd6141352983 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000fd6141352983; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:930*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 930*FLEN/8, x10, x6, x7) + +inst_489:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:932*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 932*FLEN/8, x10, x6, x7) + +inst_490:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0fd6141352983 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000fd6141352983; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:934*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 934*FLEN/8, x10, x6, x7) + +inst_491:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:936*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 936*FLEN/8, x10, x6, x7) + +inst_492:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x9e5cc8c139f1c and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x4fb4a933fe34f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8009e5cc8c139f1c; op2val:0xffe4fb4a933fe34f; +valaddr_reg:x9; val_offset:938*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 938*FLEN/8, x10, x6, x7) + +inst_493:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x4fb4a933fe34f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x9e5cc8c139f1c and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe4fb4a933fe34f; op2val:0x8009e5cc8c139f1c; +valaddr_reg:x9; val_offset:940*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 940*FLEN/8, x10, x6, x7) + +inst_494:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x9e5cc8c139f1c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8009e5cc8c139f1c; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:942*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 942*FLEN/8, x10, x6, x7) + +inst_495:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:944*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 944*FLEN/8, x10, x6, x7) + +inst_496:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x9e5cc8c139f1c and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8009e5cc8c139f1c; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:946*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 946*FLEN/8, x10, x6, x7) + +inst_497:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x9e5cc8c139f1c and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8009e5cc8c139f1c; +valaddr_reg:x9; val_offset:948*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 948*FLEN/8, x10, x6, x7) + +inst_498:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x9e5cc8c139f1c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8009e5cc8c139f1c; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:950*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 950*FLEN/8, x10, x6, x7) + +inst_499:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:952*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 952*FLEN/8, x10, x6, x7) + +inst_500:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0fd6141352983 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000fd6141352983; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:954*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 954*FLEN/8, x10, x6, x7) + +inst_501:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0x0; +valaddr_reg:x9; val_offset:956*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 956*FLEN/8, x10, x6, x7) + +inst_502:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x605e3d372e471 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbff605e3d372e471; op2val:0x0; +valaddr_reg:x9; val_offset:958*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 958*FLEN/8, x10, x6, x7) + +inst_503:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x605e3d372e471 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xbff605e3d372e471; +valaddr_reg:x9; val_offset:960*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 960*FLEN/8, x10, x6, x7) + +inst_504:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x8805c5b3ba76f and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x605e3d372e471 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe8805c5b3ba76f; op2val:0xbff605e3d372e471; +valaddr_reg:x9; val_offset:962*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 962*FLEN/8, x10, x6, x7) + +inst_505:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:964*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 964*FLEN/8, x10, x6, x7) + +inst_506:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:966*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 966*FLEN/8, x10, x6, x7) + +inst_507:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:968*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 968*FLEN/8, x10, x6, x7) + +inst_508:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:970*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 970*FLEN/8, x10, x6, x7) + +inst_509:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:972*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 972*FLEN/8, x10, x6, x7) + +inst_510:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:974*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 974*FLEN/8, x10, x6, x7) + +inst_511:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:976*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 976*FLEN/8, x10, x6, x7) + +inst_512:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:978*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 978*FLEN/8, x10, x6, x7) + +inst_513:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:980*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 980*FLEN/8, x10, x6, x7) + +inst_514:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:982*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 982*FLEN/8, x10, x6, x7) + +inst_515:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6c4e25604ed00 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xd4e5c31a3975f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006c4e25604ed00; op2val:0x7fdd4e5c31a3975f; +valaddr_reg:x9; val_offset:984*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 984*FLEN/8, x10, x6, x7) + +inst_516:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xd4e5c31a3975f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x6c4e25604ed00 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdd4e5c31a3975f; op2val:0x8006c4e25604ed00; +valaddr_reg:x9; val_offset:986*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 986*FLEN/8, x10, x6, x7) + +inst_517:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6c4e25604ed00 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006c4e25604ed00; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:988*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 988*FLEN/8, x10, x6, x7) + +inst_518:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x6c4e25604ed00 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x8006c4e25604ed00; +valaddr_reg:x9; val_offset:990*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 990*FLEN/8, x10, x6, x7) + +inst_519:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:992*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 992*FLEN/8, x10, x6, x7) + +inst_520:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0ad49d566e480 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x4dcb3b62b25ff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000ad49d566e480; op2val:0x7fc4dcb3b62b25ff; +valaddr_reg:x9; val_offset:994*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 994*FLEN/8, x10, x6, x7) + +inst_521:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x4dcb3b62b25ff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0ad49d566e480 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fc4dcb3b62b25ff; op2val:0x8000ad49d566e480; +valaddr_reg:x9; val_offset:996*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 996*FLEN/8, x10, x6, x7) + +inst_522:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0ad49d566e480 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000ad49d566e480; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:998*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 998*FLEN/8, x10, x6, x7) + +inst_523:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0ad49d566e480 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x8000ad49d566e480; +valaddr_reg:x9; val_offset:1000*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1000*FLEN/8, x10, x6, x7) + +inst_524:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1002*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1002*FLEN/8, x10, x6, x7) + +inst_525:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0ec35d70c5080 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xf8c50a18d0c04 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030ec35d70c5080; op2val:0x7fbf8c50a18d0c04; +valaddr_reg:x9; val_offset:1004*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1004*FLEN/8, x10, x6, x7) + +inst_526:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xf8c50a18d0c04 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0ec35d70c5080 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fbf8c50a18d0c04; op2val:0x8030ec35d70c5080; +valaddr_reg:x9; val_offset:1006*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1006*FLEN/8, x10, x6, x7) + +inst_527:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0ec35d70c5080 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030ec35d70c5080; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1008*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1008*FLEN/8, x10, x6, x7) + +inst_528:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0ec35d70c5080 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x8030ec35d70c5080; +valaddr_reg:x9; val_offset:1010*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1010*FLEN/8, x10, x6, x7) + +inst_529:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1012*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1012*FLEN/8, x10, x6, x7) + +inst_530:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0ec35d70c5080 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x43fe46d2b7ce6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030ec35d70c5080; op2val:0x7fb43fe46d2b7ce6; +valaddr_reg:x9; val_offset:1014*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1014*FLEN/8, x10, x6, x7) + +inst_531:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x43fe46d2b7ce6 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0ec35d70c5080 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb43fe46d2b7ce6; op2val:0x8030ec35d70c5080; +valaddr_reg:x9; val_offset:1016*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1016*FLEN/8, x10, x6, x7) + +inst_532:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0ec35d70c5080 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030ec35d70c5080; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1018*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1018*FLEN/8, x10, x6, x7) + +inst_533:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1020*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1020*FLEN/8, x10, x6, x7) + +inst_534:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0ec35d70c5080 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x093dbe3aa0387 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030ec35d70c5080; op2val:0x7fb093dbe3aa0387; +valaddr_reg:x9; val_offset:1022*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1022*FLEN/8, x10, x6, x7) + +inst_535:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x093dbe3aa0387 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0ec35d70c5080 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb093dbe3aa0387; op2val:0x8030ec35d70c5080; +valaddr_reg:x9; val_offset:1024*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1024*FLEN/8, x10, x6, x7) + +inst_536:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0ec35d70c5080 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030ec35d70c5080; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1026*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1026*FLEN/8, x10, x6, x7) + +inst_537:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1028*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1028*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_4) + +inst_538:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6c4e25604ed00 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xf6025caa2d205 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006c4e25604ed00; op2val:0xffdf6025caa2d205; +valaddr_reg:x9; val_offset:1030*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1030*FLEN/8, x10, x6, x7) + +inst_539:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xf6025caa2d205 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x6c4e25604ed00 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdf6025caa2d205; op2val:0x8006c4e25604ed00; +valaddr_reg:x9; val_offset:1032*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1032*FLEN/8, x10, x6, x7) + +inst_540:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6c4e25604ed00 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006c4e25604ed00; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1034*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1034*FLEN/8, x10, x6, x7) + +inst_541:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1036*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1036*FLEN/8, x10, x6, x7) + +inst_542:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6c4e25604ed00 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x9bff6a8783cf3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006c4e25604ed00; op2val:0xffc9bff6a8783cf3; +valaddr_reg:x9; val_offset:1038*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1038*FLEN/8, x10, x6, x7) + +inst_543:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x9bff6a8783cf3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x6c4e25604ed00 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc9bff6a8783cf3; op2val:0x8006c4e25604ed00; +valaddr_reg:x9; val_offset:1040*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1040*FLEN/8, x10, x6, x7) + +inst_544:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6c4e25604ed00 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006c4e25604ed00; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1042*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1042*FLEN/8, x10, x6, x7) + +inst_545:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1044*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1044*FLEN/8, x10, x6, x7) + +inst_546:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0ec35d70c5080 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x0c90875ccb5d8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030ec35d70c5080; op2val:0xffb0c90875ccb5d8; +valaddr_reg:x9; val_offset:1046*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1046*FLEN/8, x10, x6, x7) + +inst_547:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x0c90875ccb5d8 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0ec35d70c5080 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb0c90875ccb5d8; op2val:0x8030ec35d70c5080; +valaddr_reg:x9; val_offset:1048*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1048*FLEN/8, x10, x6, x7) + +inst_548:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0ec35d70c5080 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030ec35d70c5080; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1050*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1050*FLEN/8, x10, x6, x7) + +inst_549:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1052*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1052*FLEN/8, x10, x6, x7) + +inst_550:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0ec35d70c5080 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xae9e55abc765f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030ec35d70c5080; op2val:0xffbae9e55abc765f; +valaddr_reg:x9; val_offset:1054*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1054*FLEN/8, x10, x6, x7) + +inst_551:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xae9e55abc765f and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0ec35d70c5080 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbae9e55abc765f; op2val:0x8030ec35d70c5080; +valaddr_reg:x9; val_offset:1056*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1056*FLEN/8, x10, x6, x7) + +inst_552:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0ec35d70c5080 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030ec35d70c5080; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1058*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1058*FLEN/8, x10, x6, x7) + +inst_553:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1060*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1060*FLEN/8, x10, x6, x7) + +inst_554:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6c4e25604ed00 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x47f2e5cadc271 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006c4e25604ed00; op2val:0xffd47f2e5cadc271; +valaddr_reg:x9; val_offset:1062*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1062*FLEN/8, x10, x6, x7) + +inst_555:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x47f2e5cadc271 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x6c4e25604ed00 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd47f2e5cadc271; op2val:0x8006c4e25604ed00; +valaddr_reg:x9; val_offset:1064*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1064*FLEN/8, x10, x6, x7) + +inst_556:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6c4e25604ed00 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006c4e25604ed00; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1066*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1066*FLEN/8, x10, x6, x7) + +inst_557:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0x0; +valaddr_reg:x9; val_offset:1068*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1068*FLEN/8, x10, x6, x7) + +inst_558:// fs1 == 1 and fe1 == 0x402 and fm1 == 0x2d3be740985a9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc022d3be740985a9; op2val:0x0; +valaddr_reg:x9; val_offset:1070*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1070*FLEN/8, x10, x6, x7) + +inst_559:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x402 and fm2 == 0x2d3be740985a9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xc022d3be740985a9; +valaddr_reg:x9; val_offset:1072*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1072*FLEN/8, x10, x6, x7) + +inst_560:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0c1b6ea69558e and fs2 == 1 and fe2 == 0x402 and fm2 == 0x2d3be740985a9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0c1b6ea69558e; op2val:0xc022d3be740985a9; +valaddr_reg:x9; val_offset:1074*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1074*FLEN/8, x10, x6, x7) + +inst_561:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1076*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1076*FLEN/8, x10, x6, x7) + +inst_562:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1078*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1078*FLEN/8, x10, x6, x7) + +inst_563:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1080*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1080*FLEN/8, x10, x6, x7) + +inst_564:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1082*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1082*FLEN/8, x10, x6, x7) + +inst_565:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1084*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1084*FLEN/8, x10, x6, x7) + +inst_566:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1086*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1086*FLEN/8, x10, x6, x7) + +inst_567:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1088*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1088*FLEN/8, x10, x6, x7) + +inst_568:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1090*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1090*FLEN/8, x10, x6, x7) + +inst_569:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1b4ac2dd761b7 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xd4e5c31a3975f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001b4ac2dd761b7; op2val:0x7fdd4e5c31a3975f; +valaddr_reg:x9; val_offset:1092*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1092*FLEN/8, x10, x6, x7) + +inst_570:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xd4e5c31a3975f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1b4ac2dd761b7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdd4e5c31a3975f; op2val:0x8001b4ac2dd761b7; +valaddr_reg:x9; val_offset:1094*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1094*FLEN/8, x10, x6, x7) + +inst_571:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1b4ac2dd761b7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001b4ac2dd761b7; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1096*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1096*FLEN/8, x10, x6, x7) + +inst_572:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1b4ac2dd761b7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x8001b4ac2dd761b7; +valaddr_reg:x9; val_offset:1098*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1098*FLEN/8, x10, x6, x7) + +inst_573:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1100*FLEN/8, x10, x6, x7) + +inst_574:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x02baad1625692 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x4dcb3b62b25ff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80002baad1625692; op2val:0x7fc4dcb3b62b25ff; +valaddr_reg:x9; val_offset:1102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1102*FLEN/8, x10, x6, x7) + +inst_575:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x4dcb3b62b25ff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x02baad1625692 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fc4dcb3b62b25ff; op2val:0x80002baad1625692; +valaddr_reg:x9; val_offset:1104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1104*FLEN/8, x10, x6, x7) + +inst_576:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x02baad1625692 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80002baad1625692; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1106*FLEN/8, x10, x6, x7) + +inst_577:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x02baad1625692 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x80002baad1625692; +valaddr_reg:x9; val_offset:1108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1108*FLEN/8, x10, x6, x7) + +inst_578:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1110*FLEN/8, x10, x6, x7) + +inst_579:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x10eb9ca69d123 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xf8c50a18d0c04 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80110eb9ca69d123; op2val:0x7fbf8c50a18d0c04; +valaddr_reg:x9; val_offset:1112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1112*FLEN/8, x10, x6, x7) + +inst_580:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xf8c50a18d0c04 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x10eb9ca69d123 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fbf8c50a18d0c04; op2val:0x80110eb9ca69d123; +valaddr_reg:x9; val_offset:1114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1114*FLEN/8, x10, x6, x7) + +inst_581:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x10eb9ca69d123 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80110eb9ca69d123; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1116*FLEN/8, x10, x6, x7) + +inst_582:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x10eb9ca69d123 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x80110eb9ca69d123; +valaddr_reg:x9; val_offset:1118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1118*FLEN/8, x10, x6, x7) + +inst_583:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1120*FLEN/8, x10, x6, x7) + +inst_584:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x10eb9ca69d123 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x43fe46d2b7ce6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80110eb9ca69d123; op2val:0x7fb43fe46d2b7ce6; +valaddr_reg:x9; val_offset:1122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1122*FLEN/8, x10, x6, x7) + +inst_585:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x43fe46d2b7ce6 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x10eb9ca69d123 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb43fe46d2b7ce6; op2val:0x80110eb9ca69d123; +valaddr_reg:x9; val_offset:1124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1124*FLEN/8, x10, x6, x7) + +inst_586:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x10eb9ca69d123 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80110eb9ca69d123; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1126*FLEN/8, x10, x6, x7) + +inst_587:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1128*FLEN/8, x10, x6, x7) + +inst_588:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x10eb9ca69d123 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x093dbe3aa0387 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80110eb9ca69d123; op2val:0x7fb093dbe3aa0387; +valaddr_reg:x9; val_offset:1130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1130*FLEN/8, x10, x6, x7) + +inst_589:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x093dbe3aa0387 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x10eb9ca69d123 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb093dbe3aa0387; op2val:0x80110eb9ca69d123; +valaddr_reg:x9; val_offset:1132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1132*FLEN/8, x10, x6, x7) + +inst_590:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x10eb9ca69d123 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80110eb9ca69d123; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1134*FLEN/8, x10, x6, x7) + +inst_591:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1136*FLEN/8, x10, x6, x7) + +inst_592:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1b4ac2dd761b7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xf6025caa2d205 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001b4ac2dd761b7; op2val:0xffdf6025caa2d205; +valaddr_reg:x9; val_offset:1138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1138*FLEN/8, x10, x6, x7) + +inst_593:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xf6025caa2d205 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1b4ac2dd761b7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdf6025caa2d205; op2val:0x8001b4ac2dd761b7; +valaddr_reg:x9; val_offset:1140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1140*FLEN/8, x10, x6, x7) + +inst_594:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1b4ac2dd761b7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001b4ac2dd761b7; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1142*FLEN/8, x10, x6, x7) + +inst_595:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1144*FLEN/8, x10, x6, x7) + +inst_596:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1b4ac2dd761b7 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x9bff6a8783cf3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001b4ac2dd761b7; op2val:0xffc9bff6a8783cf3; +valaddr_reg:x9; val_offset:1146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1146*FLEN/8, x10, x6, x7) + +inst_597:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x9bff6a8783cf3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1b4ac2dd761b7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc9bff6a8783cf3; op2val:0x8001b4ac2dd761b7; +valaddr_reg:x9; val_offset:1148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1148*FLEN/8, x10, x6, x7) + +inst_598:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1b4ac2dd761b7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001b4ac2dd761b7; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1150*FLEN/8, x10, x6, x7) + +inst_599:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1152*FLEN/8, x10, x6, x7) + +inst_600:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x10eb9ca69d123 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x0c90875ccb5d8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80110eb9ca69d123; op2val:0xffb0c90875ccb5d8; +valaddr_reg:x9; val_offset:1154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1154*FLEN/8, x10, x6, x7) + +inst_601:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x0c90875ccb5d8 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x10eb9ca69d123 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb0c90875ccb5d8; op2val:0x80110eb9ca69d123; +valaddr_reg:x9; val_offset:1156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1156*FLEN/8, x10, x6, x7) + +inst_602:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x10eb9ca69d123 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80110eb9ca69d123; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1158*FLEN/8, x10, x6, x7) + +inst_603:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1160*FLEN/8, x10, x6, x7) + +inst_604:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x10eb9ca69d123 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xae9e55abc765f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80110eb9ca69d123; op2val:0xffbae9e55abc765f; +valaddr_reg:x9; val_offset:1162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1162*FLEN/8, x10, x6, x7) + +inst_605:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xae9e55abc765f and fs2 == 1 and fe2 == 0x001 and fm2 == 0x10eb9ca69d123 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbae9e55abc765f; op2val:0x80110eb9ca69d123; +valaddr_reg:x9; val_offset:1164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1164*FLEN/8, x10, x6, x7) + +inst_606:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x10eb9ca69d123 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80110eb9ca69d123; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1166*FLEN/8, x10, x6, x7) + +inst_607:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1168*FLEN/8, x10, x6, x7) + +inst_608:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1b4ac2dd761b7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x47f2e5cadc271 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001b4ac2dd761b7; op2val:0xffd47f2e5cadc271; +valaddr_reg:x9; val_offset:1170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1170*FLEN/8, x10, x6, x7) + +inst_609:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x47f2e5cadc271 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1b4ac2dd761b7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd47f2e5cadc271; op2val:0x8001b4ac2dd761b7; +valaddr_reg:x9; val_offset:1172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1172*FLEN/8, x10, x6, x7) + +inst_610:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1b4ac2dd761b7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8001b4ac2dd761b7; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1174*FLEN/8, x10, x6, x7) + +inst_611:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0x0; +valaddr_reg:x9; val_offset:1176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1176*FLEN/8, x10, x6, x7) + +inst_612:// fs1 == 1 and fe1 == 0x400 and fm1 == 0x2fa24c650ac14 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc002fa24c650ac14; op2val:0x0; +valaddr_reg:x9; val_offset:1178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1178*FLEN/8, x10, x6, x7) + +inst_613:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x400 and fm2 == 0x2fa24c650ac14 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xc002fa24c650ac14; +valaddr_reg:x9; val_offset:1180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1180*FLEN/8, x10, x6, x7) + +inst_614:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x0e3e4312fc728 and fs2 == 1 and fe2 == 0x400 and fm2 == 0x2fa24c650ac14 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc0e3e4312fc728; op2val:0xc002fa24c650ac14; +valaddr_reg:x9; val_offset:1182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1182*FLEN/8, x10, x6, x7) + +inst_615:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1184*FLEN/8, x10, x6, x7) + +inst_616:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xd4e5c31a3975f and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdd4e5c31a3975f; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1186*FLEN/8, x10, x6, x7) + +inst_617:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xd4e5c31a3975f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x7fdd4e5c31a3975f; +valaddr_reg:x9; val_offset:1188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1188*FLEN/8, x10, x6, x7) + +inst_618:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1190*FLEN/8, x10, x6, x7) + +inst_619:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1192*FLEN/8, x10, x6, x7) + +inst_620:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:1194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1194*FLEN/8, x10, x6, x7) + +inst_621:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1196*FLEN/8, x10, x6, x7) + +inst_622:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xd4e5c31a3975f and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdd4e5c31a3975f; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1198*FLEN/8, x10, x6, x7) + +inst_623:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1200*FLEN/8, x10, x6, x7) + +inst_624:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1202*FLEN/8, x10, x6, x7) + +inst_625:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1204*FLEN/8, x10, x6, x7) + +inst_626:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xd4e5c31a3975f and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdd4e5c31a3975f; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1206*FLEN/8, x10, x6, x7) + +inst_627:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1208*FLEN/8, x10, x6, x7) + +inst_628:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1210*FLEN/8, x10, x6, x7) + +inst_629:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1212*FLEN/8, x10, x6, x7) + +inst_630:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xd4e5c31a3975f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdd4e5c31a3975f; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1214*FLEN/8, x10, x6, x7) + +inst_631:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1216*FLEN/8, x10, x6, x7) + +inst_632:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xd4e5c31a3975f and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdd4e5c31a3975f; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1218*FLEN/8, x10, x6, x7) + +inst_633:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1220*FLEN/8, x10, x6, x7) + +inst_634:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x097889c6218ac and fs2 == 0 and fe2 == 0x000 and fm2 == 0x21b5c662d267b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x97889c6218ac; op2val:0x21b5c662d267b; +valaddr_reg:x9; val_offset:1222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1222*FLEN/8, x10, x6, x7) + +inst_635:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x21b5c662d267b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x097889c6218ac and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x21b5c662d267b; op2val:0x97889c6218ac; +valaddr_reg:x9; val_offset:1224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1224*FLEN/8, x10, x6, x7) + +inst_636:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x097889c6218ac and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x97889c6218ac; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1226*FLEN/8, x10, x6, x7) + +inst_637:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x097889c6218ac and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x97889c6218ac; +valaddr_reg:x9; val_offset:1228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1228*FLEN/8, x10, x6, x7) + +inst_638:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1230*FLEN/8, x10, x6, x7) + +inst_639:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xd98ae8b28d198 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x197d0ed8b1e34 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2d98ae8b28d198; op2val:0x197d0ed8b1e34; +valaddr_reg:x9; val_offset:1232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1232*FLEN/8, x10, x6, x7) + +inst_640:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x197d0ed8b1e34 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xd98ae8b28d198 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x197d0ed8b1e34; op2val:0x2d98ae8b28d198; +valaddr_reg:x9; val_offset:1234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1234*FLEN/8, x10, x6, x7) + +inst_641:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xd98ae8b28d198 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2d98ae8b28d198; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1236*FLEN/8, x10, x6, x7) + +inst_642:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xd98ae8b28d198 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x2d98ae8b28d198; +valaddr_reg:x9; val_offset:1238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1238*FLEN/8, x10, x6, x7) + +inst_643:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1240*FLEN/8, x10, x6, x7) + +inst_644:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xd98ae8b28d198 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x105c326c5af30 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2d98ae8b28d198; op2val:0x105c326c5af30; +valaddr_reg:x9; val_offset:1242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1242*FLEN/8, x10, x6, x7) + +inst_645:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x105c326c5af30 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xd98ae8b28d198 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x105c326c5af30; op2val:0x2d98ae8b28d198; +valaddr_reg:x9; val_offset:1244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1244*FLEN/8, x10, x6, x7) + +inst_646:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xd98ae8b28d198 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2d98ae8b28d198; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1246*FLEN/8, x10, x6, x7) + +inst_647:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1248*FLEN/8, x10, x6, x7) + +inst_648:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xd98ae8b28d198 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0d64b86ad9094 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2d98ae8b28d198; op2val:0xd64b86ad9094; +valaddr_reg:x9; val_offset:1250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1250*FLEN/8, x10, x6, x7) + +inst_649:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d64b86ad9094 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xd98ae8b28d198 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd64b86ad9094; op2val:0x2d98ae8b28d198; +valaddr_reg:x9; val_offset:1252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1252*FLEN/8, x10, x6, x7) + +inst_650:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xd98ae8b28d198 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2d98ae8b28d198; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1254*FLEN/8, x10, x6, x7) + +inst_651:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1256*FLEN/8, x10, x6, x7) + +inst_652:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1258*FLEN/8, x10, x6, x7) + +inst_653:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1260*FLEN/8, x10, x6, x7) + +inst_654:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1262*FLEN/8, x10, x6, x7) + +inst_655:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1264*FLEN/8, x10, x6, x7) + +inst_656:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xd98ae8b28d198 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0d8fae5b11a26 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2d98ae8b28d198; op2val:0x8000d8fae5b11a26; +valaddr_reg:x9; val_offset:1266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1266*FLEN/8, x10, x6, x7) + +inst_657:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d8fae5b11a26 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xd98ae8b28d198 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d8fae5b11a26; op2val:0x2d98ae8b28d198; +valaddr_reg:x9; val_offset:1268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1268*FLEN/8, x10, x6, x7) + +inst_658:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xd98ae8b28d198 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2d98ae8b28d198; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1270*FLEN/8, x10, x6, x7) + +inst_659:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1272*FLEN/8, x10, x6, x7) + +inst_660:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xd98ae8b28d198 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x15be852c0ecf4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2d98ae8b28d198; op2val:0x80015be852c0ecf4; +valaddr_reg:x9; val_offset:1274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1274*FLEN/8, x10, x6, x7) + +inst_661:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x15be852c0ecf4 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xd98ae8b28d198 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80015be852c0ecf4; op2val:0x2d98ae8b28d198; +valaddr_reg:x9; val_offset:1276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1276*FLEN/8, x10, x6, x7) + +inst_662:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xd98ae8b28d198 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2d98ae8b28d198; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1278*FLEN/8, x10, x6, x7) + +inst_663:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1280*FLEN/8, x10, x6, x7) + +inst_664:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1282*FLEN/8, x10, x6, x7) + +inst_665:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x0; +valaddr_reg:x9; val_offset:1284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1284*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_5) + +inst_666:// fs1 == 0 and fe1 == 0x402 and fm1 == 0x076ab4deeec91 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x402076ab4deeec91; op2val:0x0; +valaddr_reg:x9; val_offset:1286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1286*FLEN/8, x10, x6, x7) + +inst_667:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x402 and fm2 == 0x076ab4deeec91 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x402076ab4deeec91; +valaddr_reg:x9; val_offset:1288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1288*FLEN/8, x10, x6, x7) + +inst_668:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x5eb561bd4f6b8 and fs2 == 0 and fe2 == 0x402 and fm2 == 0x076ab4deeec91 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x5eb561bd4f6b8; op2val:0x402076ab4deeec91; +valaddr_reg:x9; val_offset:1290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1290*FLEN/8, x10, x6, x7) + +inst_669:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1292*FLEN/8, x10, x6, x7) + +inst_670:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x4dcb3b62b25ff and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fc4dcb3b62b25ff; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1294*FLEN/8, x10, x6, x7) + +inst_671:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x4dcb3b62b25ff and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x7fc4dcb3b62b25ff; +valaddr_reg:x9; val_offset:1296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1296*FLEN/8, x10, x6, x7) + +inst_672:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1298*FLEN/8, x10, x6, x7) + +inst_673:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1300*FLEN/8, x10, x6, x7) + +inst_674:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:1302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1302*FLEN/8, x10, x6, x7) + +inst_675:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1304*FLEN/8, x10, x6, x7) + +inst_676:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x4dcb3b62b25ff and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fc4dcb3b62b25ff; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1306*FLEN/8, x10, x6, x7) + +inst_677:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1308*FLEN/8, x10, x6, x7) + +inst_678:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1310*FLEN/8, x10, x6, x7) + +inst_679:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1312*FLEN/8, x10, x6, x7) + +inst_680:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x4dcb3b62b25ff and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fc4dcb3b62b25ff; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1314*FLEN/8, x10, x6, x7) + +inst_681:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1316*FLEN/8, x10, x6, x7) + +inst_682:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1318*FLEN/8, x10, x6, x7) + +inst_683:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1320*FLEN/8, x10, x6, x7) + +inst_684:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x4dcb3b62b25ff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fc4dcb3b62b25ff; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1322*FLEN/8, x10, x6, x7) + +inst_685:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1324*FLEN/8, x10, x6, x7) + +inst_686:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x4dcb3b62b25ff and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fc4dcb3b62b25ff; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1326*FLEN/8, x10, x6, x7) + +inst_687:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1328*FLEN/8, x10, x6, x7) + +inst_688:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x21b5c662d267b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x21b5c662d267b; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1330*FLEN/8, x10, x6, x7) + +inst_689:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x21b5c662d267b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x21b5c662d267b; +valaddr_reg:x9; val_offset:1332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1332*FLEN/8, x10, x6, x7) + +inst_690:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1334*FLEN/8, x10, x6, x7) + +inst_691:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x5119bfdc380d2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x028c817c11c9f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15119bfdc380d2; op2val:0x28c817c11c9f; +valaddr_reg:x9; val_offset:1336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1336*FLEN/8, x10, x6, x7) + +inst_692:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x028c817c11c9f and fs2 == 0 and fe2 == 0x001 and fm2 == 0x5119bfdc380d2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x28c817c11c9f; op2val:0x15119bfdc380d2; +valaddr_reg:x9; val_offset:1338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1338*FLEN/8, x10, x6, x7) + +inst_693:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x5119bfdc380d2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15119bfdc380d2; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1340*FLEN/8, x10, x6, x7) + +inst_694:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x5119bfdc380d2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x15119bfdc380d2; +valaddr_reg:x9; val_offset:1342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1342*FLEN/8, x10, x6, x7) + +inst_695:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1344*FLEN/8, x10, x6, x7) + +inst_696:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x5119bfdc380d2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01a2d1d7a2b1e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15119bfdc380d2; op2val:0x1a2d1d7a2b1e; +valaddr_reg:x9; val_offset:1346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1346*FLEN/8, x10, x6, x7) + +inst_697:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01a2d1d7a2b1e and fs2 == 0 and fe2 == 0x001 and fm2 == 0x5119bfdc380d2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1a2d1d7a2b1e; op2val:0x15119bfdc380d2; +valaddr_reg:x9; val_offset:1348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1348*FLEN/8, x10, x6, x7) + +inst_698:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x5119bfdc380d2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15119bfdc380d2; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1350*FLEN/8, x10, x6, x7) + +inst_699:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1352*FLEN/8, x10, x6, x7) + +inst_700:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x5119bfdc380d2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0156df3de280f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15119bfdc380d2; op2val:0x156df3de280f; +valaddr_reg:x9; val_offset:1354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1354*FLEN/8, x10, x6, x7) + +inst_701:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0156df3de280f and fs2 == 0 and fe2 == 0x001 and fm2 == 0x5119bfdc380d2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x156df3de280f; op2val:0x15119bfdc380d2; +valaddr_reg:x9; val_offset:1356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1356*FLEN/8, x10, x6, x7) + +inst_702:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x5119bfdc380d2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15119bfdc380d2; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1358*FLEN/8, x10, x6, x7) + +inst_703:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1360*FLEN/8, x10, x6, x7) + +inst_704:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x21b5c662d267b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0a23bfe815416 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x21b5c662d267b; op2val:0x8000a23bfe815416; +valaddr_reg:x9; val_offset:1362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1362*FLEN/8, x10, x6, x7) + +inst_705:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0a23bfe815416 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x21b5c662d267b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000a23bfe815416; op2val:0x21b5c662d267b; +valaddr_reg:x9; val_offset:1364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1364*FLEN/8, x10, x6, x7) + +inst_706:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x21b5c662d267b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x21b5c662d267b; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1366*FLEN/8, x10, x6, x7) + +inst_707:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1368*FLEN/8, x10, x6, x7) + +inst_708:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x21b5c662d267b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x042929a1b2ce1 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x21b5c662d267b; op2val:0x800042929a1b2ce1; +valaddr_reg:x9; val_offset:1370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1370*FLEN/8, x10, x6, x7) + +inst_709:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x042929a1b2ce1 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x21b5c662d267b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800042929a1b2ce1; op2val:0x21b5c662d267b; +valaddr_reg:x9; val_offset:1372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1372*FLEN/8, x10, x6, x7) + +inst_710:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x21b5c662d267b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x21b5c662d267b; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1374*FLEN/8, x10, x6, x7) + +inst_711:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1376*FLEN/8, x10, x6, x7) + +inst_712:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x5119bfdc380d2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x015b2b091b5d1 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15119bfdc380d2; op2val:0x800015b2b091b5d1; +valaddr_reg:x9; val_offset:1378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1378*FLEN/8, x10, x6, x7) + +inst_713:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x015b2b091b5d1 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x5119bfdc380d2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800015b2b091b5d1; op2val:0x15119bfdc380d2; +valaddr_reg:x9; val_offset:1380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1380*FLEN/8, x10, x6, x7) + +inst_714:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x5119bfdc380d2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15119bfdc380d2; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1382*FLEN/8, x10, x6, x7) + +inst_715:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1384*FLEN/8, x10, x6, x7) + +inst_716:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x5119bfdc380d2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x022ca6eace47f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15119bfdc380d2; op2val:0x800022ca6eace47f; +valaddr_reg:x9; val_offset:1386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1386*FLEN/8, x10, x6, x7) + +inst_717:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x022ca6eace47f and fs2 == 0 and fe2 == 0x001 and fm2 == 0x5119bfdc380d2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800022ca6eace47f; op2val:0x15119bfdc380d2; +valaddr_reg:x9; val_offset:1388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1388*FLEN/8, x10, x6, x7) + +inst_718:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x5119bfdc380d2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x15119bfdc380d2; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1390*FLEN/8, x10, x6, x7) + +inst_719:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1392*FLEN/8, x10, x6, x7) + +inst_720:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x21b5c662d267b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x069fbb598d312 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x21b5c662d267b; op2val:0x800069fbb598d312; +valaddr_reg:x9; val_offset:1394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1394*FLEN/8, x10, x6, x7) + +inst_721:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x069fbb598d312 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x21b5c662d267b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800069fbb598d312; op2val:0x21b5c662d267b; +valaddr_reg:x9; val_offset:1396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1396*FLEN/8, x10, x6, x7) + +inst_722:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x21b5c662d267b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x21b5c662d267b; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1398*FLEN/8, x10, x6, x7) + +inst_723:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x0; +valaddr_reg:x9; val_offset:1400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1400*FLEN/8, x10, x6, x7) + +inst_724:// fs1 == 0 and fe1 == 0x400 and fm1 == 0x77096ee4d2f12 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x40077096ee4d2f12; op2val:0x0; +valaddr_reg:x9; val_offset:1402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1402*FLEN/8, x10, x6, x7) + +inst_725:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x400 and fm2 == 0x77096ee4d2f12 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x40077096ee4d2f12; +valaddr_reg:x9; val_offset:1404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1404*FLEN/8, x10, x6, x7) + +inst_726:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x035efa3d150a6 and fs2 == 0 and fe2 == 0x400 and fm2 == 0x77096ee4d2f12 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x35efa3d150a6; op2val:0x40077096ee4d2f12; +valaddr_reg:x9; val_offset:1406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1406*FLEN/8, x10, x6, x7) + +inst_727:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1408*FLEN/8, x10, x6, x7) + +inst_728:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xf8c50a18d0c04 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fbf8c50a18d0c04; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1410*FLEN/8, x10, x6, x7) + +inst_729:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xf8c50a18d0c04 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x7fbf8c50a18d0c04; +valaddr_reg:x9; val_offset:1412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1412*FLEN/8, x10, x6, x7) + +inst_730:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1414*FLEN/8, x10, x6, x7) + +inst_731:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1416*FLEN/8, x10, x6, x7) + +inst_732:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:1418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1418*FLEN/8, x10, x6, x7) + +inst_733:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1420*FLEN/8, x10, x6, x7) + +inst_734:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xf8c50a18d0c04 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fbf8c50a18d0c04; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1422*FLEN/8, x10, x6, x7) + +inst_735:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1424*FLEN/8, x10, x6, x7) + +inst_736:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1426*FLEN/8, x10, x6, x7) + +inst_737:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1428*FLEN/8, x10, x6, x7) + +inst_738:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xf8c50a18d0c04 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fbf8c50a18d0c04; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1430*FLEN/8, x10, x6, x7) + +inst_739:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1432*FLEN/8, x10, x6, x7) + +inst_740:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1434*FLEN/8, x10, x6, x7) + +inst_741:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1436*FLEN/8, x10, x6, x7) + +inst_742:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xf8c50a18d0c04 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fbf8c50a18d0c04; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1438*FLEN/8, x10, x6, x7) + +inst_743:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1440*FLEN/8, x10, x6, x7) + +inst_744:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xf8c50a18d0c04 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fbf8c50a18d0c04; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1442*FLEN/8, x10, x6, x7) + +inst_745:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1444*FLEN/8, x10, x6, x7) + +inst_746:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x197d0ed8b1e34 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x197d0ed8b1e34; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1446*FLEN/8, x10, x6, x7) + +inst_747:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x197d0ed8b1e34 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x197d0ed8b1e34; +valaddr_reg:x9; val_offset:1448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1448*FLEN/8, x10, x6, x7) + +inst_748:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1450*FLEN/8, x10, x6, x7) + +inst_749:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x028c817c11c9f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x28c817c11c9f; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1452*FLEN/8, x10, x6, x7) + +inst_750:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x028c817c11c9f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x28c817c11c9f; +valaddr_reg:x9; val_offset:1454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1454*FLEN/8, x10, x6, x7) + +inst_751:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1456*FLEN/8, x10, x6, x7) + +inst_752:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1458*FLEN/8, x10, x6, x7) + +inst_753:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1460*FLEN/8, x10, x6, x7) + +inst_754:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1462*FLEN/8, x10, x6, x7) + +inst_755:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1464*FLEN/8, x10, x6, x7) + +inst_756:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x197d0ed8b1e34 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xfafb7b5426c47 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x197d0ed8b1e34; op2val:0x802fafb7b5426c47; +valaddr_reg:x9; val_offset:1466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1466*FLEN/8, x10, x6, x7) + +inst_757:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xfafb7b5426c47 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x197d0ed8b1e34 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802fafb7b5426c47; op2val:0x197d0ed8b1e34; +valaddr_reg:x9; val_offset:1468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1468*FLEN/8, x10, x6, x7) + +inst_758:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x197d0ed8b1e34 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x197d0ed8b1e34; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1470*FLEN/8, x10, x6, x7) + +inst_759:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1472*FLEN/8, x10, x6, x7) + +inst_760:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x197d0ed8b1e34 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xa0144329d87cc and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x197d0ed8b1e34; op2val:0x801a0144329d87cc; +valaddr_reg:x9; val_offset:1474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1474*FLEN/8, x10, x6, x7) + +inst_761:// fs1 == 1 and fe1 == 0x001 and fm1 == 0xa0144329d87cc and fs2 == 0 and fe2 == 0x000 and fm2 == 0x197d0ed8b1e34 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x801a0144329d87cc; op2val:0x197d0ed8b1e34; +valaddr_reg:x9; val_offset:1476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1476*FLEN/8, x10, x6, x7) + +inst_762:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x197d0ed8b1e34 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x197d0ed8b1e34; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1478*FLEN/8, x10, x6, x7) + +inst_763:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1480*FLEN/8, x10, x6, x7) + +inst_764:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1482*FLEN/8, x10, x6, x7) + +inst_765:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1484*FLEN/8, x10, x6, x7) + +inst_766:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1486*FLEN/8, x10, x6, x7) + +inst_767:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1488*FLEN/8, x10, x6, x7) + +inst_768:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x197d0ed8b1e34 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x4b32977d93970 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x197d0ed8b1e34; op2val:0x8024b32977d93970; +valaddr_reg:x9; val_offset:1490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1490*FLEN/8, x10, x6, x7) + +inst_769:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x4b32977d93970 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x197d0ed8b1e34 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8024b32977d93970; op2val:0x197d0ed8b1e34; +valaddr_reg:x9; val_offset:1492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1492*FLEN/8, x10, x6, x7) + +inst_770:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x197d0ed8b1e34 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x197d0ed8b1e34; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1494*FLEN/8, x10, x6, x7) + +inst_771:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x0; +valaddr_reg:x9; val_offset:1496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1496*FLEN/8, x10, x6, x7) + +inst_772:// fs1 == 0 and fe1 == 0x400 and fm1 == 0x1b91ae09e503b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x4001b91ae09e503b; op2val:0x0; +valaddr_reg:x9; val_offset:1498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1498*FLEN/8, x10, x6, x7) + +inst_773:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x400 and fm2 == 0x1b91ae09e503b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x4001b91ae09e503b; +valaddr_reg:x9; val_offset:1500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1500*FLEN/8, x10, x6, x7) + +inst_774:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfee29476f2e06 and fs2 == 0 and fe2 == 0x400 and fm2 == 0x1b91ae09e503b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfee29476f2e06; op2val:0x4001b91ae09e503b; +valaddr_reg:x9; val_offset:1502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1502*FLEN/8, x10, x6, x7) + +inst_775:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1504*FLEN/8, x10, x6, x7) + +inst_776:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x43fe46d2b7ce6 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb43fe46d2b7ce6; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1506*FLEN/8, x10, x6, x7) + +inst_777:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x43fe46d2b7ce6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x7fb43fe46d2b7ce6; +valaddr_reg:x9; val_offset:1508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1508*FLEN/8, x10, x6, x7) + +inst_778:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1510*FLEN/8, x10, x6, x7) + +inst_779:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1512*FLEN/8, x10, x6, x7) + +inst_780:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x94fdd88765c1f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe94fdd88765c1f; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1514*FLEN/8, x10, x6, x7) + +inst_781:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x94fdd88765c1f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x7fe94fdd88765c1f; +valaddr_reg:x9; val_offset:1516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1516*FLEN/8, x10, x6, x7) + +inst_782:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1518*FLEN/8, x10, x6, x7) + +inst_783:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x43fe46d2b7ce6 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb43fe46d2b7ce6; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1520*FLEN/8, x10, x6, x7) + +inst_784:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1522*FLEN/8, x10, x6, x7) + +inst_785:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x94fdd88765c1f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe94fdd88765c1f; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1524*FLEN/8, x10, x6, x7) + +inst_786:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1526*FLEN/8, x10, x6, x7) + +inst_787:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x94fdd88765c1f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe94fdd88765c1f; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1528*FLEN/8, x10, x6, x7) + +inst_788:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1530*FLEN/8, x10, x6, x7) + +inst_789:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x43fe46d2b7ce6 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb43fe46d2b7ce6; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1532*FLEN/8, x10, x6, x7) + +inst_790:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1534*FLEN/8, x10, x6, x7) + +inst_791:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x94fdd88765c1f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe94fdd88765c1f; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1536*FLEN/8, x10, x6, x7) + +inst_792:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1538*FLEN/8, x10, x6, x7) + +inst_793:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x94fdd88765c1f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe94fdd88765c1f; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1540*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_6) + +inst_794:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1542*FLEN/8, x10, x6, x7) + +inst_795:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x43fe46d2b7ce6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb43fe46d2b7ce6; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1544*FLEN/8, x10, x6, x7) + +inst_796:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1546*FLEN/8, x10, x6, x7) + +inst_797:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x43fe46d2b7ce6 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb43fe46d2b7ce6; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1548*FLEN/8, x10, x6, x7) + +inst_798:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1550*FLEN/8, x10, x6, x7) + +inst_799:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x105c326c5af30 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x105c326c5af30; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1552*FLEN/8, x10, x6, x7) + +inst_800:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x105c326c5af30 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x105c326c5af30; +valaddr_reg:x9; val_offset:1554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1554*FLEN/8, x10, x6, x7) + +inst_801:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1556*FLEN/8, x10, x6, x7) + +inst_802:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01a2d1d7a2b1e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1a2d1d7a2b1e; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1558*FLEN/8, x10, x6, x7) + +inst_803:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01a2d1d7a2b1e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x1a2d1d7a2b1e; +valaddr_reg:x9; val_offset:1560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1560*FLEN/8, x10, x6, x7) + +inst_804:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1562*FLEN/8, x10, x6, x7) + +inst_805:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1564*FLEN/8, x10, x6, x7) + +inst_806:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1566*FLEN/8, x10, x6, x7) + +inst_807:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x105c326c5af30 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xfafb7b5426c47 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x105c326c5af30; op2val:0x802fafb7b5426c47; +valaddr_reg:x9; val_offset:1568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1568*FLEN/8, x10, x6, x7) + +inst_808:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xfafb7b5426c47 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x105c326c5af30 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802fafb7b5426c47; op2val:0x105c326c5af30; +valaddr_reg:x9; val_offset:1570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1570*FLEN/8, x10, x6, x7) + +inst_809:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x105c326c5af30 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x105c326c5af30; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1572*FLEN/8, x10, x6, x7) + +inst_810:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1574*FLEN/8, x10, x6, x7) + +inst_811:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x105c326c5af30 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xa0144329d87cc and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x105c326c5af30; op2val:0x801a0144329d87cc; +valaddr_reg:x9; val_offset:1576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1576*FLEN/8, x10, x6, x7) + +inst_812:// fs1 == 1 and fe1 == 0x001 and fm1 == 0xa0144329d87cc and fs2 == 0 and fe2 == 0x000 and fm2 == 0x105c326c5af30 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x801a0144329d87cc; op2val:0x105c326c5af30; +valaddr_reg:x9; val_offset:1578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1578*FLEN/8, x10, x6, x7) + +inst_813:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x105c326c5af30 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x105c326c5af30; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1580*FLEN/8, x10, x6, x7) + +inst_814:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1582*FLEN/8, x10, x6, x7) + +inst_815:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1584*FLEN/8, x10, x6, x7) + +inst_816:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1586*FLEN/8, x10, x6, x7) + +inst_817:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1588*FLEN/8, x10, x6, x7) + +inst_818:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1590*FLEN/8, x10, x6, x7) + +inst_819:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x105c326c5af30 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x4b32977d93970 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x105c326c5af30; op2val:0x8024b32977d93970; +valaddr_reg:x9; val_offset:1592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1592*FLEN/8, x10, x6, x7) + +inst_820:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x4b32977d93970 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x105c326c5af30 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8024b32977d93970; op2val:0x105c326c5af30; +valaddr_reg:x9; val_offset:1594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1594*FLEN/8, x10, x6, x7) + +inst_821:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x105c326c5af30 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x105c326c5af30; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1596*FLEN/8, x10, x6, x7) + +inst_822:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x0; +valaddr_reg:x9; val_offset:1598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1598*FLEN/8, x10, x6, x7) + +inst_823:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x6c0679d004e5b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff6c0679d004e5b; op2val:0x0; +valaddr_reg:x9; val_offset:1600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1600*FLEN/8, x10, x6, x7) + +inst_824:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x6c0679d004e5b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ff6c0679d004e5b; +valaddr_reg:x9; val_offset:1602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1602*FLEN/8, x10, x6, x7) + +inst_825:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa399f83b8d7e3 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x6c0679d004e5b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xa399f83b8d7e3; op2val:0x3ff6c0679d004e5b; +valaddr_reg:x9; val_offset:1604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1604*FLEN/8, x10, x6, x7) + +inst_826:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1606*FLEN/8, x10, x6, x7) + +inst_827:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x093dbe3aa0387 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb093dbe3aa0387; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1608*FLEN/8, x10, x6, x7) + +inst_828:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x093dbe3aa0387 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x7fb093dbe3aa0387; +valaddr_reg:x9; val_offset:1610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1610*FLEN/8, x10, x6, x7) + +inst_829:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1612*FLEN/8, x10, x6, x7) + +inst_830:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1614*FLEN/8, x10, x6, x7) + +inst_831:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x4b8d2dc948469 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe4b8d2dc948469; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1616*FLEN/8, x10, x6, x7) + +inst_832:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x4b8d2dc948469 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x7fe4b8d2dc948469; +valaddr_reg:x9; val_offset:1618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1618*FLEN/8, x10, x6, x7) + +inst_833:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1620*FLEN/8, x10, x6, x7) + +inst_834:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x093dbe3aa0387 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb093dbe3aa0387; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1622*FLEN/8, x10, x6, x7) + +inst_835:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1624*FLEN/8, x10, x6, x7) + +inst_836:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x4b8d2dc948469 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe4b8d2dc948469; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1626*FLEN/8, x10, x6, x7) + +inst_837:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1628*FLEN/8, x10, x6, x7) + +inst_838:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x4b8d2dc948469 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe4b8d2dc948469; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1630*FLEN/8, x10, x6, x7) + +inst_839:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1632*FLEN/8, x10, x6, x7) + +inst_840:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x093dbe3aa0387 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb093dbe3aa0387; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1634*FLEN/8, x10, x6, x7) + +inst_841:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1636*FLEN/8, x10, x6, x7) + +inst_842:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x4b8d2dc948469 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe4b8d2dc948469; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1638*FLEN/8, x10, x6, x7) + +inst_843:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1640*FLEN/8, x10, x6, x7) + +inst_844:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x4b8d2dc948469 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe4b8d2dc948469; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1642*FLEN/8, x10, x6, x7) + +inst_845:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1644*FLEN/8, x10, x6, x7) + +inst_846:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x093dbe3aa0387 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb093dbe3aa0387; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1646*FLEN/8, x10, x6, x7) + +inst_847:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1648*FLEN/8, x10, x6, x7) + +inst_848:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x093dbe3aa0387 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb093dbe3aa0387; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1650*FLEN/8, x10, x6, x7) + +inst_849:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1652*FLEN/8, x10, x6, x7) + +inst_850:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d64b86ad9094 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd64b86ad9094; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1654*FLEN/8, x10, x6, x7) + +inst_851:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0d64b86ad9094 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0xd64b86ad9094; +valaddr_reg:x9; val_offset:1656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1656*FLEN/8, x10, x6, x7) + +inst_852:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1658*FLEN/8, x10, x6, x7) + +inst_853:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0156df3de280f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x156df3de280f; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1660*FLEN/8, x10, x6, x7) + +inst_854:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0156df3de280f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x156df3de280f; +valaddr_reg:x9; val_offset:1662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1662*FLEN/8, x10, x6, x7) + +inst_855:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1664*FLEN/8, x10, x6, x7) + +inst_856:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d64b86ad9094 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xfafb7b5426c47 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd64b86ad9094; op2val:0x802fafb7b5426c47; +valaddr_reg:x9; val_offset:1666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1666*FLEN/8, x10, x6, x7) + +inst_857:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xfafb7b5426c47 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0d64b86ad9094 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802fafb7b5426c47; op2val:0xd64b86ad9094; +valaddr_reg:x9; val_offset:1668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1668*FLEN/8, x10, x6, x7) + +inst_858:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d64b86ad9094 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd64b86ad9094; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1670*FLEN/8, x10, x6, x7) + +inst_859:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1672*FLEN/8, x10, x6, x7) + +inst_860:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d64b86ad9094 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xa0144329d87cc and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd64b86ad9094; op2val:0x801a0144329d87cc; +valaddr_reg:x9; val_offset:1674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1674*FLEN/8, x10, x6, x7) + +inst_861:// fs1 == 1 and fe1 == 0x001 and fm1 == 0xa0144329d87cc and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0d64b86ad9094 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x801a0144329d87cc; op2val:0xd64b86ad9094; +valaddr_reg:x9; val_offset:1676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1676*FLEN/8, x10, x6, x7) + +inst_862:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d64b86ad9094 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd64b86ad9094; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1678*FLEN/8, x10, x6, x7) + +inst_863:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1680*FLEN/8, x10, x6, x7) + +inst_864:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1682*FLEN/8, x10, x6, x7) + +inst_865:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1684*FLEN/8, x10, x6, x7) + +inst_866:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1686*FLEN/8, x10, x6, x7) + +inst_867:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1688*FLEN/8, x10, x6, x7) + +inst_868:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d64b86ad9094 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x4b32977d93970 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd64b86ad9094; op2val:0x8024b32977d93970; +valaddr_reg:x9; val_offset:1690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1690*FLEN/8, x10, x6, x7) + +inst_869:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x4b32977d93970 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0d64b86ad9094 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8024b32977d93970; op2val:0xd64b86ad9094; +valaddr_reg:x9; val_offset:1692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1692*FLEN/8, x10, x6, x7) + +inst_870:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0d64b86ad9094 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xd64b86ad9094; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1694*FLEN/8, x10, x6, x7) + +inst_871:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x0; +valaddr_reg:x9; val_offset:1696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1696*FLEN/8, x10, x6, x7) + +inst_872:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x2a038f94d730b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff2a038f94d730b; op2val:0x0; +valaddr_reg:x9; val_offset:1698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1698*FLEN/8, x10, x6, x7) + +inst_873:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x2a038f94d730b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ff2a038f94d730b; +valaddr_reg:x9; val_offset:1700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1700*FLEN/8, x10, x6, x7) + +inst_874:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x85ef342c7a5c9 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x2a038f94d730b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x85ef342c7a5c9; op2val:0x3ff2a038f94d730b; +valaddr_reg:x9; val_offset:1702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1702*FLEN/8, x10, x6, x7) + +inst_875:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1704*FLEN/8, x10, x6, x7) + +inst_876:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xf6025caa2d205 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdf6025caa2d205; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1706*FLEN/8, x10, x6, x7) + +inst_877:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xf6025caa2d205 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0xffdf6025caa2d205; +valaddr_reg:x9; val_offset:1708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1708*FLEN/8, x10, x6, x7) + +inst_878:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1710*FLEN/8, x10, x6, x7) + +inst_879:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1712*FLEN/8, x10, x6, x7) + +inst_880:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1714*FLEN/8, x10, x6, x7) + +inst_881:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1716*FLEN/8, x10, x6, x7) + +inst_882:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xf6025caa2d205 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdf6025caa2d205; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1718*FLEN/8, x10, x6, x7) + +inst_883:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1720*FLEN/8, x10, x6, x7) + +inst_884:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1722*FLEN/8, x10, x6, x7) + +inst_885:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1724*FLEN/8, x10, x6, x7) + +inst_886:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xf6025caa2d205 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdf6025caa2d205; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1726*FLEN/8, x10, x6, x7) + +inst_887:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1728*FLEN/8, x10, x6, x7) + +inst_888:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1730*FLEN/8, x10, x6, x7) + +inst_889:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1732*FLEN/8, x10, x6, x7) + +inst_890:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xf6025caa2d205 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdf6025caa2d205; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1734*FLEN/8, x10, x6, x7) + +inst_891:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1736*FLEN/8, x10, x6, x7) + +inst_892:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xf6025caa2d205 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdf6025caa2d205; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1738*FLEN/8, x10, x6, x7) + +inst_893:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1740*FLEN/8, x10, x6, x7) + +inst_894:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0a23bfe815416 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000a23bfe815416; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1742*FLEN/8, x10, x6, x7) + +inst_895:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0a23bfe815416 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x8000a23bfe815416; +valaddr_reg:x9; val_offset:1744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1744*FLEN/8, x10, x6, x7) + +inst_896:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1746*FLEN/8, x10, x6, x7) + +inst_897:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xfafb7b5426c47 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802fafb7b5426c47; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1748*FLEN/8, x10, x6, x7) + +inst_898:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x002 and fm2 == 0xfafb7b5426c47 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x802fafb7b5426c47; +valaddr_reg:x9; val_offset:1750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1750*FLEN/8, x10, x6, x7) + +inst_899:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1752*FLEN/8, x10, x6, x7) + +inst_900:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xfafb7b5426c47 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802fafb7b5426c47; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1754*FLEN/8, x10, x6, x7) + +inst_901:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1756*FLEN/8, x10, x6, x7) + +inst_902:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xfafb7b5426c47 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802fafb7b5426c47; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1758*FLEN/8, x10, x6, x7) + +inst_903:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1760*FLEN/8, x10, x6, x7) + +inst_904:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1762*FLEN/8, x10, x6, x7) + +inst_905:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1764*FLEN/8, x10, x6, x7) + +inst_906:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xfafb7b5426c47 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0d8fae5b11a26 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802fafb7b5426c47; op2val:0x8000d8fae5b11a26; +valaddr_reg:x9; val_offset:1766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1766*FLEN/8, x10, x6, x7) + +inst_907:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d8fae5b11a26 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xfafb7b5426c47 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d8fae5b11a26; op2val:0x802fafb7b5426c47; +valaddr_reg:x9; val_offset:1768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1768*FLEN/8, x10, x6, x7) + +inst_908:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xfafb7b5426c47 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802fafb7b5426c47; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1770*FLEN/8, x10, x6, x7) + +inst_909:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1772*FLEN/8, x10, x6, x7) + +inst_910:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xfafb7b5426c47 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x15be852c0ecf4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802fafb7b5426c47; op2val:0x80015be852c0ecf4; +valaddr_reg:x9; val_offset:1774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1774*FLEN/8, x10, x6, x7) + +inst_911:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x15be852c0ecf4 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xfafb7b5426c47 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80015be852c0ecf4; op2val:0x802fafb7b5426c47; +valaddr_reg:x9; val_offset:1776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1776*FLEN/8, x10, x6, x7) + +inst_912:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xfafb7b5426c47 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802fafb7b5426c47; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1778*FLEN/8, x10, x6, x7) + +inst_913:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1780*FLEN/8, x10, x6, x7) + +inst_914:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1782*FLEN/8, x10, x6, x7) + +inst_915:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0x0; +valaddr_reg:x9; val_offset:1784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1784*FLEN/8, x10, x6, x7) + +inst_916:// fs1 == 1 and fe1 == 0x402 and fm1 == 0x1a04aee65a608 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc021a04aee65a608; op2val:0x0; +valaddr_reg:x9; val_offset:1786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1786*FLEN/8, x10, x6, x7) + +inst_917:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x402 and fm2 == 0x1a04aee65a608 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xc021a04aee65a608; +valaddr_reg:x9; val_offset:1788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1788*FLEN/8, x10, x6, x7) + +inst_918:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x65657f10d48db and fs2 == 1 and fe2 == 0x402 and fm2 == 0x1a04aee65a608 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80065657f10d48db; op2val:0xc021a04aee65a608; +valaddr_reg:x9; val_offset:1790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1790*FLEN/8, x10, x6, x7) + +inst_919:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1792*FLEN/8, x10, x6, x7) + +inst_920:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x9bff6a8783cf3 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc9bff6a8783cf3; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1794*FLEN/8, x10, x6, x7) + +inst_921:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x9bff6a8783cf3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0xffc9bff6a8783cf3; +valaddr_reg:x9; val_offset:1796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1796*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_7) + +inst_922:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1798*FLEN/8, x10, x6, x7) + +inst_923:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1800*FLEN/8, x10, x6, x7) + +inst_924:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1802*FLEN/8, x10, x6, x7) + +inst_925:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1804*FLEN/8, x10, x6, x7) + +inst_926:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x9bff6a8783cf3 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc9bff6a8783cf3; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1806*FLEN/8, x10, x6, x7) + +inst_927:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1808*FLEN/8, x10, x6, x7) + +inst_928:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1810*FLEN/8, x10, x6, x7) + +inst_929:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1812*FLEN/8, x10, x6, x7) + +inst_930:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x9bff6a8783cf3 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc9bff6a8783cf3; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1814*FLEN/8, x10, x6, x7) + +inst_931:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1816*FLEN/8, x10, x6, x7) + +inst_932:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1818*FLEN/8, x10, x6, x7) + +inst_933:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1820*FLEN/8, x10, x6, x7) + +inst_934:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x9bff6a8783cf3 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc9bff6a8783cf3; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1822*FLEN/8, x10, x6, x7) + +inst_935:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1824*FLEN/8, x10, x6, x7) + +inst_936:// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x9bff6a8783cf3 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffc9bff6a8783cf3; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1826*FLEN/8, x10, x6, x7) + +inst_937:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1828*FLEN/8, x10, x6, x7) + +inst_938:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x042929a1b2ce1 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800042929a1b2ce1; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1830*FLEN/8, x10, x6, x7) + +inst_939:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x042929a1b2ce1 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x800042929a1b2ce1; +valaddr_reg:x9; val_offset:1832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1832*FLEN/8, x10, x6, x7) + +inst_940:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1834*FLEN/8, x10, x6, x7) + +inst_941:// fs1 == 1 and fe1 == 0x001 and fm1 == 0xa0144329d87cc and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x801a0144329d87cc; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:1836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1836*FLEN/8, x10, x6, x7) + +inst_942:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xa0144329d87cc and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x801a0144329d87cc; +valaddr_reg:x9; val_offset:1838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1838*FLEN/8, x10, x6, x7) + +inst_943:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1840*FLEN/8, x10, x6, x7) + +inst_944:// fs1 == 1 and fe1 == 0x001 and fm1 == 0xa0144329d87cc and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x801a0144329d87cc; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:1842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1842*FLEN/8, x10, x6, x7) + +inst_945:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1844*FLEN/8, x10, x6, x7) + +inst_946:// fs1 == 1 and fe1 == 0x001 and fm1 == 0xa0144329d87cc and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x801a0144329d87cc; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:1846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1846*FLEN/8, x10, x6, x7) + +inst_947:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1848*FLEN/8, x10, x6, x7) + +inst_948:// fs1 == 1 and fe1 == 0x001 and fm1 == 0xa0144329d87cc and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0d8fae5b11a26 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x801a0144329d87cc; op2val:0x8000d8fae5b11a26; +valaddr_reg:x9; val_offset:1850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1850*FLEN/8, x10, x6, x7) + +inst_949:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d8fae5b11a26 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xa0144329d87cc and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d8fae5b11a26; op2val:0x801a0144329d87cc; +valaddr_reg:x9; val_offset:1852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1852*FLEN/8, x10, x6, x7) + +inst_950:// fs1 == 1 and fe1 == 0x001 and fm1 == 0xa0144329d87cc and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x801a0144329d87cc; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1854*FLEN/8, x10, x6, x7) + +inst_951:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1856*FLEN/8, x10, x6, x7) + +inst_952:// fs1 == 1 and fe1 == 0x001 and fm1 == 0xa0144329d87cc and fs2 == 1 and fe2 == 0x000 and fm2 == 0x15be852c0ecf4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x801a0144329d87cc; op2val:0x80015be852c0ecf4; +valaddr_reg:x9; val_offset:1858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1858*FLEN/8, x10, x6, x7) + +inst_953:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x15be852c0ecf4 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xa0144329d87cc and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80015be852c0ecf4; op2val:0x801a0144329d87cc; +valaddr_reg:x9; val_offset:1860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1860*FLEN/8, x10, x6, x7) + +inst_954:// fs1 == 1 and fe1 == 0x001 and fm1 == 0xa0144329d87cc and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x801a0144329d87cc; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1862*FLEN/8, x10, x6, x7) + +inst_955:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1864*FLEN/8, x10, x6, x7) + +inst_956:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1866*FLEN/8, x10, x6, x7) + +inst_957:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0x0; +valaddr_reg:x9; val_offset:1868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1868*FLEN/8, x10, x6, x7) + +inst_958:// fs1 == 1 and fe1 == 0x400 and fm1 == 0xcee7468323917 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc00cee7468323917; op2val:0x0; +valaddr_reg:x9; val_offset:1870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1870*FLEN/8, x10, x6, x7) + +inst_959:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x400 and fm2 == 0xcee7468323917 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xc00cee7468323917; +valaddr_reg:x9; val_offset:1872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1872*FLEN/8, x10, x6, x7) + +inst_960:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x299ba050fc0c8 and fs2 == 1 and fe2 == 0x400 and fm2 == 0xcee7468323917 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800299ba050fc0c8; op2val:0xc00cee7468323917; +valaddr_reg:x9; val_offset:1874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1874*FLEN/8, x10, x6, x7) + +inst_961:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1876*FLEN/8, x10, x6, x7) + +inst_962:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x0c90875ccb5d8 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb0c90875ccb5d8; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1878*FLEN/8, x10, x6, x7) + +inst_963:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x0c90875ccb5d8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0xffb0c90875ccb5d8; +valaddr_reg:x9; val_offset:1880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1880*FLEN/8, x10, x6, x7) + +inst_964:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1882*FLEN/8, x10, x6, x7) + +inst_965:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1884*FLEN/8, x10, x6, x7) + +inst_966:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x4fb4a933fe34f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe4fb4a933fe34f; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1886*FLEN/8, x10, x6, x7) + +inst_967:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x4fb4a933fe34f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0xffe4fb4a933fe34f; +valaddr_reg:x9; val_offset:1888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1888*FLEN/8, x10, x6, x7) + +inst_968:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1890*FLEN/8, x10, x6, x7) + +inst_969:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x0c90875ccb5d8 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb0c90875ccb5d8; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1892*FLEN/8, x10, x6, x7) + +inst_970:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1894*FLEN/8, x10, x6, x7) + +inst_971:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x4fb4a933fe34f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe4fb4a933fe34f; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1896*FLEN/8, x10, x6, x7) + +inst_972:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1898*FLEN/8, x10, x6, x7) + +inst_973:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x4fb4a933fe34f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe4fb4a933fe34f; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1900*FLEN/8, x10, x6, x7) + +inst_974:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1902*FLEN/8, x10, x6, x7) + +inst_975:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x0c90875ccb5d8 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb0c90875ccb5d8; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1904*FLEN/8, x10, x6, x7) + +inst_976:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1906*FLEN/8, x10, x6, x7) + +inst_977:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x4fb4a933fe34f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe4fb4a933fe34f; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1908*FLEN/8, x10, x6, x7) + +inst_978:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1910*FLEN/8, x10, x6, x7) + +inst_979:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x4fb4a933fe34f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe4fb4a933fe34f; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1912*FLEN/8, x10, x6, x7) + +inst_980:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1914*FLEN/8, x10, x6, x7) + +inst_981:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x0c90875ccb5d8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb0c90875ccb5d8; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1916*FLEN/8, x10, x6, x7) + +inst_982:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1918*FLEN/8, x10, x6, x7) + +inst_983:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x0c90875ccb5d8 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb0c90875ccb5d8; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1920*FLEN/8, x10, x6, x7) + +inst_984:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1922*FLEN/8, x10, x6, x7) + +inst_985:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d8fae5b11a26 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d8fae5b11a26; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1924*FLEN/8, x10, x6, x7) + +inst_986:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0d8fae5b11a26 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x8000d8fae5b11a26; +valaddr_reg:x9; val_offset:1926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1926*FLEN/8, x10, x6, x7) + +inst_987:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1928*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1928*FLEN/8, x10, x6, x7) + +inst_988:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x015b2b091b5d1 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800015b2b091b5d1; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:1930*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1930*FLEN/8, x10, x6, x7) + +inst_989:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x015b2b091b5d1 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x800015b2b091b5d1; +valaddr_reg:x9; val_offset:1932*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1932*FLEN/8, x10, x6, x7) + +inst_990:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1934*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1934*FLEN/8, x10, x6, x7) + +inst_991:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d8fae5b11a26 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d8fae5b11a26; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:1936*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1936*FLEN/8, x10, x6, x7) + +inst_992:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1938*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1938*FLEN/8, x10, x6, x7) + +inst_993:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d8fae5b11a26 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d8fae5b11a26; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:1940*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1940*FLEN/8, x10, x6, x7) + +inst_994:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1942*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1942*FLEN/8, x10, x6, x7) + +inst_995:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:1944*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1944*FLEN/8, x10, x6, x7) + +inst_996:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1946*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1946*FLEN/8, x10, x6, x7) + +inst_997:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d8fae5b11a26 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x4b32977d93970 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d8fae5b11a26; op2val:0x8024b32977d93970; +valaddr_reg:x9; val_offset:1948*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1948*FLEN/8, x10, x6, x7) + +inst_998:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x4b32977d93970 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0d8fae5b11a26 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8024b32977d93970; op2val:0x8000d8fae5b11a26; +valaddr_reg:x9; val_offset:1950*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1950*FLEN/8, x10, x6, x7) + +inst_999:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d8fae5b11a26 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d8fae5b11a26; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:1952*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1952*FLEN/8, x10, x6, x7) + +inst_1000:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0x0; +valaddr_reg:x9; val_offset:1954*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1954*FLEN/8, x10, x6, x7) + +inst_1001:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x2dbf77d539bae and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbff2dbf77d539bae; op2val:0x0; +valaddr_reg:x9; val_offset:1956*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1956*FLEN/8, x10, x6, x7) + +inst_1002:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x2dbf77d539bae and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xbff2dbf77d539bae; +valaddr_reg:x9; val_offset:1958*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1958*FLEN/8, x10, x6, x7) + +inst_1003:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x879ccf8eb0579 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x2dbf77d539bae and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800879ccf8eb0579; op2val:0xbff2dbf77d539bae; +valaddr_reg:x9; val_offset:1960*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1960*FLEN/8, x10, x6, x7) + +inst_1004:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1962*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1962*FLEN/8, x10, x6, x7) + +inst_1005:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xae9e55abc765f and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbae9e55abc765f; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:1964*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1964*FLEN/8, x10, x6, x7) + +inst_1006:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xae9e55abc765f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0xffbae9e55abc765f; +valaddr_reg:x9; val_offset:1966*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1966*FLEN/8, x10, x6, x7) + +inst_1007:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:1968*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1968*FLEN/8, x10, x6, x7) + +inst_1008:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:1970*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1970*FLEN/8, x10, x6, x7) + +inst_1009:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1972*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1972*FLEN/8, x10, x6, x7) + +inst_1010:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1974*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1974*FLEN/8, x10, x6, x7) + +inst_1011:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xae9e55abc765f and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbae9e55abc765f; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:1976*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1976*FLEN/8, x10, x6, x7) + +inst_1012:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:1978*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1978*FLEN/8, x10, x6, x7) + +inst_1013:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:1980*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1980*FLEN/8, x10, x6, x7) + +inst_1014:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1982*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1982*FLEN/8, x10, x6, x7) + +inst_1015:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xae9e55abc765f and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbae9e55abc765f; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:1984*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1984*FLEN/8, x10, x6, x7) + +inst_1016:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:1986*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1986*FLEN/8, x10, x6, x7) + +inst_1017:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:1988*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1988*FLEN/8, x10, x6, x7) + +inst_1018:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1990*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1990*FLEN/8, x10, x6, x7) + +inst_1019:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xae9e55abc765f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbae9e55abc765f; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:1992*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1992*FLEN/8, x10, x6, x7) + +inst_1020:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1994*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1994*FLEN/8, x10, x6, x7) + +inst_1021:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xae9e55abc765f and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbae9e55abc765f; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:1996*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1996*FLEN/8, x10, x6, x7) + +inst_1022:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:1998*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 1998*FLEN/8, x10, x6, x7) + +inst_1023:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x15be852c0ecf4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80015be852c0ecf4; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:2000*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2000*FLEN/8, x10, x6, x7) + +inst_1024:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x15be852c0ecf4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x80015be852c0ecf4; +valaddr_reg:x9; val_offset:2002*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2002*FLEN/8, x10, x6, x7) + +inst_1025:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:2004*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2004*FLEN/8, x10, x6, x7) + +inst_1026:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x022ca6eace47f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800022ca6eace47f; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:2006*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2006*FLEN/8, x10, x6, x7) + +inst_1027:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x022ca6eace47f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x800022ca6eace47f; +valaddr_reg:x9; val_offset:2008*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2008*FLEN/8, x10, x6, x7) + +inst_1028:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:2010*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2010*FLEN/8, x10, x6, x7) + +inst_1029:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x15be852c0ecf4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80015be852c0ecf4; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:2012*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2012*FLEN/8, x10, x6, x7) + +inst_1030:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:2014*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2014*FLEN/8, x10, x6, x7) + +inst_1031:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x15be852c0ecf4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80015be852c0ecf4; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:2016*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2016*FLEN/8, x10, x6, x7) + +inst_1032:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:2018*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2018*FLEN/8, x10, x6, x7) + +inst_1033:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x15be852c0ecf4 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x4b32977d93970 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80015be852c0ecf4; op2val:0x8024b32977d93970; +valaddr_reg:x9; val_offset:2020*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2020*FLEN/8, x10, x6, x7) + +inst_1034:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x4b32977d93970 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x15be852c0ecf4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8024b32977d93970; op2val:0x80015be852c0ecf4; +valaddr_reg:x9; val_offset:2022*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2022*FLEN/8, x10, x6, x7) + +inst_1035:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x15be852c0ecf4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80015be852c0ecf4; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:2024*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2024*FLEN/8, x10, x6, x7) + +inst_1036:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0x0; +valaddr_reg:x9; val_offset:2026*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2026*FLEN/8, x10, x6, x7) + +inst_1037:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0xe3d32f95a320d and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbffe3d32f95a320d; op2val:0x0; +valaddr_reg:x9; val_offset:2028*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2028*FLEN/8, x10, x6, x7) + +inst_1038:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xe3d32f95a320d and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xbffe3d32f95a320d; +valaddr_reg:x9; val_offset:2030*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2030*FLEN/8, x10, x6, x7) + +inst_1039:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd97133b894184 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xe3d32f95a320d and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d97133b894184; op2val:0xbffe3d32f95a320d; +valaddr_reg:x9; val_offset:2032*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2032*FLEN/8, x10, x6, x7) + +inst_1040:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:2034*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2034*FLEN/8, x10, x6, x7) + +inst_1041:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x47f2e5cadc271 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd47f2e5cadc271; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:2036*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2036*FLEN/8, x10, x6, x7) + +inst_1042:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x47f2e5cadc271 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0xffd47f2e5cadc271; +valaddr_reg:x9; val_offset:2038*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2038*FLEN/8, x10, x6, x7) + +inst_1043:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:2040*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2040*FLEN/8, x10, x6, x7) + +inst_1044:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:2042*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2042*FLEN/8, x10, x6, x7) + +inst_1045:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:2044*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2044*FLEN/8, x10, x6, x7) + +inst_1046:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:2046*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2046*FLEN/8, x10, x6, x7) + +inst_1047:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x47f2e5cadc271 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd47f2e5cadc271; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:2048*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2048*FLEN/8, x10, x6, x7) + +inst_1048:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:2050*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2050*FLEN/8, x10, x6, x7) + +inst_1049:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:2052*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2052*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_8) + +inst_1050:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:2054*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2054*FLEN/8, x10, x6, x7) + +inst_1051:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x47f2e5cadc271 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd47f2e5cadc271; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:2056*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2056*FLEN/8, x10, x6, x7) + +inst_1052:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:2058*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2058*FLEN/8, x10, x6, x7) + +inst_1053:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:2060*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2060*FLEN/8, x10, x6, x7) + +inst_1054:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:2062*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2062*FLEN/8, x10, x6, x7) + +inst_1055:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x47f2e5cadc271 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd47f2e5cadc271; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:2064*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2064*FLEN/8, x10, x6, x7) + +inst_1056:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:2066*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2066*FLEN/8, x10, x6, x7) + +inst_1057:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x47f2e5cadc271 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd47f2e5cadc271; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:2068*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2068*FLEN/8, x10, x6, x7) + +inst_1058:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:2070*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2070*FLEN/8, x10, x6, x7) + +inst_1059:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x069fbb598d312 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800069fbb598d312; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:2072*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2072*FLEN/8, x10, x6, x7) + +inst_1060:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x069fbb598d312 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x800069fbb598d312; +valaddr_reg:x9; val_offset:2074*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2074*FLEN/8, x10, x6, x7) + +inst_1061:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:2076*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2076*FLEN/8, x10, x6, x7) + +inst_1062:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x4b32977d93970 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8024b32977d93970; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:2078*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2078*FLEN/8, x10, x6, x7) + +inst_1063:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x4b32977d93970 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x8024b32977d93970; +valaddr_reg:x9; val_offset:2080*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2080*FLEN/8, x10, x6, x7) + +inst_1064:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:2082*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2082*FLEN/8, x10, x6, x7) + +inst_1065:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x4b32977d93970 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8024b32977d93970; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:2084*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2084*FLEN/8, x10, x6, x7) + +inst_1066:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:2086*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2086*FLEN/8, x10, x6, x7) + +inst_1067:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x4b32977d93970 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8024b32977d93970; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:2088*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2088*FLEN/8, x10, x6, x7) + +inst_1068:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:2090*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2090*FLEN/8, x10, x6, x7) + +inst_1069:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x4b32977d93970 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8024b32977d93970; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:2092*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2092*FLEN/8, x10, x6, x7) + +inst_1070:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:2094*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2094*FLEN/8, x10, x6, x7) + +inst_1071:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x4b32977d93970 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8024b32977d93970; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:2096*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2096*FLEN/8, x10, x6, x7) + +inst_1072:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0x0; +valaddr_reg:x9; val_offset:2098*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2098*FLEN/8, x10, x6, x7) + +inst_1073:// fs1 == 1 and fe1 == 0x401 and fm1 == 0x707836e56fe8b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc01707836e56fe8b; op2val:0x0; +valaddr_reg:x9; val_offset:2100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2100*FLEN/8, x10, x6, x7) + +inst_1074:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x401 and fm2 == 0x707836e56fe8b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xc01707836e56fe8b; +valaddr_reg:x9; val_offset:2102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2102*FLEN/8, x10, x6, x7) + +inst_1075:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x423d517f83eb0 and fs2 == 1 and fe2 == 0x401 and fm2 == 0x707836e56fe8b and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800423d517f83eb0; op2val:0xc01707836e56fe8b; +valaddr_reg:x9; val_offset:2104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2104*FLEN/8, x10, x6, x7) + +inst_1076:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xe759ff97b7507 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x7fce759ff97b7507; +valaddr_reg:x9; val_offset:2106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2106*FLEN/8, x10, x6, x7) + +inst_1077:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x0; +valaddr_reg:x9; val_offset:2108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2108*FLEN/8, x10, x6, x7) + +inst_1078:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:2110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2110*FLEN/8, x10, x6, x7) + +inst_1079:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x09941946801c5 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x7fd09941946801c5; +valaddr_reg:x9; val_offset:2112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2112*FLEN/8, x10, x6, x7) + +inst_1080:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xac44ace32d282 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x7feac44ace32d282; +valaddr_reg:x9; val_offset:2114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2114*FLEN/8, x10, x6, x7) + +inst_1081:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x450c74c9b42e4 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x7fe450c74c9b42e4; +valaddr_reg:x9; val_offset:2116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2116*FLEN/8, x10, x6, x7) + +inst_1082:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2b592ef4e4e6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xffdd2b592ef4e4e6; +valaddr_reg:x9; val_offset:2118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2118*FLEN/8, x10, x6, x7) + +inst_1083:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xde7300593ddb7 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xffede7300593ddb7; +valaddr_reg:x9; val_offset:2120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2120*FLEN/8, x10, x6, x7) + +inst_1084:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x8805c5b3ba76f and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xffe8805c5b3ba76f; +valaddr_reg:x9; val_offset:2122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2122*FLEN/8, x10, x6, x7) + +inst_1085:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0c1b6ea69558e and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xffe0c1b6ea69558e; +valaddr_reg:x9; val_offset:2124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2124*FLEN/8, x10, x6, x7) + +inst_1086:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x0e3e4312fc728 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xffc0e3e4312fc728; +valaddr_reg:x9; val_offset:2126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2126*FLEN/8, x10, x6, x7) + +inst_1087:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:2128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2128*FLEN/8, x10, x6, x7) + +inst_1088:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x035efa3d150a6 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x35efa3d150a6; +valaddr_reg:x9; val_offset:2130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2130*FLEN/8, x10, x6, x7) + +inst_1089:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfee29476f2e06 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xfee29476f2e06; +valaddr_reg:x9; val_offset:2132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2132*FLEN/8, x10, x6, x7) + +inst_1090:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa399f83b8d7e3 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xa399f83b8d7e3; +valaddr_reg:x9; val_offset:2134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2134*FLEN/8, x10, x6, x7) + +inst_1091:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x85ef342c7a5c9 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x85ef342c7a5c9; +valaddr_reg:x9; val_offset:2136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2136*FLEN/8, x10, x6, x7) + +inst_1092:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x65657f10d48db and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x80065657f10d48db; +valaddr_reg:x9; val_offset:2138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2138*FLEN/8, x10, x6, x7) + +inst_1093:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x299ba050fc0c8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x800299ba050fc0c8; +valaddr_reg:x9; val_offset:2140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2140*FLEN/8, x10, x6, x7) + +inst_1094:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x879ccf8eb0579 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x800879ccf8eb0579; +valaddr_reg:x9; val_offset:2142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2142*FLEN/8, x10, x6, x7) + +inst_1095:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd97133b894184 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x800d97133b894184; +valaddr_reg:x9; val_offset:2144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2144*FLEN/8, x10, x6, x7) + +inst_1096:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x423d517f83eb0 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x800423d517f83eb0; +valaddr_reg:x9; val_offset:2146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2146*FLEN/8, x10, x6, x7) + +inst_1097:// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xe759ff97b7507 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x405e69652cae2 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fce759ff97b7507; op2val:0x7fe405e69652cae2; +valaddr_reg:x9; val_offset:2148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2148*FLEN/8, x10, x6, x7) + +inst_1098:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x3137cb6875068 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x5eb561bd4f6b8 and fcsr == 0 +/* opcode: fleq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3137cb6875068; op2val:0x5eb561bd4f6b8; +valaddr_reg:x9; val_offset:2150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.d, x31, f31, f30, 0, 0, x9, 2150*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9200859229056023528,64,FLEN) +NAN_BOXED(9200859229056023528,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9202377779058983425,64,FLEN) +NAN_BOXED(9202377779058983425,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9200925092249089411,64,FLEN) +NAN_BOXED(9200925092249089411,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18426456050155434335,64,FLEN) +NAN_BOXED(18426456050155434335,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +test_dataset_1: +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18425239702822959398,64,FLEN) +NAN_BOXED(18425239702822959398,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(86585128932567,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(86585128932567,64,FLEN) +NAN_BOXED(86585128932567,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(86585128932567,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(8658512893256719,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4616502476100471174,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4616502476100471174,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(4616502476100471174,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9200859229056023528,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9200859229056023528,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9200859229056023528,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9200859229056023528,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9200859229056023528,64,FLEN) +NAN_BOXED(9200859229056023528,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9200859229056023528,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9200859229056023528,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(227672801075598,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(227672801075598,64,FLEN) +NAN_BOXED(227672801075598,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(227672801075598,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(22767280107560,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(22767280107560,64,FLEN) +NAN_BOXED(22767280107560,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(22767280107560,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(227672801075598,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(227672801075598,64,FLEN) +NAN_BOXED(227672801075598,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(227672801075598,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(2276728010755978,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(227672801075598,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607744707152311142,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607744707152311142,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(4607744707152311142,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(94367810225416,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(94367810225416,64,FLEN) +NAN_BOXED(94367810225416,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(94367810225416,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(9221990138641281,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(943678102254157,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4616935402117816430,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4616935402117816430,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(4616935402117816430,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9202377779058983425,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9202377779058983425,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9202377779058983425,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9202377779058983425,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9202377779058983425,64,FLEN) +NAN_BOXED(9202377779058983425,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9202377779058983425,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9202377779058983425,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(304352472427542,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(304352472427542,64,FLEN) +NAN_BOXED(304352472427542,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(304352472427542,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(30435247242754,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(30435247242754,64,FLEN) +NAN_BOXED(30435247242754,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(30435247242754,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(304352472427542,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(304352472427542,64,FLEN) +NAN_BOXED(304352472427542,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(304352472427542,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(3043524724275422,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(304352472427542,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609450886474352491,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609450886474352491,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(4609450886474352491,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9200925092249089411,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9200925092249089411,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9200925092249089411,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9200925092249089411,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9200925092249089411,64,FLEN) +NAN_BOXED(9200925092249089411,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9200925092249089411,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9200925092249089411,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(230998584216514,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(230998584216514,64,FLEN) +NAN_BOXED(230998584216514,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(230998584216514,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(23099858421651,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(23099858421651,64,FLEN) +NAN_BOXED(23099858421651,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(23099858421651,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(230998584216514,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(230998584216514,64,FLEN) +NAN_BOXED(230998584216514,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(230998584216514,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(2309985842165136,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(230998584216514,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607818708283570298,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607818708283570298,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(4607818708283570298,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18426456050155434335,64,FLEN) +NAN_BOXED(18426456050155434335,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18425239702822959398,64,FLEN) +NAN_BOXED(18425239702822959398,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9223537872305925475,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(9223537872305925475,64,FLEN) +NAN_BOXED(9223537872305925475,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9223537872305925475,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(9236167409039629648,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(9225030391366272477,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13844174106499120105,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13844174106499120105,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(13844174106499120105,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18426456050155434335,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18426456050155434335,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18426456050155434335,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18426456050155434335,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18426456050155434335,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18426456050155434335,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9223712050852634572,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223712050852634572,64,FLEN) +NAN_BOXED(9223712050852634572,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9223712050852634572,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9223406038254561684,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223406038254561684,64,FLEN) +NAN_BOXED(9223406038254561684,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9223406038254561684,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9223712050852634572,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223712050852634572,64,FLEN) +NAN_BOXED(9223712050852634572,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9223712050852634572,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(9226772176833363448,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9223712050852634572,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833616418609043313,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833616418609043313,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(13833616418609043313,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18425239702822959398,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18425239702822959398,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18425239702822959398,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18425239702822959398,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18425239702822959398,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18425239702822959398,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9223650631002433923,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223650631002433923,64,FLEN) +NAN_BOXED(9223650631002433923,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9223650631002433923,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9223399896269541619,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223399896269541619,64,FLEN) +NAN_BOXED(9223399896269541619,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9223399896269541619,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9223650631002433923,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223650631002433923,64,FLEN) +NAN_BOXED(9223650631002433923,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9223650631002433923,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(9226157978331356956,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9223650631002433923,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13832249781578294385,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13832249781578294385,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(13832249781578294385,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9223562569479283840,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(9223562569479283840,64,FLEN) +NAN_BOXED(9223562569479283840,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9223562569479283840,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(9237142551722217600,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(9225277363099856128,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13844861019434354089,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13844861019434354089,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(13844861019434354089,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9223420049512093330,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(9223420049512093330,64,FLEN) +NAN_BOXED(9223420049512093330,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9223420049512093330,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(9228173302586528035,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(9223852163427951031,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13835896041088527380,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13835896041088527380,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(13835896041088527380,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9213606570546665311,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(166612995020972,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(166612995020972,64,FLEN) +NAN_BOXED(166612995020972,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(166612995020972,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(12834249378419096,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4620823695801117841,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4620823695801117841,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(4620823695801117841,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9206726202665674239,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(44839857101983,64,FLEN) +NAN_BOXED(44839857101983,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(28781070396190,64,FLEN) +NAN_BOXED(28781070396190,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(23561987041295,64,FLEN) +NAN_BOXED(23561987041295,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(9223550415411434518,64,FLEN) +NAN_BOXED(9223550415411434518,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(9223445234272906465,64,FLEN) +NAN_BOXED(9223445234272906465,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(9223395894065477073,64,FLEN) +NAN_BOXED(9223395894065477073,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(9223410289690338431,64,FLEN) +NAN_BOXED(9223410289690338431,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(5930336185974994,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(9223488566659175186,64,FLEN) +NAN_BOXED(9223488566659175186,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(593033618597499,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4613780136809803538,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4613780136809803538,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(4613780136809803538,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9205230441304230916,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(44839857101983,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(44839857101983,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(448398571019828,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4612171018492858427,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4612171018492858427,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(4612171018492858427,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9216985925286255647,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9202050188941425894,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(28781070396190,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(28781070396190,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(287810703961904,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609082819908488795,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609082819908488795,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(4609082819908488795,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9215693953290241129,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9201016611344614279,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(23561987041295,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(23561987041295,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(235619870412948,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607921535314653963,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607921535314653963,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(4607921535314653963,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18437561114907824645,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9223550415411434518,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9223550415411434518,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(9236794564315081799,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13844522973201278472,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13844522973201278472,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(13844522973201278472,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18431474016099777779,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9223445234272906465,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9223445234272906465,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(9230691778667841484,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13838697938734430487,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13838697938734430487,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(13838697938734430487,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(18439139072078242639,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18424447113745970648,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9223395894065477073,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9223395894065477073,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(9223610608961788454,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13831359261614250926,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13831359261614250926,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(13831359261614250926,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18427297996618888799,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9223410289690338431,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9223410289690338431,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(9223754565210402036,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13834562394469839373,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13834562394469839373,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(13834562394469839373,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(18434499011834397297,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9223488566659175186,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9223488566659175186,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9233702126702115184,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13841540240819814027,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13841540240819814027,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(13841540240819814027,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9210029744914432453,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9217395412933202562,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9215579554420835044,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18436940111564563686,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18441650242590072247,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18440129808424478575,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18437949865815790990,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18428980244417333032,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(59303361859750,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4483985710198278,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2878107039619043,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2356198704129481,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9225155822421362907,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9224104011036082376,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9225757757924902265,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227197320411038084,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9224537334898769584,64,FLEN) +NAN_BOXED(9209427617965110535,64,FLEN) +NAN_BOXED(9215497225429502690,64,FLEN) +NAN_BOXED(865851289325672,64,FLEN) +NAN_BOXED(1666129950209720,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_5: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_6: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_7: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_8: + .fill 98*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fleq_b1-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fleq_b1-01.S new file mode 100644 index 000000000..54fcf00c7 --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fleq_b1-01.S @@ -0,0 +1,368 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:40:51 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fleq.s.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fleq.s instruction of the RISC-V RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fleq_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fleq_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 == rs2, rs1==f31, rs2==f31, rd==x31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f31; op2:f31; dest:x31; op1val:0x0; op2val:0x0; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 != rs2, rs1==f30, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f30; op2:f29; dest:x30; op1val:0x0; op2val:0x80000000; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x30, f30, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f29, rs2==f30, rd==x29,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f29; op2:f30; dest:x29; op1val:0x0; op2val:0x1; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x29, f29, f30, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f28; op2:f27; dest:x28; op1val:0x0; op2val:0x80000001; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f27; op2:f28; dest:x27; op1val:0x0; op2val:0x2; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f26; op2:f25; dest:x26; op1val:0x0; op2val:0x807ffffe; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f25; op2:f26; dest:x25; op1val:0x0; op2val:0x7fffff; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f24; op2:f23; dest:x24; op1val:0x0; op2val:0x807fffff; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f23; op2:f24; dest:x23; op1val:0x0; op2val:0x800000; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f22; op2:f21; dest:x22; op1val:0x0; op2val:0x80800000; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f21; op2:f22; dest:x21; op1val:0x0; op2val:0x800001; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f20; op2:f19; dest:x20; op1val:0x0; op2val:0x80855555; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f19; op2:f20; dest:x19; op1val:0x0; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f18; op2:f17; dest:x18; op1val:0x0; op2val:0xff7fffff; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f17; op2:f18; dest:x17; op1val:0x0; op2val:0x7f800000; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f16; op2:f15; dest:x16; op1val:0x0; op2val:0xff800000; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f15; op2:f16; dest:x15; op1val:0x0; op2val:0x7fc00000; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f14; op2:f13; dest:x14; op1val:0x0; op2val:0xffc00000; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f13; op2:f14; dest:x13; op1val:0x0; op2val:0x7fc00001; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f12; op2:f11; dest:x12; op1val:0x0; op2val:0xffc55555; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f11; op2:f12; dest:x11; op1val:0x0; op2val:0x7f800001; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f10; op2:f9; dest:x10; op1val:0x0; op2val:0xffaaaaaa; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f9; op2:f10; dest:x9; op1val:0x0; op2val:0x3f800000; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f8; op2:f7; dest:x8; op1val:0x0; op2val:0xbf800000; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f7; op2:f8; dest:x7; op1val:0x80000000; op2val:0x0; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f6; op2:f5; dest:x6; op1val:0x80000000; op2val:0x80000000; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f5; op2:f6; dest:x5; op1val:0x80000000; op2val:0x1; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f4; op2:f3; dest:x4; op1val:0x80000000; op2val:0x80000001; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f3; op2:f4; dest:x3; op1val:0x80000000; op2val:0x2; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f2; op2:f1; dest:x2; op1val:0x80000000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f1; op2:f2; dest:x1; op1val:0x80000000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f0; op2:f31; dest:x31; op1val:0x80000000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f31; op2:f0; dest:x31; op1val:0x80000000; op2val:0x800000; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x0; op1val:0x80000000; op2val:0x80800000; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +test_dataset_1: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 16*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fleq_b19-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fleq_b19-01.S new file mode 100644 index 000000000..9f35d9a9d --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fleq_b19-01.S @@ -0,0 +1,368 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:40:51 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fleq.s.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fleq.s instruction of the RISC-V RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fleq_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fleq_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 == rs2, rs1==f31, rs2==f31, rd==x31,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f31; op2:f31; dest:x31; op1val:0x7e36c1bf; op2val:0x7e36c1bf; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 != rs2, rs1==f30, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f30; op2:f29; dest:x30; op1val:0x7e36c1bf; op2val:0x7ef046ce; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x30, f30, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f29, rs2==f30, rd==x29,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x4038a5 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f29; op2:f30; dest:x29; op1val:0x7f7fffff; op2val:0x7d4038a5; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x29, f29, f30, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0xfa and fm1 == 0x4038a5 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f28; op2:f27; dest:x28; op1val:0x7d4038a5; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f27; op2:f28; dest:x27; op1val:0x7f7fffff; op2val:0x7ef046ce; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f26; op2:f25; dest:x26; op1val:0x7e36c1bf; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f25; op2:f26; dest:x25; op1val:0x7e36c1bf; op2val:0x7e472f12; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f24; op2:f23; dest:x24; op1val:0x7e472f12; op2val:0x7e36c1bf; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f23; op2:f24; dest:x23; op1val:0x7e36c1bf; op2val:0x7f2099c0; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x007b00 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f22; op2:f21; dest:x22; op1val:0x7f7fffff; op2val:0x7d807b00; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0xfb and fm1 == 0x007b00 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f21; op2:f22; dest:x21; op1val:0x7d807b00; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f20; op2:f19; dest:x20; op1val:0x7f7fffff; op2val:0x7f2099c0; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f19; op2:f20; dest:x19; op1val:0x7e36c1bf; op2val:0x7ef3c956; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x430778 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f18; op2:f17; dest:x18; op1val:0x7f7fffff; op2val:0x7d430778; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 0 and fe1 == 0xfa and fm1 == 0x430778 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f17; op2:f18; dest:x17; op1val:0x7d430778; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f16; op2:f15; dest:x16; op1val:0x7f7fffff; op2val:0x7ef3c956; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f15; op2:f16; dest:x15; op1val:0x7e36c1bf; op2val:0xfeaf0416; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x0c0345 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f14; op2:f13; dest:x14; op1val:0x7f7fffff; op2val:0xfd0c0345; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 1 and fe1 == 0xfa and fm1 == 0x0c0345 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f13; op2:f14; dest:x13; op1val:0xfd0c0345; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f12; op2:f11; dest:x12; op1val:0x7f7fffff; op2val:0xfeaf0416; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f11; op2:f12; dest:x11; op1val:0x7e36c1bf; op2val:0xff336b1f; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfb and fm2 == 0x0f88e6 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f10; op2:f9; dest:x10; op1val:0x7f7fffff; op2val:0xfd8f88e6; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 1 and fe1 == 0xfb and fm1 == 0x0f88e6 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f9; op2:f10; dest:x9; op1val:0xfd8f88e6; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f8; op2:f7; dest:x8; op1val:0x7f7fffff; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f7; op2:f8; dest:x7; op1val:0x7e36c1bf; op2val:0xff130229; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x6b36a9 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f6; op2:f5; dest:x6; op1val:0x7f7fffff; op2val:0xfd6b36a9; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 1 and fe1 == 0xfa and fm1 == 0x6b36a9 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f5; op2:f6; dest:x5; op1val:0xfd6b36a9; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f4; op2:f3; dest:x4; op1val:0x7f7fffff; op2val:0xff130229; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f3; op2:f4; dest:x3; op1val:0x7e36c1bf; op2val:0xfec91492; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x20dd41 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f2; op2:f1; dest:x2; op1val:0x7f7fffff; op2val:0xfd20dd41; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 1 and fe1 == 0xfa and fm1 == 0x20dd41 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f1; op2:f2; dest:x1; op1val:0xfd20dd41; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f0; op2:f31; dest:x31; op1val:0x7f7fffff; op2val:0xfec91492; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f31; op2:f0; dest:x31; op1val:0x7e36c1bf; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x0; op1val:0xfdcaaeb1; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2101360805,32,FLEN) +NAN_BOXED(2101360805,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2105572096,32,FLEN) +NAN_BOXED(2105572096,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2101544824,32,FLEN) +NAN_BOXED(2101544824,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4245422917,32,FLEN) +NAN_BOXED(4245422917,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4254042342,32,FLEN) +NAN_BOXED(4254042342,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +test_dataset_1: +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4251661993,32,FLEN) +NAN_BOXED(4251661993,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4246789441,32,FLEN) +NAN_BOXED(4246789441,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 16*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fli.d-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fli.d-01.S new file mode 100644 index 000000000..b670ebc23 --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fli.d-01.S @@ -0,0 +1,204 @@ +// Copyright (c) 2023. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fli.d instruction +// for the following ISA configurations: +// * RV32ID_Zfa +// * RV64ID_Zfa + +#include "model_test.h" +#include "arch_test.h" + +RVTEST_ISA("RV32ID_Zfa,RV64ID_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: + +RVMODEL_BOOT + +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*);def TEST_CASE_1=True;",fli.d) + +// Registers with a special purpose +#define SIG_BASEREG x1 +#define FCSR_REG x2 +#define DATA_BASEREG x3 + +// Initialize the FPU +RVTEST_FP_ENABLE() +// Prepare the DATA_BASEREG register +RVTEST_VALBASEUPD(DATA_BASEREG, dataset_tc1) +// Prepare the SIG_BASEREG register +RVTEST_SIGBASE(SIG_BASEREG, signature_tc1) + +// FLI.D loads a pre-defined constant into a FP register. +// FLI.D has the following inputs and outputs: +// - input rs1: 5-bit immediate holding the constants ID +// - output fld: FP register + +// TEST_CASE_FLI_D executes a FLI.D insn and stores the result in the sig +// 1) the FCSR_OLD value will be store into FCSR using FCSR_REG +// 2) fli.d is executed using FLD as dest register and FLI_CONST as constant +// 3) The constents of FLD and FCSR are stored in the signature +#define TEST_CASE_FLI_D(fld, fli_const, fcsr_old, fcsr_reg) \ + li fcsr_reg, fcsr_old ;\ + csrw fcsr, fcsr_reg ;\ + fli.d fld, fli_const ;\ + csrr fcsr_reg, fcsr ;\ + RVTEST_SIGUPD_F(SIG_BASEREG, fld, fcsr_reg) ;\ + +// Below we have one instruction test per constant + +inst_0: +TEST_CASE_FLI_D(f16, -0x1p+0, 0, FCSR_REG) + +inst_1: +TEST_CASE_FLI_D(f17, min, 0, FCSR_REG) + +inst_2: +TEST_CASE_FLI_D(f18, 0x1p-16, 0, FCSR_REG) + +inst_3: +TEST_CASE_FLI_D(f19, 0x1p-15, 0, FCSR_REG) + +inst_4: +TEST_CASE_FLI_D(f20, 0x1p-8, 0, FCSR_REG) + +inst_5: +TEST_CASE_FLI_D(f21, 0x1p-7, 0, FCSR_REG) + +inst_6: +TEST_CASE_FLI_D(f22, 0x1p-4, 0, FCSR_REG) + +inst_7: +TEST_CASE_FLI_D(f23, 0x1p-3, 0, FCSR_REG) + +inst_8: +TEST_CASE_FLI_D(f24, 0x1p-2, 0, FCSR_REG) + +inst_9: +TEST_CASE_FLI_D(f25, 0x1.4p-2, 0, FCSR_REG) + +inst_10: +TEST_CASE_FLI_D(f26, 0x1.8p-2, 0, FCSR_REG) + +inst_11: +TEST_CASE_FLI_D(f27, 0x1.cp-2, 0, FCSR_REG) + +inst_12: +TEST_CASE_FLI_D(f28, 0x1p-1, 0, FCSR_REG) + +inst_13: +TEST_CASE_FLI_D(f29, 0x1.4p-1, 0, FCSR_REG) + +inst_14: +TEST_CASE_FLI_D(f30, 0x1.8p-1, 0, FCSR_REG) + +inst_15: +TEST_CASE_FLI_D(f31, 0x1.cp-1, 0, FCSR_REG) + +inst_16: +TEST_CASE_FLI_D(f0, 0x1p0, 0, FCSR_REG) + +inst_17: +TEST_CASE_FLI_D(f1, 0x1.4p+0, 0, FCSR_REG) + +inst_18: +TEST_CASE_FLI_D(f2, 0x1.8p+0, 0, FCSR_REG) + +inst_19: +TEST_CASE_FLI_D(f3, 0x1.cp+0, 0, FCSR_REG) + +inst_20: +TEST_CASE_FLI_D(f4, 0x1p+1, 0, FCSR_REG) + +inst_21: +TEST_CASE_FLI_D(f5, 0x1.4p+1, 0, FCSR_REG) + +inst_22: +TEST_CASE_FLI_D(f6, 0x1.8p+1, 0, FCSR_REG) + +inst_23: +TEST_CASE_FLI_D(f7, 0x1p+2, 0, FCSR_REG) + +inst_24: +TEST_CASE_FLI_D(f8, 0x1p+3, 0, FCSR_REG) + +inst_25: +TEST_CASE_FLI_D(f9, 0x1p+4, 0, FCSR_REG) + +inst_26: +TEST_CASE_FLI_D(f10, 0x1p+7, 0, FCSR_REG) + +inst_27: +TEST_CASE_FLI_D(f11, 0x1p+8, 0, FCSR_REG) + +inst_28: +TEST_CASE_FLI_D(f12, 0x1p+15, 0, FCSR_REG) + +inst_29: +TEST_CASE_FLI_D(f13, 0x1p+16, 0, FCSR_REG) + +inst_30: +TEST_CASE_FLI_D(f14, inf, 0, FCSR_REG) + +inst_31: +TEST_CASE_FLI_D(f15, nan, 0, FCSR_REG) + +#endif // TEST_CASE_1 + +RVTEST_CODE_END + +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.word 0xbabecafe // trapreg_sv +.word 0xabecafeb // tramptbl_sv +.word 0xbecafeba // mtvec_save +.word 0xecafebab // mscratch_save +dataset_tc1: +/* empty */ +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + +signature_tc1: +// We have 32 test cases and store for each test case: +// - 32-bit FP register (fld) +// - 32-bit FCSR content after the instruction + .fill 64*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +tsig_begin_canary: +CANARY; +tsig_begin_canary: +CANARY; +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef +tsig_end_canary: +CANARY; +tsig_end_canary: +CANARY; + +#endif // rvtest_mtrap_routine + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif // rvtest_gpr_save + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fltq.d_b1-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fltq.d_b1-01.S new file mode 100644 index 000000000..262e8e0f8 --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fltq.d_b1-01.S @@ -0,0 +1,4740 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:10 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fltq.d.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fltq.d instruction of the RISC-V RV64FD_Zicsr_Zfa extension for the fltq.d_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fltq.d_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 == rs2, rs1==f31, rs2==f31, rd==x31,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f31; dest:x31; op1val:0x0; op2val:0x0; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 != rs2, rs1==f30, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f30; op2:f29; dest:x30; op1val:0x0; op2val:0x8000000000000000; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x30, f30, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f29, rs2==f30, rd==x29,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f29; op2:f30; dest:x29; op1val:0x0; op2val:0x1; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x29, f29, f30, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f28; op2:f27; dest:x28; op1val:0x0; op2val:0x8000000000000001; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f27; op2:f28; dest:x27; op1val:0x0; op2val:0x2; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f26; op2:f25; dest:x26; op1val:0x0; op2val:0x8000000000000002; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f25; op2:f26; dest:x25; op1val:0x0; op2val:0xfffffffffffff; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f24; op2:f23; dest:x24; op1val:0x0; op2val:0x800fffffffffffff; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f23; op2:f24; dest:x23; op1val:0x0; op2val:0x10000000000000; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f22; op2:f21; dest:x22; op1val:0x0; op2val:0x8010000000000000; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f21; op2:f22; dest:x21; op1val:0x0; op2val:0x10000000000002; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f20; op2:f19; dest:x20; op1val:0x0; op2val:0x8010000000000002; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f19; op2:f20; dest:x19; op1val:0x0; op2val:0x7fefffffffffffff; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f18; op2:f17; dest:x18; op1val:0x0; op2val:0xffefffffffffffff; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f17; op2:f18; dest:x17; op1val:0x0; op2val:0x7ff0000000000000; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f16; op2:f15; dest:x16; op1val:0x0; op2val:0xfff0000000000000; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f15; op2:f16; dest:x15; op1val:0x0; op2val:0x7ff8000000000000; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f14; op2:f13; dest:x14; op1val:0x0; op2val:0xfff8000000000000; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f13; op2:f14; dest:x13; op1val:0x0; op2val:0x7ff8000000000001; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f12; op2:f11; dest:x12; op1val:0x0; op2val:0xfff8000000000001; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f11; op2:f12; dest:x11; op1val:0x0; op2val:0x7ff0000000000001; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f10; op2:f9; dest:x10; op1val:0x0; op2val:0xfff0000000000001; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f9; op2:f10; dest:x9; op1val:0x0; op2val:0x3ff0000000000000; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f8; op2:f7; dest:x8; op1val:0x0; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f7; op2:f8; dest:x7; op1val:0x8000000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f6; op2:f5; dest:x6; op1val:0x8000000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f5; op2:f6; dest:x5; op1val:0x8000000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f4; op2:f3; dest:x4; op1val:0x8000000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f3; op2:f4; dest:x3; op1val:0x8000000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f2; op2:f1; dest:x2; op1val:0x8000000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f1; op2:f2; dest:x1; op1val:0x8000000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f0; op2:f31; dest:x31; op1val:0x8000000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f0; dest:x31; op1val:0x8000000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x0; op1val:0x8000000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) + +inst_34:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:22*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 22*FLEN/8, x10, x6, x7) + +inst_35:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:24*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 24*FLEN/8, x10, x6, x7) + +inst_36:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:26*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 26*FLEN/8, x10, x6, x7) + +inst_37:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:28*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 28*FLEN/8, x10, x6, x7) + +inst_38:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:30*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 30*FLEN/8, x10, x6, x7) + +inst_39:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:32*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 32*FLEN/8, x10, x6, x7) + +inst_40:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:34*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 34*FLEN/8, x10, x6, x7) + +inst_41:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:36*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 36*FLEN/8, x10, x6, x7) + +inst_42:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:38*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 38*FLEN/8, x10, x6, x7) + +inst_43:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:40*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 40*FLEN/8, x10, x6, x7) + +inst_44:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:42*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 42*FLEN/8, x10, x6, x7) + +inst_45:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:44*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 44*FLEN/8, x10, x6, x7) + +inst_46:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:46*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 46*FLEN/8, x10, x6, x7) + +inst_47:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:48*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 48*FLEN/8, x10, x6, x7) + +inst_48:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x0; +valaddr_reg:x9; val_offset:50*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 50*FLEN/8, x10, x6, x7) + +inst_49:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:52*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 52*FLEN/8, x10, x6, x7) + +inst_50:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x1; +valaddr_reg:x9; val_offset:54*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 54*FLEN/8, x10, x6, x7) + +inst_51:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:56*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 56*FLEN/8, x10, x6, x7) + +inst_52:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x2; +valaddr_reg:x9; val_offset:58*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 58*FLEN/8, x10, x6, x7) + +inst_53:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:60*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 60*FLEN/8, x10, x6, x7) + +inst_54:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:62*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 62*FLEN/8, x10, x6, x7) + +inst_55:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:64*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 64*FLEN/8, x10, x6, x7) + +inst_56:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:66*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 66*FLEN/8, x10, x6, x7) + +inst_57:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:68*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 68*FLEN/8, x10, x6, x7) + +inst_58:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:70*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 70*FLEN/8, x10, x6, x7) + +inst_59:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:72*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 72*FLEN/8, x10, x6, x7) + +inst_60:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:74*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 74*FLEN/8, x10, x6, x7) + +inst_61:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:76*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 76*FLEN/8, x10, x6, x7) + +inst_62:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:78*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 78*FLEN/8, x10, x6, x7) + +inst_63:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:80*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 80*FLEN/8, x10, x6, x7) + +inst_64:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:82*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 82*FLEN/8, x10, x6, x7) + +inst_65:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:84*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 84*FLEN/8, x10, x6, x7) + +inst_66:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:86*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 86*FLEN/8, x10, x6, x7) + +inst_67:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:88*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 88*FLEN/8, x10, x6, x7) + +inst_68:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:90*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 90*FLEN/8, x10, x6, x7) + +inst_69:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:92*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 92*FLEN/8, x10, x6, x7) + +inst_70:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:94*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 94*FLEN/8, x10, x6, x7) + +inst_71:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:96*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 96*FLEN/8, x10, x6, x7) + +inst_72:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x0; +valaddr_reg:x9; val_offset:98*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 98*FLEN/8, x10, x6, x7) + +inst_73:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 100*FLEN/8, x10, x6, x7) + +inst_74:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x1; +valaddr_reg:x9; val_offset:102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 102*FLEN/8, x10, x6, x7) + +inst_75:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 104*FLEN/8, x10, x6, x7) + +inst_76:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x2; +valaddr_reg:x9; val_offset:106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 106*FLEN/8, x10, x6, x7) + +inst_77:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 108*FLEN/8, x10, x6, x7) + +inst_78:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 110*FLEN/8, x10, x6, x7) + +inst_79:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 112*FLEN/8, x10, x6, x7) + +inst_80:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 114*FLEN/8, x10, x6, x7) + +inst_81:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 116*FLEN/8, x10, x6, x7) + +inst_82:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 118*FLEN/8, x10, x6, x7) + +inst_83:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 120*FLEN/8, x10, x6, x7) + +inst_84:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 122*FLEN/8, x10, x6, x7) + +inst_85:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 124*FLEN/8, x10, x6, x7) + +inst_86:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 126*FLEN/8, x10, x6, x7) + +inst_87:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 128*FLEN/8, x10, x6, x7) + +inst_88:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 130*FLEN/8, x10, x6, x7) + +inst_89:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 132*FLEN/8, x10, x6, x7) + +inst_90:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 134*FLEN/8, x10, x6, x7) + +inst_91:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 136*FLEN/8, x10, x6, x7) + +inst_92:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 138*FLEN/8, x10, x6, x7) + +inst_93:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 140*FLEN/8, x10, x6, x7) + +inst_94:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 142*FLEN/8, x10, x6, x7) + +inst_95:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000001; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 144*FLEN/8, x10, x6, x7) + +inst_96:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x0; +valaddr_reg:x9; val_offset:146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 146*FLEN/8, x10, x6, x7) + +inst_97:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 148*FLEN/8, x10, x6, x7) + +inst_98:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x1; +valaddr_reg:x9; val_offset:150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 150*FLEN/8, x10, x6, x7) + +inst_99:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 152*FLEN/8, x10, x6, x7) + +inst_100:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x2; +valaddr_reg:x9; val_offset:154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 154*FLEN/8, x10, x6, x7) + +inst_101:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 156*FLEN/8, x10, x6, x7) + +inst_102:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 158*FLEN/8, x10, x6, x7) + +inst_103:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 160*FLEN/8, x10, x6, x7) + +inst_104:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 162*FLEN/8, x10, x6, x7) + +inst_105:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 164*FLEN/8, x10, x6, x7) + +inst_106:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 166*FLEN/8, x10, x6, x7) + +inst_107:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 168*FLEN/8, x10, x6, x7) + +inst_108:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 170*FLEN/8, x10, x6, x7) + +inst_109:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 172*FLEN/8, x10, x6, x7) + +inst_110:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 174*FLEN/8, x10, x6, x7) + +inst_111:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 176*FLEN/8, x10, x6, x7) + +inst_112:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 178*FLEN/8, x10, x6, x7) + +inst_113:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 180*FLEN/8, x10, x6, x7) + +inst_114:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 182*FLEN/8, x10, x6, x7) + +inst_115:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 184*FLEN/8, x10, x6, x7) + +inst_116:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 186*FLEN/8, x10, x6, x7) + +inst_117:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 188*FLEN/8, x10, x6, x7) + +inst_118:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 190*FLEN/8, x10, x6, x7) + +inst_119:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 192*FLEN/8, x10, x6, x7) + +inst_120:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x0; +valaddr_reg:x9; val_offset:194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 194*FLEN/8, x10, x6, x7) + +inst_121:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 196*FLEN/8, x10, x6, x7) + +inst_122:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x1; +valaddr_reg:x9; val_offset:198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 198*FLEN/8, x10, x6, x7) + +inst_123:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 200*FLEN/8, x10, x6, x7) + +inst_124:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x2; +valaddr_reg:x9; val_offset:202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 202*FLEN/8, x10, x6, x7) + +inst_125:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 204*FLEN/8, x10, x6, x7) + +inst_126:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 206*FLEN/8, x10, x6, x7) + +inst_127:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 208*FLEN/8, x10, x6, x7) + +inst_128:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 210*FLEN/8, x10, x6, x7) + +inst_129:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 212*FLEN/8, x10, x6, x7) + +inst_130:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 214*FLEN/8, x10, x6, x7) + +inst_131:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 216*FLEN/8, x10, x6, x7) + +inst_132:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 218*FLEN/8, x10, x6, x7) + +inst_133:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 220*FLEN/8, x10, x6, x7) + +inst_134:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 222*FLEN/8, x10, x6, x7) + +inst_135:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 224*FLEN/8, x10, x6, x7) + +inst_136:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 226*FLEN/8, x10, x6, x7) + +inst_137:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 228*FLEN/8, x10, x6, x7) + +inst_138:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 230*FLEN/8, x10, x6, x7) + +inst_139:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 232*FLEN/8, x10, x6, x7) + +inst_140:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 234*FLEN/8, x10, x6, x7) + +inst_141:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 236*FLEN/8, x10, x6, x7) + +inst_142:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 238*FLEN/8, x10, x6, x7) + +inst_143:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000002; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 240*FLEN/8, x10, x6, x7) + +inst_144:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x0; +valaddr_reg:x9; val_offset:242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 242*FLEN/8, x10, x6, x7) + +inst_145:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 244*FLEN/8, x10, x6, x7) + +inst_146:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x1; +valaddr_reg:x9; val_offset:246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 246*FLEN/8, x10, x6, x7) + +inst_147:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 248*FLEN/8, x10, x6, x7) + +inst_148:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x2; +valaddr_reg:x9; val_offset:250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 250*FLEN/8, x10, x6, x7) + +inst_149:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 252*FLEN/8, x10, x6, x7) + +inst_150:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 254*FLEN/8, x10, x6, x7) + +inst_151:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 256*FLEN/8, x10, x6, x7) + +inst_152:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 258*FLEN/8, x10, x6, x7) + +inst_153:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 260*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_1) + +inst_154:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 262*FLEN/8, x10, x6, x7) + +inst_155:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 264*FLEN/8, x10, x6, x7) + +inst_156:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 266*FLEN/8, x10, x6, x7) + +inst_157:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 268*FLEN/8, x10, x6, x7) + +inst_158:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 270*FLEN/8, x10, x6, x7) + +inst_159:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 272*FLEN/8, x10, x6, x7) + +inst_160:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 274*FLEN/8, x10, x6, x7) + +inst_161:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 276*FLEN/8, x10, x6, x7) + +inst_162:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 278*FLEN/8, x10, x6, x7) + +inst_163:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 280*FLEN/8, x10, x6, x7) + +inst_164:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 282*FLEN/8, x10, x6, x7) + +inst_165:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 284*FLEN/8, x10, x6, x7) + +inst_166:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 286*FLEN/8, x10, x6, x7) + +inst_167:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfffffffffffff; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 288*FLEN/8, x10, x6, x7) + +inst_168:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x0; +valaddr_reg:x9; val_offset:290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 290*FLEN/8, x10, x6, x7) + +inst_169:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 292*FLEN/8, x10, x6, x7) + +inst_170:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x1; +valaddr_reg:x9; val_offset:294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 294*FLEN/8, x10, x6, x7) + +inst_171:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 296*FLEN/8, x10, x6, x7) + +inst_172:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x2; +valaddr_reg:x9; val_offset:298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 298*FLEN/8, x10, x6, x7) + +inst_173:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 300*FLEN/8, x10, x6, x7) + +inst_174:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 302*FLEN/8, x10, x6, x7) + +inst_175:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 304*FLEN/8, x10, x6, x7) + +inst_176:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 306*FLEN/8, x10, x6, x7) + +inst_177:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 308*FLEN/8, x10, x6, x7) + +inst_178:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 310*FLEN/8, x10, x6, x7) + +inst_179:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 312*FLEN/8, x10, x6, x7) + +inst_180:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 314*FLEN/8, x10, x6, x7) + +inst_181:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 316*FLEN/8, x10, x6, x7) + +inst_182:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 318*FLEN/8, x10, x6, x7) + +inst_183:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 320*FLEN/8, x10, x6, x7) + +inst_184:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 322*FLEN/8, x10, x6, x7) + +inst_185:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 324*FLEN/8, x10, x6, x7) + +inst_186:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 326*FLEN/8, x10, x6, x7) + +inst_187:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 328*FLEN/8, x10, x6, x7) + +inst_188:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 330*FLEN/8, x10, x6, x7) + +inst_189:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 332*FLEN/8, x10, x6, x7) + +inst_190:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 334*FLEN/8, x10, x6, x7) + +inst_191:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800fffffffffffff; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 336*FLEN/8, x10, x6, x7) + +inst_192:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 338*FLEN/8, x10, x6, x7) + +inst_193:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 340*FLEN/8, x10, x6, x7) + +inst_194:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 342*FLEN/8, x10, x6, x7) + +inst_195:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 344*FLEN/8, x10, x6, x7) + +inst_196:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 346*FLEN/8, x10, x6, x7) + +inst_197:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 348*FLEN/8, x10, x6, x7) + +inst_198:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 350*FLEN/8, x10, x6, x7) + +inst_199:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 352*FLEN/8, x10, x6, x7) + +inst_200:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 354*FLEN/8, x10, x6, x7) + +inst_201:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 356*FLEN/8, x10, x6, x7) + +inst_202:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 358*FLEN/8, x10, x6, x7) + +inst_203:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 360*FLEN/8, x10, x6, x7) + +inst_204:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 362*FLEN/8, x10, x6, x7) + +inst_205:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 364*FLEN/8, x10, x6, x7) + +inst_206:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 366*FLEN/8, x10, x6, x7) + +inst_207:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 368*FLEN/8, x10, x6, x7) + +inst_208:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 370*FLEN/8, x10, x6, x7) + +inst_209:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 372*FLEN/8, x10, x6, x7) + +inst_210:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 374*FLEN/8, x10, x6, x7) + +inst_211:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 376*FLEN/8, x10, x6, x7) + +inst_212:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 378*FLEN/8, x10, x6, x7) + +inst_213:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 380*FLEN/8, x10, x6, x7) + +inst_214:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 382*FLEN/8, x10, x6, x7) + +inst_215:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 384*FLEN/8, x10, x6, x7) + +inst_216:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 386*FLEN/8, x10, x6, x7) + +inst_217:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 388*FLEN/8, x10, x6, x7) + +inst_218:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 390*FLEN/8, x10, x6, x7) + +inst_219:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 392*FLEN/8, x10, x6, x7) + +inst_220:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 394*FLEN/8, x10, x6, x7) + +inst_221:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 396*FLEN/8, x10, x6, x7) + +inst_222:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 398*FLEN/8, x10, x6, x7) + +inst_223:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 400*FLEN/8, x10, x6, x7) + +inst_224:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 402*FLEN/8, x10, x6, x7) + +inst_225:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 404*FLEN/8, x10, x6, x7) + +inst_226:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 406*FLEN/8, x10, x6, x7) + +inst_227:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 408*FLEN/8, x10, x6, x7) + +inst_228:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 410*FLEN/8, x10, x6, x7) + +inst_229:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 412*FLEN/8, x10, x6, x7) + +inst_230:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 414*FLEN/8, x10, x6, x7) + +inst_231:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 416*FLEN/8, x10, x6, x7) + +inst_232:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 418*FLEN/8, x10, x6, x7) + +inst_233:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 420*FLEN/8, x10, x6, x7) + +inst_234:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 422*FLEN/8, x10, x6, x7) + +inst_235:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 424*FLEN/8, x10, x6, x7) + +inst_236:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 426*FLEN/8, x10, x6, x7) + +inst_237:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 428*FLEN/8, x10, x6, x7) + +inst_238:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 430*FLEN/8, x10, x6, x7) + +inst_239:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 432*FLEN/8, x10, x6, x7) + +inst_240:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x0; +valaddr_reg:x9; val_offset:434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 434*FLEN/8, x10, x6, x7) + +inst_241:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 436*FLEN/8, x10, x6, x7) + +inst_242:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x1; +valaddr_reg:x9; val_offset:438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 438*FLEN/8, x10, x6, x7) + +inst_243:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 440*FLEN/8, x10, x6, x7) + +inst_244:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x2; +valaddr_reg:x9; val_offset:442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 442*FLEN/8, x10, x6, x7) + +inst_245:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 444*FLEN/8, x10, x6, x7) + +inst_246:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 446*FLEN/8, x10, x6, x7) + +inst_247:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 448*FLEN/8, x10, x6, x7) + +inst_248:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 450*FLEN/8, x10, x6, x7) + +inst_249:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 452*FLEN/8, x10, x6, x7) + +inst_250:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 454*FLEN/8, x10, x6, x7) + +inst_251:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 456*FLEN/8, x10, x6, x7) + +inst_252:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 458*FLEN/8, x10, x6, x7) + +inst_253:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 460*FLEN/8, x10, x6, x7) + +inst_254:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 462*FLEN/8, x10, x6, x7) + +inst_255:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 464*FLEN/8, x10, x6, x7) + +inst_256:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 466*FLEN/8, x10, x6, x7) + +inst_257:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 468*FLEN/8, x10, x6, x7) + +inst_258:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 470*FLEN/8, x10, x6, x7) + +inst_259:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 472*FLEN/8, x10, x6, x7) + +inst_260:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 474*FLEN/8, x10, x6, x7) + +inst_261:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 476*FLEN/8, x10, x6, x7) + +inst_262:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 478*FLEN/8, x10, x6, x7) + +inst_263:// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x10000000000002; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 480*FLEN/8, x10, x6, x7) + +inst_264:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x0; +valaddr_reg:x9; val_offset:482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 482*FLEN/8, x10, x6, x7) + +inst_265:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 484*FLEN/8, x10, x6, x7) + +inst_266:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x1; +valaddr_reg:x9; val_offset:486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 486*FLEN/8, x10, x6, x7) + +inst_267:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 488*FLEN/8, x10, x6, x7) + +inst_268:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x2; +valaddr_reg:x9; val_offset:490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 490*FLEN/8, x10, x6, x7) + +inst_269:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 492*FLEN/8, x10, x6, x7) + +inst_270:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 494*FLEN/8, x10, x6, x7) + +inst_271:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 496*FLEN/8, x10, x6, x7) + +inst_272:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 498*FLEN/8, x10, x6, x7) + +inst_273:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 500*FLEN/8, x10, x6, x7) + +inst_274:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 502*FLEN/8, x10, x6, x7) + +inst_275:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 504*FLEN/8, x10, x6, x7) + +inst_276:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 506*FLEN/8, x10, x6, x7) + +inst_277:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 508*FLEN/8, x10, x6, x7) + +inst_278:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 510*FLEN/8, x10, x6, x7) + +inst_279:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 512*FLEN/8, x10, x6, x7) + +inst_280:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 514*FLEN/8, x10, x6, x7) + +inst_281:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 516*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_2) + +inst_282:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 518*FLEN/8, x10, x6, x7) + +inst_283:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 520*FLEN/8, x10, x6, x7) + +inst_284:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 522*FLEN/8, x10, x6, x7) + +inst_285:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 524*FLEN/8, x10, x6, x7) + +inst_286:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 526*FLEN/8, x10, x6, x7) + +inst_287:// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8010000000000002; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 528*FLEN/8, x10, x6, x7) + +inst_288:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x0; +valaddr_reg:x9; val_offset:530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 530*FLEN/8, x10, x6, x7) + +inst_289:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 532*FLEN/8, x10, x6, x7) + +inst_290:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x1; +valaddr_reg:x9; val_offset:534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 534*FLEN/8, x10, x6, x7) + +inst_291:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 536*FLEN/8, x10, x6, x7) + +inst_292:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x2; +valaddr_reg:x9; val_offset:538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 538*FLEN/8, x10, x6, x7) + +inst_293:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 540*FLEN/8, x10, x6, x7) + +inst_294:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 542*FLEN/8, x10, x6, x7) + +inst_295:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 544*FLEN/8, x10, x6, x7) + +inst_296:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 546*FLEN/8, x10, x6, x7) + +inst_297:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 548*FLEN/8, x10, x6, x7) + +inst_298:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 550*FLEN/8, x10, x6, x7) + +inst_299:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 552*FLEN/8, x10, x6, x7) + +inst_300:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 554*FLEN/8, x10, x6, x7) + +inst_301:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 556*FLEN/8, x10, x6, x7) + +inst_302:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 558*FLEN/8, x10, x6, x7) + +inst_303:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 560*FLEN/8, x10, x6, x7) + +inst_304:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 562*FLEN/8, x10, x6, x7) + +inst_305:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 564*FLEN/8, x10, x6, x7) + +inst_306:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 566*FLEN/8, x10, x6, x7) + +inst_307:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 568*FLEN/8, x10, x6, x7) + +inst_308:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 570*FLEN/8, x10, x6, x7) + +inst_309:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 572*FLEN/8, x10, x6, x7) + +inst_310:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 574*FLEN/8, x10, x6, x7) + +inst_311:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fefffffffffffff; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 576*FLEN/8, x10, x6, x7) + +inst_312:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x0; +valaddr_reg:x9; val_offset:578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 578*FLEN/8, x10, x6, x7) + +inst_313:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 580*FLEN/8, x10, x6, x7) + +inst_314:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x1; +valaddr_reg:x9; val_offset:582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 582*FLEN/8, x10, x6, x7) + +inst_315:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 584*FLEN/8, x10, x6, x7) + +inst_316:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x2; +valaddr_reg:x9; val_offset:586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 586*FLEN/8, x10, x6, x7) + +inst_317:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 588*FLEN/8, x10, x6, x7) + +inst_318:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 590*FLEN/8, x10, x6, x7) + +inst_319:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 592*FLEN/8, x10, x6, x7) + +inst_320:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 594*FLEN/8, x10, x6, x7) + +inst_321:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 596*FLEN/8, x10, x6, x7) + +inst_322:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 598*FLEN/8, x10, x6, x7) + +inst_323:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 600*FLEN/8, x10, x6, x7) + +inst_324:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 602*FLEN/8, x10, x6, x7) + +inst_325:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 604*FLEN/8, x10, x6, x7) + +inst_326:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 606*FLEN/8, x10, x6, x7) + +inst_327:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 608*FLEN/8, x10, x6, x7) + +inst_328:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 610*FLEN/8, x10, x6, x7) + +inst_329:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 612*FLEN/8, x10, x6, x7) + +inst_330:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 614*FLEN/8, x10, x6, x7) + +inst_331:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 616*FLEN/8, x10, x6, x7) + +inst_332:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 618*FLEN/8, x10, x6, x7) + +inst_333:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 620*FLEN/8, x10, x6, x7) + +inst_334:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 622*FLEN/8, x10, x6, x7) + +inst_335:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffefffffffffffff; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 624*FLEN/8, x10, x6, x7) + +inst_336:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 626*FLEN/8, x10, x6, x7) + +inst_337:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 628*FLEN/8, x10, x6, x7) + +inst_338:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 630*FLEN/8, x10, x6, x7) + +inst_339:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 632*FLEN/8, x10, x6, x7) + +inst_340:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 634*FLEN/8, x10, x6, x7) + +inst_341:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 636*FLEN/8, x10, x6, x7) + +inst_342:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 638*FLEN/8, x10, x6, x7) + +inst_343:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 640*FLEN/8, x10, x6, x7) + +inst_344:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 642*FLEN/8, x10, x6, x7) + +inst_345:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 644*FLEN/8, x10, x6, x7) + +inst_346:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 646*FLEN/8, x10, x6, x7) + +inst_347:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 648*FLEN/8, x10, x6, x7) + +inst_348:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 650*FLEN/8, x10, x6, x7) + +inst_349:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 652*FLEN/8, x10, x6, x7) + +inst_350:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 654*FLEN/8, x10, x6, x7) + +inst_351:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 656*FLEN/8, x10, x6, x7) + +inst_352:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 658*FLEN/8, x10, x6, x7) + +inst_353:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 660*FLEN/8, x10, x6, x7) + +inst_354:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 662*FLEN/8, x10, x6, x7) + +inst_355:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 664*FLEN/8, x10, x6, x7) + +inst_356:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 666*FLEN/8, x10, x6, x7) + +inst_357:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 668*FLEN/8, x10, x6, x7) + +inst_358:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 670*FLEN/8, x10, x6, x7) + +inst_359:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 672*FLEN/8, x10, x6, x7) + +inst_360:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 674*FLEN/8, x10, x6, x7) + +inst_361:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 676*FLEN/8, x10, x6, x7) + +inst_362:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 678*FLEN/8, x10, x6, x7) + +inst_363:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 680*FLEN/8, x10, x6, x7) + +inst_364:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 682*FLEN/8, x10, x6, x7) + +inst_365:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 684*FLEN/8, x10, x6, x7) + +inst_366:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 686*FLEN/8, x10, x6, x7) + +inst_367:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 688*FLEN/8, x10, x6, x7) + +inst_368:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 690*FLEN/8, x10, x6, x7) + +inst_369:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 692*FLEN/8, x10, x6, x7) + +inst_370:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 694*FLEN/8, x10, x6, x7) + +inst_371:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 696*FLEN/8, x10, x6, x7) + +inst_372:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 698*FLEN/8, x10, x6, x7) + +inst_373:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 700*FLEN/8, x10, x6, x7) + +inst_374:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 702*FLEN/8, x10, x6, x7) + +inst_375:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 704*FLEN/8, x10, x6, x7) + +inst_376:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 706*FLEN/8, x10, x6, x7) + +inst_377:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 708*FLEN/8, x10, x6, x7) + +inst_378:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 710*FLEN/8, x10, x6, x7) + +inst_379:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 712*FLEN/8, x10, x6, x7) + +inst_380:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 714*FLEN/8, x10, x6, x7) + +inst_381:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 716*FLEN/8, x10, x6, x7) + +inst_382:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 718*FLEN/8, x10, x6, x7) + +inst_383:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 720*FLEN/8, x10, x6, x7) + +inst_384:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 722*FLEN/8, x10, x6, x7) + +inst_385:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 724*FLEN/8, x10, x6, x7) + +inst_386:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 726*FLEN/8, x10, x6, x7) + +inst_387:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 728*FLEN/8, x10, x6, x7) + +inst_388:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 730*FLEN/8, x10, x6, x7) + +inst_389:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 732*FLEN/8, x10, x6, x7) + +inst_390:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 734*FLEN/8, x10, x6, x7) + +inst_391:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 736*FLEN/8, x10, x6, x7) + +inst_392:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 738*FLEN/8, x10, x6, x7) + +inst_393:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 740*FLEN/8, x10, x6, x7) + +inst_394:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 742*FLEN/8, x10, x6, x7) + +inst_395:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 744*FLEN/8, x10, x6, x7) + +inst_396:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 746*FLEN/8, x10, x6, x7) + +inst_397:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 748*FLEN/8, x10, x6, x7) + +inst_398:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 750*FLEN/8, x10, x6, x7) + +inst_399:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 752*FLEN/8, x10, x6, x7) + +inst_400:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 754*FLEN/8, x10, x6, x7) + +inst_401:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 756*FLEN/8, x10, x6, x7) + +inst_402:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 758*FLEN/8, x10, x6, x7) + +inst_403:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 760*FLEN/8, x10, x6, x7) + +inst_404:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 762*FLEN/8, x10, x6, x7) + +inst_405:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 764*FLEN/8, x10, x6, x7) + +inst_406:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 766*FLEN/8, x10, x6, x7) + +inst_407:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 768*FLEN/8, x10, x6, x7) + +inst_408:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 770*FLEN/8, x10, x6, x7) + +inst_409:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 772*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_3) + +inst_410:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 774*FLEN/8, x10, x6, x7) + +inst_411:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 776*FLEN/8, x10, x6, x7) + +inst_412:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 778*FLEN/8, x10, x6, x7) + +inst_413:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 780*FLEN/8, x10, x6, x7) + +inst_414:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 782*FLEN/8, x10, x6, x7) + +inst_415:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 784*FLEN/8, x10, x6, x7) + +inst_416:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 786*FLEN/8, x10, x6, x7) + +inst_417:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 788*FLEN/8, x10, x6, x7) + +inst_418:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 790*FLEN/8, x10, x6, x7) + +inst_419:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 792*FLEN/8, x10, x6, x7) + +inst_420:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 794*FLEN/8, x10, x6, x7) + +inst_421:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 796*FLEN/8, x10, x6, x7) + +inst_422:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 798*FLEN/8, x10, x6, x7) + +inst_423:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 800*FLEN/8, x10, x6, x7) + +inst_424:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 802*FLEN/8, x10, x6, x7) + +inst_425:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 804*FLEN/8, x10, x6, x7) + +inst_426:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 806*FLEN/8, x10, x6, x7) + +inst_427:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 808*FLEN/8, x10, x6, x7) + +inst_428:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 810*FLEN/8, x10, x6, x7) + +inst_429:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 812*FLEN/8, x10, x6, x7) + +inst_430:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 814*FLEN/8, x10, x6, x7) + +inst_431:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 816*FLEN/8, x10, x6, x7) + +inst_432:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x0; +valaddr_reg:x9; val_offset:818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 818*FLEN/8, x10, x6, x7) + +inst_433:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 820*FLEN/8, x10, x6, x7) + +inst_434:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x1; +valaddr_reg:x9; val_offset:822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 822*FLEN/8, x10, x6, x7) + +inst_435:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 824*FLEN/8, x10, x6, x7) + +inst_436:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x2; +valaddr_reg:x9; val_offset:826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 826*FLEN/8, x10, x6, x7) + +inst_437:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 828*FLEN/8, x10, x6, x7) + +inst_438:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 830*FLEN/8, x10, x6, x7) + +inst_439:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 832*FLEN/8, x10, x6, x7) + +inst_440:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 834*FLEN/8, x10, x6, x7) + +inst_441:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 836*FLEN/8, x10, x6, x7) + +inst_442:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 838*FLEN/8, x10, x6, x7) + +inst_443:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 840*FLEN/8, x10, x6, x7) + +inst_444:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 842*FLEN/8, x10, x6, x7) + +inst_445:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 844*FLEN/8, x10, x6, x7) + +inst_446:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 846*FLEN/8, x10, x6, x7) + +inst_447:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 848*FLEN/8, x10, x6, x7) + +inst_448:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 850*FLEN/8, x10, x6, x7) + +inst_449:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 852*FLEN/8, x10, x6, x7) + +inst_450:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 854*FLEN/8, x10, x6, x7) + +inst_451:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 856*FLEN/8, x10, x6, x7) + +inst_452:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 858*FLEN/8, x10, x6, x7) + +inst_453:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 860*FLEN/8, x10, x6, x7) + +inst_454:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 862*FLEN/8, x10, x6, x7) + +inst_455:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff8000000000001; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 864*FLEN/8, x10, x6, x7) + +inst_456:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x0; +valaddr_reg:x9; val_offset:866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 866*FLEN/8, x10, x6, x7) + +inst_457:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 868*FLEN/8, x10, x6, x7) + +inst_458:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x1; +valaddr_reg:x9; val_offset:870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 870*FLEN/8, x10, x6, x7) + +inst_459:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 872*FLEN/8, x10, x6, x7) + +inst_460:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x2; +valaddr_reg:x9; val_offset:874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 874*FLEN/8, x10, x6, x7) + +inst_461:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 876*FLEN/8, x10, x6, x7) + +inst_462:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 878*FLEN/8, x10, x6, x7) + +inst_463:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 880*FLEN/8, x10, x6, x7) + +inst_464:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 882*FLEN/8, x10, x6, x7) + +inst_465:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 884*FLEN/8, x10, x6, x7) + +inst_466:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 886*FLEN/8, x10, x6, x7) + +inst_467:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 888*FLEN/8, x10, x6, x7) + +inst_468:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 890*FLEN/8, x10, x6, x7) + +inst_469:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 892*FLEN/8, x10, x6, x7) + +inst_470:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 894*FLEN/8, x10, x6, x7) + +inst_471:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 896*FLEN/8, x10, x6, x7) + +inst_472:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 898*FLEN/8, x10, x6, x7) + +inst_473:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 900*FLEN/8, x10, x6, x7) + +inst_474:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 902*FLEN/8, x10, x6, x7) + +inst_475:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 904*FLEN/8, x10, x6, x7) + +inst_476:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 906*FLEN/8, x10, x6, x7) + +inst_477:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 908*FLEN/8, x10, x6, x7) + +inst_478:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 910*FLEN/8, x10, x6, x7) + +inst_479:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff8000000000001; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 912*FLEN/8, x10, x6, x7) + +inst_480:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x0; +valaddr_reg:x9; val_offset:914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 914*FLEN/8, x10, x6, x7) + +inst_481:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 916*FLEN/8, x10, x6, x7) + +inst_482:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x1; +valaddr_reg:x9; val_offset:918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 918*FLEN/8, x10, x6, x7) + +inst_483:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 920*FLEN/8, x10, x6, x7) + +inst_484:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x2; +valaddr_reg:x9; val_offset:922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 922*FLEN/8, x10, x6, x7) + +inst_485:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 924*FLEN/8, x10, x6, x7) + +inst_486:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 926*FLEN/8, x10, x6, x7) + +inst_487:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:928*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 928*FLEN/8, x10, x6, x7) + +inst_488:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:930*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 930*FLEN/8, x10, x6, x7) + +inst_489:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:932*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 932*FLEN/8, x10, x6, x7) + +inst_490:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:934*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 934*FLEN/8, x10, x6, x7) + +inst_491:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:936*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 936*FLEN/8, x10, x6, x7) + +inst_492:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:938*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 938*FLEN/8, x10, x6, x7) + +inst_493:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:940*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 940*FLEN/8, x10, x6, x7) + +inst_494:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:942*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 942*FLEN/8, x10, x6, x7) + +inst_495:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:944*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 944*FLEN/8, x10, x6, x7) + +inst_496:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:946*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 946*FLEN/8, x10, x6, x7) + +inst_497:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:948*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 948*FLEN/8, x10, x6, x7) + +inst_498:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:950*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 950*FLEN/8, x10, x6, x7) + +inst_499:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:952*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 952*FLEN/8, x10, x6, x7) + +inst_500:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:954*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 954*FLEN/8, x10, x6, x7) + +inst_501:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:956*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 956*FLEN/8, x10, x6, x7) + +inst_502:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:958*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 958*FLEN/8, x10, x6, x7) + +inst_503:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000001; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:960*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 960*FLEN/8, x10, x6, x7) + +inst_504:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x0; +valaddr_reg:x9; val_offset:962*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 962*FLEN/8, x10, x6, x7) + +inst_505:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:964*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 964*FLEN/8, x10, x6, x7) + +inst_506:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x1; +valaddr_reg:x9; val_offset:966*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 966*FLEN/8, x10, x6, x7) + +inst_507:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:968*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 968*FLEN/8, x10, x6, x7) + +inst_508:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x2; +valaddr_reg:x9; val_offset:970*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 970*FLEN/8, x10, x6, x7) + +inst_509:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:972*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 972*FLEN/8, x10, x6, x7) + +inst_510:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:974*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 974*FLEN/8, x10, x6, x7) + +inst_511:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:976*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 976*FLEN/8, x10, x6, x7) + +inst_512:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:978*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 978*FLEN/8, x10, x6, x7) + +inst_513:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:980*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 980*FLEN/8, x10, x6, x7) + +inst_514:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:982*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 982*FLEN/8, x10, x6, x7) + +inst_515:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:984*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 984*FLEN/8, x10, x6, x7) + +inst_516:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:986*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 986*FLEN/8, x10, x6, x7) + +inst_517:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:988*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 988*FLEN/8, x10, x6, x7) + +inst_518:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:990*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 990*FLEN/8, x10, x6, x7) + +inst_519:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:992*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 992*FLEN/8, x10, x6, x7) + +inst_520:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:994*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 994*FLEN/8, x10, x6, x7) + +inst_521:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:996*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 996*FLEN/8, x10, x6, x7) + +inst_522:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:998*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 998*FLEN/8, x10, x6, x7) + +inst_523:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:1000*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1000*FLEN/8, x10, x6, x7) + +inst_524:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:1002*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1002*FLEN/8, x10, x6, x7) + +inst_525:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:1004*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1004*FLEN/8, x10, x6, x7) + +inst_526:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:1006*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1006*FLEN/8, x10, x6, x7) + +inst_527:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000001; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:1008*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1008*FLEN/8, x10, x6, x7) + +inst_528:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:1010*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1010*FLEN/8, x10, x6, x7) + +inst_529:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:1012*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1012*FLEN/8, x10, x6, x7) + +inst_530:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:1014*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1014*FLEN/8, x10, x6, x7) + +inst_531:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:1016*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1016*FLEN/8, x10, x6, x7) + +inst_532:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:1018*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1018*FLEN/8, x10, x6, x7) + +inst_533:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:1020*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1020*FLEN/8, x10, x6, x7) + +inst_534:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:1022*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1022*FLEN/8, x10, x6, x7) + +inst_535:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:1024*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1024*FLEN/8, x10, x6, x7) + +inst_536:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:1026*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1026*FLEN/8, x10, x6, x7) + +inst_537:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:1028*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1028*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_4) + +inst_538:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:1030*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1030*FLEN/8, x10, x6, x7) + +inst_539:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:1032*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1032*FLEN/8, x10, x6, x7) + +inst_540:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:1034*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1034*FLEN/8, x10, x6, x7) + +inst_541:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:1036*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1036*FLEN/8, x10, x6, x7) + +inst_542:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:1038*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1038*FLEN/8, x10, x6, x7) + +inst_543:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1040*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1040*FLEN/8, x10, x6, x7) + +inst_544:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:1042*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1042*FLEN/8, x10, x6, x7) + +inst_545:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:1044*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1044*FLEN/8, x10, x6, x7) + +inst_546:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:1046*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1046*FLEN/8, x10, x6, x7) + +inst_547:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:1048*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1048*FLEN/8, x10, x6, x7) + +inst_548:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:1050*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1050*FLEN/8, x10, x6, x7) + +inst_549:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:1052*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1052*FLEN/8, x10, x6, x7) + +inst_550:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:1054*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1054*FLEN/8, x10, x6, x7) + +inst_551:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff0000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:1056*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1056*FLEN/8, x10, x6, x7) + +inst_552:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x0; +valaddr_reg:x9; val_offset:1058*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1058*FLEN/8, x10, x6, x7) + +inst_553:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x8000000000000000; +valaddr_reg:x9; val_offset:1060*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1060*FLEN/8, x10, x6, x7) + +inst_554:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x1; +valaddr_reg:x9; val_offset:1062*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1062*FLEN/8, x10, x6, x7) + +inst_555:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x8000000000000001; +valaddr_reg:x9; val_offset:1064*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1064*FLEN/8, x10, x6, x7) + +inst_556:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x2; +valaddr_reg:x9; val_offset:1066*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1066*FLEN/8, x10, x6, x7) + +inst_557:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x8000000000000002; +valaddr_reg:x9; val_offset:1068*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1068*FLEN/8, x10, x6, x7) + +inst_558:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xfffffffffffff; +valaddr_reg:x9; val_offset:1070*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1070*FLEN/8, x10, x6, x7) + +inst_559:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x800fffffffffffff; +valaddr_reg:x9; val_offset:1072*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1072*FLEN/8, x10, x6, x7) + +inst_560:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x10000000000000; +valaddr_reg:x9; val_offset:1074*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1074*FLEN/8, x10, x6, x7) + +inst_561:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:1076*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1076*FLEN/8, x10, x6, x7) + +inst_562:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x10000000000002; +valaddr_reg:x9; val_offset:1078*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1078*FLEN/8, x10, x6, x7) + +inst_563:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x8010000000000002; +valaddr_reg:x9; val_offset:1080*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1080*FLEN/8, x10, x6, x7) + +inst_564:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x7fefffffffffffff; +valaddr_reg:x9; val_offset:1082*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1082*FLEN/8, x10, x6, x7) + +inst_565:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xffefffffffffffff; +valaddr_reg:x9; val_offset:1084*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1084*FLEN/8, x10, x6, x7) + +inst_566:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:1086*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1086*FLEN/8, x10, x6, x7) + +inst_567:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1088*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1088*FLEN/8, x10, x6, x7) + +inst_568:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x7ff8000000000000; +valaddr_reg:x9; val_offset:1090*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1090*FLEN/8, x10, x6, x7) + +inst_569:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xfff8000000000000; +valaddr_reg:x9; val_offset:1092*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1092*FLEN/8, x10, x6, x7) + +inst_570:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x7ff8000000000001; +valaddr_reg:x9; val_offset:1094*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1094*FLEN/8, x10, x6, x7) + +inst_571:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xfff8000000000001; +valaddr_reg:x9; val_offset:1096*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1096*FLEN/8, x10, x6, x7) + +inst_572:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x7ff0000000000001; +valaddr_reg:x9; val_offset:1098*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1098*FLEN/8, x10, x6, x7) + +inst_573:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xfff0000000000001; +valaddr_reg:x9; val_offset:1100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1100*FLEN/8, x10, x6, x7) + +inst_574:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0x3ff0000000000000; +valaddr_reg:x9; val_offset:1102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1102*FLEN/8, x10, x6, x7) + +inst_575:// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbf80000000000000; op2val:0xbf80000000000000; +valaddr_reg:x9; val_offset:1104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1104*FLEN/8, x10, x6, x7) + +inst_576:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x0; +valaddr_reg:x9; val_offset:1106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1106*FLEN/8, x10, x6, x7) + +inst_577:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000000000000000; op2val:0x8010000000000000; +valaddr_reg:x9; val_offset:1108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1108*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +test_dataset_1: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_4: + .fill 80*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fltq.d_b19-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fltq.d_b19-01.S new file mode 100644 index 000000000..3941e6a2e --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fltq.d_b19-01.S @@ -0,0 +1,9344 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:45:10 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fltq.d.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fltq.d instruction of the RISC-V RV64FD_Zicsr_Zfa extension for the fltq.d_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fltq.d_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 == rs2, rs1==f31, rs2==f31, rd==x31,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f31; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 != rs2, rs1==f30, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f30; op2:f29; dest:x30; op1val:0x7fee97d52f73d2ed; op2val:0x7feabc6824ad2440; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x30, f30, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f29, rs2==f30, rd==x29,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f29; op2:f30; dest:x29; op1val:0x7feabc6824ad2440; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x29, f29, f30, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f28; op2:f27; dest:x28; op1val:0x7fee97d52f73d2ed; op2val:0x7fe363e504d94fe2; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f27; op2:f28; dest:x27; op1val:0x7fe363e504d94fe2; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f26; op2:f25; dest:x26; op1val:0x7fee97d52f73d2ed; op2val:0x7fdb9017651b96db; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x879775929758a and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f25; op2:f26; dest:x25; op1val:0x7fb879775929758a; op2val:0x7ff0000000000000; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x879775929758a and fcsr == 0 +/* opcode: fltq.d ; op1:f24; op2:f23; dest:x24; op1val:0x7ff0000000000000; op2val:0x7fb879775929758a; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x879775929758a and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f23; op2:f24; dest:x23; op1val:0x7fb879775929758a; op2val:0x7fdb9017651b96db; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x879775929758a and fcsr == 0 +/* opcode: fltq.d ; op1:f22; op2:f21; dest:x22; op1val:0x7fee97d52f73d2ed; op2val:0x7fb879775929758a; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f21; op2:f22; dest:x21; op1val:0x7fee97d52f73d2ed; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f20; op2:f19; dest:x20; op1val:0x7fee61729d7cfd5e; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f19; op2:f20; dest:x19; op1val:0x7fee97d52f73d2ed; op2val:0xffaab65b09a91410; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x3945f7a87913c and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f18; op2:f17; dest:x18; op1val:0x7f83945f7a87913c; op2val:0xfff0000000000000; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x3945f7a87913c and fcsr == 0 +/* opcode: fltq.d ; op1:f17; op2:f18; dest:x17; op1val:0xfff0000000000000; op2val:0x7f83945f7a87913c; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x3945f7a87913c and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f16; op2:f15; dest:x16; op1val:0x7f83945f7a87913c; op2val:0xffaab65b09a91410; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x3945f7a87913c and fcsr == 0 +/* opcode: fltq.d ; op1:f15; op2:f16; dest:x15; op1val:0x7fee97d52f73d2ed; op2val:0x7f83945f7a87913c; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f14; op2:f13; dest:x14; op1val:0x7fee97d52f73d2ed; op2val:0xffd0e5de21873eea; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x879775929758a and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f13; op2:f14; dest:x13; op1val:0x7fb879775929758a; op2val:0xfff0000000000000; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x879775929758a and fcsr == 0 +/* opcode: fltq.d ; op1:f12; op2:f11; dest:x12; op1val:0xfff0000000000000; op2val:0x7fb879775929758a; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x879775929758a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f11; op2:f12; dest:x11; op1val:0x7fb879775929758a; op2val:0xffd0e5de21873eea; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f10; op2:f9; dest:x10; op1val:0x7fee97d52f73d2ed; op2val:0xffd92a290fb6d0de; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x879775929758a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f9; op2:f10; dest:x9; op1val:0x7fb879775929758a; op2val:0xffd92a290fb6d0de; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f8; op2:f7; dest:x8; op1val:0x7fee97d52f73d2ed; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f7; op2:f8; dest:x7; op1val:0xffe3682ff4c90ae0; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f6; op2:f5; dest:x6; op1val:0x7fee97d52f73d2ed; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x879775929758a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f5; op2:f6; dest:x5; op1val:0x7fb879775929758a; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f4; op2:f3; dest:x4; op1val:0x7fee97d52f73d2ed; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 0 and fe1 == 0x000 and fm1 == 0x13c6071994562 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x6660e5465cd6d and fcsr == 0 +/* opcode: fltq.d ; op1:f3; op2:f4; dest:x3; op1val:0x13c6071994562; op2val:0x7fe6660e5465cd6d; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 0 and fe1 == 0x7fe and fm1 == 0x6660e5465cd6d and fs2 == 0 and fe2 == 0x000 and fm2 == 0x13c6071994562 and fcsr == 0 +/* opcode: fltq.d ; op1:f2; op2:f1; dest:x2; op1val:0x7fe6660e5465cd6d; op2val:0x13c6071994562; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 0 and fe1 == 0x000 and fm1 == 0x13c6071994562 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f1; op2:f2; dest:x1; op1val:0x13c6071994562; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x000 and fm2 == 0x13c6071994562 and fcsr == 0 +/* opcode: fltq.d ; op1:f0; op2:f31; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x13c6071994562; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f0; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xa86c4594097a9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x0; op1val:0xc5bc46ffcb5d2; op2val:0x7fea86c4594097a9; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) + +inst_34:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xa86c4594097a9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc5bc46ffcb5d2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fea86c4594097a9; op2val:0xc5bc46ffcb5d2; +valaddr_reg:x9; val_offset:22*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 22*FLEN/8, x10, x6, x7) + +inst_35:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:24*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 24*FLEN/8, x10, x6, x7) + +inst_36:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc5bc46ffcb5d2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0xc5bc46ffcb5d2; +valaddr_reg:x9; val_offset:26*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 26*FLEN/8, x10, x6, x7) + +inst_37:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:28*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 28*FLEN/8, x10, x6, x7) + +inst_38:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x705262580c704 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0x7fe705262580c704; +valaddr_reg:x9; val_offset:30*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 30*FLEN/8, x10, x6, x7) + +inst_39:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x705262580c704 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc5bc46ffcb5d2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe705262580c704; op2val:0xc5bc46ffcb5d2; +valaddr_reg:x9; val_offset:32*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 32*FLEN/8, x10, x6, x7) + +inst_40:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:34*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 34*FLEN/8, x10, x6, x7) + +inst_41:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:36*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 36*FLEN/8, x10, x6, x7) + +inst_42:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xca304f80cbc99 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0x7feca304f80cbc99; +valaddr_reg:x9; val_offset:38*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 38*FLEN/8, x10, x6, x7) + +inst_43:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xca304f80cbc99 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc5bc46ffcb5d2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feca304f80cbc99; op2val:0xc5bc46ffcb5d2; +valaddr_reg:x9; val_offset:40*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 40*FLEN/8, x10, x6, x7) + +inst_44:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:42*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 42*FLEN/8, x10, x6, x7) + +inst_45:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:44*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 44*FLEN/8, x10, x6, x7) + +inst_46:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x13c6071994562 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x13c6071994562; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:46*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 46*FLEN/8, x10, x6, x7) + +inst_47:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x13c6071994562 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x13c6071994562; +valaddr_reg:x9; val_offset:48*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 48*FLEN/8, x10, x6, x7) + +inst_48:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x13c6071994562 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x13c6071994562; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:50*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 50*FLEN/8, x10, x6, x7) + +inst_49:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:52*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 52*FLEN/8, x10, x6, x7) + +inst_50:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:54*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 54*FLEN/8, x10, x6, x7) + +inst_51:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc5bc46ffcb5d2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xc5bc46ffcb5d2; +valaddr_reg:x9; val_offset:56*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 56*FLEN/8, x10, x6, x7) + +inst_52:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:58*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 58*FLEN/8, x10, x6, x7) + +inst_53:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:60*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 60*FLEN/8, x10, x6, x7) + +inst_54:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xb672fe8c6e870 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0xffeb672fe8c6e870; +valaddr_reg:x9; val_offset:62*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 62*FLEN/8, x10, x6, x7) + +inst_55:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb672fe8c6e870 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc5bc46ffcb5d2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffeb672fe8c6e870; op2val:0xc5bc46ffcb5d2; +valaddr_reg:x9; val_offset:64*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 64*FLEN/8, x10, x6, x7) + +inst_56:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:66*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 66*FLEN/8, x10, x6, x7) + +inst_57:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:68*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 68*FLEN/8, x10, x6, x7) + +inst_58:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:70*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 70*FLEN/8, x10, x6, x7) + +inst_59:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:72*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 72*FLEN/8, x10, x6, x7) + +inst_60:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01fa33e8f53bd and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x484bb4eb5b9d5 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1fa33e8f53bd; op2val:0xffe484bb4eb5b9d5; +valaddr_reg:x9; val_offset:74*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 74*FLEN/8, x10, x6, x7) + +inst_61:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x484bb4eb5b9d5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01fa33e8f53bd and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe484bb4eb5b9d5; op2val:0x1fa33e8f53bd; +valaddr_reg:x9; val_offset:76*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 76*FLEN/8, x10, x6, x7) + +inst_62:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01fa33e8f53bd and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1fa33e8f53bd; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:78*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 78*FLEN/8, x10, x6, x7) + +inst_63:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01fa33e8f53bd and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x1fa33e8f53bd; +valaddr_reg:x9; val_offset:80*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 80*FLEN/8, x10, x6, x7) + +inst_64:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:82*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 82*FLEN/8, x10, x6, x7) + +inst_65:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xcfbb63d922c8f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0xffecfbb63d922c8f; +valaddr_reg:x9; val_offset:84*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 84*FLEN/8, x10, x6, x7) + +inst_66:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xcfbb63d922c8f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc5bc46ffcb5d2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffecfbb63d922c8f; op2val:0xc5bc46ffcb5d2; +valaddr_reg:x9; val_offset:86*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 86*FLEN/8, x10, x6, x7) + +inst_67:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:88*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 88*FLEN/8, x10, x6, x7) + +inst_68:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x0; +valaddr_reg:x9; val_offset:90*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 90*FLEN/8, x10, x6, x7) + +inst_69:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0xb7f9db1715774 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ffb7f9db1715774; op2val:0x0; +valaddr_reg:x9; val_offset:92*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 92*FLEN/8, x10, x6, x7) + +inst_70:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xb7f9db1715774 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ffb7f9db1715774; +valaddr_reg:x9; val_offset:94*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 94*FLEN/8, x10, x6, x7) + +inst_71:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xb7f9db1715774 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x3ffb7f9db1715774; +valaddr_reg:x9; val_offset:96*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 96*FLEN/8, x10, x6, x7) + +inst_72:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:98*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 98*FLEN/8, x10, x6, x7) + +inst_73:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 100*FLEN/8, x10, x6, x7) + +inst_74:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 102*FLEN/8, x10, x6, x7) + +inst_75:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 104*FLEN/8, x10, x6, x7) + +inst_76:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5638683bdb69a and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5638683bdb69a; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 106*FLEN/8, x10, x6, x7) + +inst_77:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x5638683bdb69a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7fb5638683bdb69a; +valaddr_reg:x9; val_offset:108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 108*FLEN/8, x10, x6, x7) + +inst_78:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5638683bdb69a and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5638683bdb69a; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 110*FLEN/8, x10, x6, x7) + +inst_79:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x5638683bdb69a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x7fb5638683bdb69a; +valaddr_reg:x9; val_offset:112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 112*FLEN/8, x10, x6, x7) + +inst_80:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 114*FLEN/8, x10, x6, x7) + +inst_81:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 116*FLEN/8, x10, x6, x7) + +inst_82:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 118*FLEN/8, x10, x6, x7) + +inst_83:// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x11c6b9c97c548 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f811c6b9c97c548; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 120*FLEN/8, x10, x6, x7) + +inst_84:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x11c6b9c97c548 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7f811c6b9c97c548; +valaddr_reg:x9; val_offset:122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 122*FLEN/8, x10, x6, x7) + +inst_85:// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x11c6b9c97c548 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f811c6b9c97c548; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 124*FLEN/8, x10, x6, x7) + +inst_86:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x11c6b9c97c548 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x7f811c6b9c97c548; +valaddr_reg:x9; val_offset:126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 126*FLEN/8, x10, x6, x7) + +inst_87:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 128*FLEN/8, x10, x6, x7) + +inst_88:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5638683bdb69a and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5638683bdb69a; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 130*FLEN/8, x10, x6, x7) + +inst_89:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x5638683bdb69a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fb5638683bdb69a; +valaddr_reg:x9; val_offset:132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 132*FLEN/8, x10, x6, x7) + +inst_90:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5638683bdb69a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5638683bdb69a; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 134*FLEN/8, x10, x6, x7) + +inst_91:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 136*FLEN/8, x10, x6, x7) + +inst_92:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5638683bdb69a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5638683bdb69a; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 138*FLEN/8, x10, x6, x7) + +inst_93:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 140*FLEN/8, x10, x6, x7) + +inst_94:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 142*FLEN/8, x10, x6, x7) + +inst_95:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 144*FLEN/8, x10, x6, x7) + +inst_96:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5638683bdb69a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5638683bdb69a; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 146*FLEN/8, x10, x6, x7) + +inst_97:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 148*FLEN/8, x10, x6, x7) + +inst_98:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1147d0920addb and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x6660e5465cd6d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1147d0920addb; op2val:0x7fe6660e5465cd6d; +valaddr_reg:x9; val_offset:150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 150*FLEN/8, x10, x6, x7) + +inst_99:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x6660e5465cd6d and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1147d0920addb and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe6660e5465cd6d; op2val:0x1147d0920addb; +valaddr_reg:x9; val_offset:152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 152*FLEN/8, x10, x6, x7) + +inst_100:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1147d0920addb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1147d0920addb; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 154*FLEN/8, x10, x6, x7) + +inst_101:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1147d0920addb and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x1147d0920addb; +valaddr_reg:x9; val_offset:156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 156*FLEN/8, x10, x6, x7) + +inst_102:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 158*FLEN/8, x10, x6, x7) + +inst_103:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xa86c4594097a9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0x7fea86c4594097a9; +valaddr_reg:x9; val_offset:160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 160*FLEN/8, x10, x6, x7) + +inst_104:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xa86c4594097a9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xacce25b46ca92 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fea86c4594097a9; op2val:0xacce25b46ca92; +valaddr_reg:x9; val_offset:162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 162*FLEN/8, x10, x6, x7) + +inst_105:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 164*FLEN/8, x10, x6, x7) + +inst_106:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xacce25b46ca92 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0xacce25b46ca92; +valaddr_reg:x9; val_offset:166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 166*FLEN/8, x10, x6, x7) + +inst_107:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 168*FLEN/8, x10, x6, x7) + +inst_108:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x705262580c704 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0x7fe705262580c704; +valaddr_reg:x9; val_offset:170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 170*FLEN/8, x10, x6, x7) + +inst_109:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x705262580c704 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xacce25b46ca92 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe705262580c704; op2val:0xacce25b46ca92; +valaddr_reg:x9; val_offset:172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 172*FLEN/8, x10, x6, x7) + +inst_110:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 174*FLEN/8, x10, x6, x7) + +inst_111:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 176*FLEN/8, x10, x6, x7) + +inst_112:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xca304f80cbc99 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0x7feca304f80cbc99; +valaddr_reg:x9; val_offset:178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 178*FLEN/8, x10, x6, x7) + +inst_113:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xca304f80cbc99 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xacce25b46ca92 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feca304f80cbc99; op2val:0xacce25b46ca92; +valaddr_reg:x9; val_offset:180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 180*FLEN/8, x10, x6, x7) + +inst_114:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 182*FLEN/8, x10, x6, x7) + +inst_115:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 184*FLEN/8, x10, x6, x7) + +inst_116:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1147d0920addb and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1147d0920addb; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 186*FLEN/8, x10, x6, x7) + +inst_117:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1147d0920addb and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x1147d0920addb; +valaddr_reg:x9; val_offset:188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 188*FLEN/8, x10, x6, x7) + +inst_118:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1147d0920addb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1147d0920addb; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 190*FLEN/8, x10, x6, x7) + +inst_119:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 192*FLEN/8, x10, x6, x7) + +inst_120:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 194*FLEN/8, x10, x6, x7) + +inst_121:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xacce25b46ca92 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xacce25b46ca92; +valaddr_reg:x9; val_offset:196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 196*FLEN/8, x10, x6, x7) + +inst_122:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 198*FLEN/8, x10, x6, x7) + +inst_123:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 200*FLEN/8, x10, x6, x7) + +inst_124:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xb672fe8c6e870 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0xffeb672fe8c6e870; +valaddr_reg:x9; val_offset:202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 202*FLEN/8, x10, x6, x7) + +inst_125:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb672fe8c6e870 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xacce25b46ca92 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffeb672fe8c6e870; op2val:0xacce25b46ca92; +valaddr_reg:x9; val_offset:204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 204*FLEN/8, x10, x6, x7) + +inst_126:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 206*FLEN/8, x10, x6, x7) + +inst_127:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 208*FLEN/8, x10, x6, x7) + +inst_128:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 210*FLEN/8, x10, x6, x7) + +inst_129:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 212*FLEN/8, x10, x6, x7) + +inst_130:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01ba61a834496 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x484bb4eb5b9d5 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ba61a834496; op2val:0xffe484bb4eb5b9d5; +valaddr_reg:x9; val_offset:214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 214*FLEN/8, x10, x6, x7) + +inst_131:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x484bb4eb5b9d5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01ba61a834496 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe484bb4eb5b9d5; op2val:0x1ba61a834496; +valaddr_reg:x9; val_offset:216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 216*FLEN/8, x10, x6, x7) + +inst_132:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01ba61a834496 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1ba61a834496; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 218*FLEN/8, x10, x6, x7) + +inst_133:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01ba61a834496 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x1ba61a834496; +valaddr_reg:x9; val_offset:220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 220*FLEN/8, x10, x6, x7) + +inst_134:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 222*FLEN/8, x10, x6, x7) + +inst_135:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xcfbb63d922c8f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0xffecfbb63d922c8f; +valaddr_reg:x9; val_offset:224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 224*FLEN/8, x10, x6, x7) + +inst_136:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xcfbb63d922c8f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xacce25b46ca92 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffecfbb63d922c8f; op2val:0xacce25b46ca92; +valaddr_reg:x9; val_offset:226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 226*FLEN/8, x10, x6, x7) + +inst_137:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xacce25b46ca92 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xacce25b46ca92; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 228*FLEN/8, x10, x6, x7) + +inst_138:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x0; +valaddr_reg:x9; val_offset:230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 230*FLEN/8, x10, x6, x7) + +inst_139:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x80812523614ab and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff80812523614ab; op2val:0x0; +valaddr_reg:x9; val_offset:232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 232*FLEN/8, x10, x6, x7) + +inst_140:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x80812523614ab and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ff80812523614ab; +valaddr_reg:x9; val_offset:234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 234*FLEN/8, x10, x6, x7) + +inst_141:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xabc6824ad2440 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x80812523614ab and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feabc6824ad2440; op2val:0x3ff80812523614ab; +valaddr_reg:x9; val_offset:236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 236*FLEN/8, x10, x6, x7) + +inst_142:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 238*FLEN/8, x10, x6, x7) + +inst_143:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 240*FLEN/8, x10, x6, x7) + +inst_144:// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xf063b3af54c9d and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7faf063b3af54c9d; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 242*FLEN/8, x10, x6, x7) + +inst_145:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fa and fm2 == 0xf063b3af54c9d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7faf063b3af54c9d; +valaddr_reg:x9; val_offset:244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 244*FLEN/8, x10, x6, x7) + +inst_146:// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xf063b3af54c9d and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7faf063b3af54c9d; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 246*FLEN/8, x10, x6, x7) + +inst_147:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x7fa and fm2 == 0xf063b3af54c9d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x7faf063b3af54c9d; +valaddr_reg:x9; val_offset:248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 248*FLEN/8, x10, x6, x7) + +inst_148:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 250*FLEN/8, x10, x6, x7) + +inst_149:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 252*FLEN/8, x10, x6, x7) + +inst_150:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 254*FLEN/8, x10, x6, x7) + +inst_151:// fs1 == 0 and fe1 == 0x7f7 and fm1 == 0x8d1c8fbf7707e and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f78d1c8fbf7707e; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 256*FLEN/8, x10, x6, x7) + +inst_152:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7f7 and fm2 == 0x8d1c8fbf7707e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7f78d1c8fbf7707e; +valaddr_reg:x9; val_offset:258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 258*FLEN/8, x10, x6, x7) + +inst_153:// fs1 == 0 and fe1 == 0x7f7 and fm1 == 0x8d1c8fbf7707e and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f78d1c8fbf7707e; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 260*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_1) + +inst_154:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x7f7 and fm2 == 0x8d1c8fbf7707e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x7f78d1c8fbf7707e; +valaddr_reg:x9; val_offset:262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 262*FLEN/8, x10, x6, x7) + +inst_155:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 264*FLEN/8, x10, x6, x7) + +inst_156:// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xf063b3af54c9d and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7faf063b3af54c9d; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 266*FLEN/8, x10, x6, x7) + +inst_157:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fa and fm2 == 0xf063b3af54c9d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7faf063b3af54c9d; +valaddr_reg:x9; val_offset:268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 268*FLEN/8, x10, x6, x7) + +inst_158:// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xf063b3af54c9d and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7faf063b3af54c9d; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 270*FLEN/8, x10, x6, x7) + +inst_159:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 272*FLEN/8, x10, x6, x7) + +inst_160:// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xf063b3af54c9d and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7faf063b3af54c9d; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 274*FLEN/8, x10, x6, x7) + +inst_161:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 276*FLEN/8, x10, x6, x7) + +inst_162:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 278*FLEN/8, x10, x6, x7) + +inst_163:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 280*FLEN/8, x10, x6, x7) + +inst_164:// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xf063b3af54c9d and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7faf063b3af54c9d; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 282*FLEN/8, x10, x6, x7) + +inst_165:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 284*FLEN/8, x10, x6, x7) + +inst_166:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0c885d3ef4f92 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x6660e5465cd6d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc885d3ef4f92; op2val:0x7fe6660e5465cd6d; +valaddr_reg:x9; val_offset:286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 286*FLEN/8, x10, x6, x7) + +inst_167:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x6660e5465cd6d and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0c885d3ef4f92 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe6660e5465cd6d; op2val:0xc885d3ef4f92; +valaddr_reg:x9; val_offset:288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 288*FLEN/8, x10, x6, x7) + +inst_168:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0c885d3ef4f92 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc885d3ef4f92; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 290*FLEN/8, x10, x6, x7) + +inst_169:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0c885d3ef4f92 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0xc885d3ef4f92; +valaddr_reg:x9; val_offset:292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 292*FLEN/8, x10, x6, x7) + +inst_170:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 294*FLEN/8, x10, x6, x7) + +inst_171:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xa86c4594097a9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0x7fea86c4594097a9; +valaddr_reg:x9; val_offset:296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 296*FLEN/8, x10, x6, x7) + +inst_172:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xa86c4594097a9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7d53a47591bb8 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fea86c4594097a9; op2val:0x7d53a47591bb8; +valaddr_reg:x9; val_offset:298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 298*FLEN/8, x10, x6, x7) + +inst_173:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 300*FLEN/8, x10, x6, x7) + +inst_174:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7d53a47591bb8 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x7d53a47591bb8; +valaddr_reg:x9; val_offset:302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 302*FLEN/8, x10, x6, x7) + +inst_175:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 304*FLEN/8, x10, x6, x7) + +inst_176:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x705262580c704 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0x7fe705262580c704; +valaddr_reg:x9; val_offset:306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 306*FLEN/8, x10, x6, x7) + +inst_177:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x705262580c704 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7d53a47591bb8 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe705262580c704; op2val:0x7d53a47591bb8; +valaddr_reg:x9; val_offset:308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 308*FLEN/8, x10, x6, x7) + +inst_178:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 310*FLEN/8, x10, x6, x7) + +inst_179:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 312*FLEN/8, x10, x6, x7) + +inst_180:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xca304f80cbc99 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0x7feca304f80cbc99; +valaddr_reg:x9; val_offset:314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 314*FLEN/8, x10, x6, x7) + +inst_181:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xca304f80cbc99 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7d53a47591bb8 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feca304f80cbc99; op2val:0x7d53a47591bb8; +valaddr_reg:x9; val_offset:316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 316*FLEN/8, x10, x6, x7) + +inst_182:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 318*FLEN/8, x10, x6, x7) + +inst_183:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 320*FLEN/8, x10, x6, x7) + +inst_184:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0c885d3ef4f92 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc885d3ef4f92; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 322*FLEN/8, x10, x6, x7) + +inst_185:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0c885d3ef4f92 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xc885d3ef4f92; +valaddr_reg:x9; val_offset:324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 324*FLEN/8, x10, x6, x7) + +inst_186:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0c885d3ef4f92 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc885d3ef4f92; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 326*FLEN/8, x10, x6, x7) + +inst_187:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 328*FLEN/8, x10, x6, x7) + +inst_188:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 330*FLEN/8, x10, x6, x7) + +inst_189:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7d53a47591bb8 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7d53a47591bb8; +valaddr_reg:x9; val_offset:332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 332*FLEN/8, x10, x6, x7) + +inst_190:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 334*FLEN/8, x10, x6, x7) + +inst_191:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 336*FLEN/8, x10, x6, x7) + +inst_192:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xb672fe8c6e870 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0xffeb672fe8c6e870; +valaddr_reg:x9; val_offset:338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 338*FLEN/8, x10, x6, x7) + +inst_193:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb672fe8c6e870 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7d53a47591bb8 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffeb672fe8c6e870; op2val:0x7d53a47591bb8; +valaddr_reg:x9; val_offset:340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 340*FLEN/8, x10, x6, x7) + +inst_194:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 342*FLEN/8, x10, x6, x7) + +inst_195:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 344*FLEN/8, x10, x6, x7) + +inst_196:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 346*FLEN/8, x10, x6, x7) + +inst_197:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 348*FLEN/8, x10, x6, x7) + +inst_198:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0140d61fe54c2 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x484bb4eb5b9d5 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x140d61fe54c2; op2val:0xffe484bb4eb5b9d5; +valaddr_reg:x9; val_offset:350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 350*FLEN/8, x10, x6, x7) + +inst_199:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x484bb4eb5b9d5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0140d61fe54c2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe484bb4eb5b9d5; op2val:0x140d61fe54c2; +valaddr_reg:x9; val_offset:352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 352*FLEN/8, x10, x6, x7) + +inst_200:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0140d61fe54c2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x140d61fe54c2; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 354*FLEN/8, x10, x6, x7) + +inst_201:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0140d61fe54c2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x140d61fe54c2; +valaddr_reg:x9; val_offset:356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 356*FLEN/8, x10, x6, x7) + +inst_202:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 358*FLEN/8, x10, x6, x7) + +inst_203:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xcfbb63d922c8f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0xffecfbb63d922c8f; +valaddr_reg:x9; val_offset:360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 360*FLEN/8, x10, x6, x7) + +inst_204:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xcfbb63d922c8f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7d53a47591bb8 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffecfbb63d922c8f; op2val:0x7d53a47591bb8; +valaddr_reg:x9; val_offset:362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 362*FLEN/8, x10, x6, x7) + +inst_205:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7d53a47591bb8 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7d53a47591bb8; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 364*FLEN/8, x10, x6, x7) + +inst_206:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x0; +valaddr_reg:x9; val_offset:366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 366*FLEN/8, x10, x6, x7) + +inst_207:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x16dc795a2b73d and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff16dc795a2b73d; op2val:0x0; +valaddr_reg:x9; val_offset:368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 368*FLEN/8, x10, x6, x7) + +inst_208:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x16dc795a2b73d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ff16dc795a2b73d; +valaddr_reg:x9; val_offset:370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 370*FLEN/8, x10, x6, x7) + +inst_209:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x363e504d94fe2 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x16dc795a2b73d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe363e504d94fe2; op2val:0x3ff16dc795a2b73d; +valaddr_reg:x9; val_offset:372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 372*FLEN/8, x10, x6, x7) + +inst_210:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 374*FLEN/8, x10, x6, x7) + +inst_211:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 376*FLEN/8, x10, x6, x7) + +inst_212:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 378*FLEN/8, x10, x6, x7) + +inst_213:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 380*FLEN/8, x10, x6, x7) + +inst_214:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 382*FLEN/8, x10, x6, x7) + +inst_215:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 384*FLEN/8, x10, x6, x7) + +inst_216:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 386*FLEN/8, x10, x6, x7) + +inst_217:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 388*FLEN/8, x10, x6, x7) + +inst_218:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 390*FLEN/8, x10, x6, x7) + +inst_219:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x84df54aca644b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7fb84df54aca644b; +valaddr_reg:x9; val_offset:392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 392*FLEN/8, x10, x6, x7) + +inst_220:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x84df54aca644b and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb84df54aca644b; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 394*FLEN/8, x10, x6, x7) + +inst_221:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 396*FLEN/8, x10, x6, x7) + +inst_222:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 398*FLEN/8, x10, x6, x7) + +inst_223:// fs1 == 0 and fe1 == 0x7fa and fm1 == 0x60cdf84161249 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0b1f8e609ac8a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fa60cdf84161249; op2val:0xffe0b1f8e609ac8a; +valaddr_reg:x9; val_offset:400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 400*FLEN/8, x10, x6, x7) + +inst_224:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0b1f8e609ac8a and fs2 == 0 and fe2 == 0x7fa and fm2 == 0x60cdf84161249 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0b1f8e609ac8a; op2val:0x7fa60cdf84161249; +valaddr_reg:x9; val_offset:402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 402*FLEN/8, x10, x6, x7) + +inst_225:// fs1 == 0 and fe1 == 0x7fa and fm1 == 0x60cdf84161249 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fa60cdf84161249; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 404*FLEN/8, x10, x6, x7) + +inst_226:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x7fa and fm2 == 0x60cdf84161249 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x7fa60cdf84161249; +valaddr_reg:x9; val_offset:406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 406*FLEN/8, x10, x6, x7) + +inst_227:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 408*FLEN/8, x10, x6, x7) + +inst_228:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 410*FLEN/8, x10, x6, x7) + +inst_229:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 412*FLEN/8, x10, x6, x7) + +inst_230:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 414*FLEN/8, x10, x6, x7) + +inst_231:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 416*FLEN/8, x10, x6, x7) + +inst_232:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xf0d1987a81166 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xffaf0d1987a81166; +valaddr_reg:x9; val_offset:418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 418*FLEN/8, x10, x6, x7) + +inst_233:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf0d1987a81166 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaf0d1987a81166; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 420*FLEN/8, x10, x6, x7) + +inst_234:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 422*FLEN/8, x10, x6, x7) + +inst_235:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 424*FLEN/8, x10, x6, x7) + +inst_236:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 426*FLEN/8, x10, x6, x7) + +inst_237:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 428*FLEN/8, x10, x6, x7) + +inst_238:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x59132cc0dc780 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x1eb3ea9eb0abd and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x59132cc0dc780; op2val:0x7fb1eb3ea9eb0abd; +valaddr_reg:x9; val_offset:430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 430*FLEN/8, x10, x6, x7) + +inst_239:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x1eb3ea9eb0abd and fs2 == 0 and fe2 == 0x000 and fm2 == 0x59132cc0dc780 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb1eb3ea9eb0abd; op2val:0x59132cc0dc780; +valaddr_reg:x9; val_offset:432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 432*FLEN/8, x10, x6, x7) + +inst_240:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x59132cc0dc780 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x59132cc0dc780; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 434*FLEN/8, x10, x6, x7) + +inst_241:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x59132cc0dc780 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x59132cc0dc780; +valaddr_reg:x9; val_offset:436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 436*FLEN/8, x10, x6, x7) + +inst_242:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 438*FLEN/8, x10, x6, x7) + +inst_243:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x5389d1433ac87 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0x7fb5389d1433ac87; +valaddr_reg:x9; val_offset:440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 440*FLEN/8, x10, x6, x7) + +inst_244:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5389d1433ac87 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xbd5fdfc44e580 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5389d1433ac87; op2val:0x2bd5fdfc44e580; +valaddr_reg:x9; val_offset:442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 442*FLEN/8, x10, x6, x7) + +inst_245:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 444*FLEN/8, x10, x6, x7) + +inst_246:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x002 and fm2 == 0xbd5fdfc44e580 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x2bd5fdfc44e580; +valaddr_reg:x9; val_offset:446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 446*FLEN/8, x10, x6, x7) + +inst_247:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 448*FLEN/8, x10, x6, x7) + +inst_248:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x26a84eacd6c03 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0x7fb26a84eacd6c03; +valaddr_reg:x9; val_offset:450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 450*FLEN/8, x10, x6, x7) + +inst_249:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x26a84eacd6c03 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xbd5fdfc44e580 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb26a84eacd6c03; op2val:0x2bd5fdfc44e580; +valaddr_reg:x9; val_offset:452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 452*FLEN/8, x10, x6, x7) + +inst_250:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 454*FLEN/8, x10, x6, x7) + +inst_251:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 456*FLEN/8, x10, x6, x7) + +inst_252:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x6e8d0c67096e1 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0x7fb6e8d0c67096e1; +valaddr_reg:x9; val_offset:458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 458*FLEN/8, x10, x6, x7) + +inst_253:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x6e8d0c67096e1 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xbd5fdfc44e580 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb6e8d0c67096e1; op2val:0x2bd5fdfc44e580; +valaddr_reg:x9; val_offset:460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 460*FLEN/8, x10, x6, x7) + +inst_254:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 462*FLEN/8, x10, x6, x7) + +inst_255:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 464*FLEN/8, x10, x6, x7) + +inst_256:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x59132cc0dc780 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x19e9f6802bcef and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x59132cc0dc780; op2val:0x7fe19e9f6802bcef; +valaddr_reg:x9; val_offset:466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 466*FLEN/8, x10, x6, x7) + +inst_257:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x19e9f6802bcef and fs2 == 0 and fe2 == 0x000 and fm2 == 0x59132cc0dc780 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe19e9f6802bcef; op2val:0x59132cc0dc780; +valaddr_reg:x9; val_offset:468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 468*FLEN/8, x10, x6, x7) + +inst_258:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x59132cc0dc780 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x59132cc0dc780; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 470*FLEN/8, x10, x6, x7) + +inst_259:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 472*FLEN/8, x10, x6, x7) + +inst_260:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xcff30f3beb065 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0xffbcff30f3beb065; +valaddr_reg:x9; val_offset:474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 474*FLEN/8, x10, x6, x7) + +inst_261:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xcff30f3beb065 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xbd5fdfc44e580 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbcff30f3beb065; op2val:0x2bd5fdfc44e580; +valaddr_reg:x9; val_offset:476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 476*FLEN/8, x10, x6, x7) + +inst_262:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 478*FLEN/8, x10, x6, x7) + +inst_263:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 480*FLEN/8, x10, x6, x7) + +inst_264:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x5ec2653d2538d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0xffb5ec2653d2538d; +valaddr_reg:x9; val_offset:482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 482*FLEN/8, x10, x6, x7) + +inst_265:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x5ec2653d2538d and fs2 == 0 and fe2 == 0x002 and fm2 == 0xbd5fdfc44e580 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb5ec2653d2538d; op2val:0x2bd5fdfc44e580; +valaddr_reg:x9; val_offset:484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 484*FLEN/8, x10, x6, x7) + +inst_266:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 486*FLEN/8, x10, x6, x7) + +inst_267:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 488*FLEN/8, x10, x6, x7) + +inst_268:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xaaae5b42b9d01 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0xffbaaae5b42b9d01; +valaddr_reg:x9; val_offset:490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 490*FLEN/8, x10, x6, x7) + +inst_269:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xaaae5b42b9d01 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xbd5fdfc44e580 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbaaae5b42b9d01; op2val:0x2bd5fdfc44e580; +valaddr_reg:x9; val_offset:492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 492*FLEN/8, x10, x6, x7) + +inst_270:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 494*FLEN/8, x10, x6, x7) + +inst_271:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 496*FLEN/8, x10, x6, x7) + +inst_272:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x08e851467c726 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x06a2f722afb11 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8e851467c726; op2val:0xffb06a2f722afb11; +valaddr_reg:x9; val_offset:498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 498*FLEN/8, x10, x6, x7) + +inst_273:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x06a2f722afb11 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x08e851467c726 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb06a2f722afb11; op2val:0x8e851467c726; +valaddr_reg:x9; val_offset:500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 500*FLEN/8, x10, x6, x7) + +inst_274:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x08e851467c726 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8e851467c726; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 502*FLEN/8, x10, x6, x7) + +inst_275:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x08e851467c726 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x8e851467c726; +valaddr_reg:x9; val_offset:504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 504*FLEN/8, x10, x6, x7) + +inst_276:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 506*FLEN/8, x10, x6, x7) + +inst_277:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x72fc4fe0e8a0c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0xffb72fc4fe0e8a0c; +valaddr_reg:x9; val_offset:508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 508*FLEN/8, x10, x6, x7) + +inst_278:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x72fc4fe0e8a0c and fs2 == 0 and fe2 == 0x002 and fm2 == 0xbd5fdfc44e580 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb72fc4fe0e8a0c; op2val:0x2bd5fdfc44e580; +valaddr_reg:x9; val_offset:510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 510*FLEN/8, x10, x6, x7) + +inst_279:// fs1 == 0 and fe1 == 0x002 and fm1 == 0xbd5fdfc44e580 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x2bd5fdfc44e580; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 512*FLEN/8, x10, x6, x7) + +inst_280:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x0; +valaddr_reg:x9; val_offset:514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 514*FLEN/8, x10, x6, x7) + +inst_281:// fs1 == 0 and fe1 == 0x401 and fm1 == 0xef7eded580ce9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x401ef7eded580ce9; op2val:0x0; +valaddr_reg:x9; val_offset:516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 516*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_2) + +inst_282:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x401 and fm2 == 0xef7eded580ce9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x401ef7eded580ce9; +valaddr_reg:x9; val_offset:518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 518*FLEN/8, x10, x6, x7) + +inst_283:// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xb9017651b96db and fs2 == 0 and fe2 == 0x401 and fm2 == 0xef7eded580ce9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fdb9017651b96db; op2val:0x401ef7eded580ce9; +valaddr_reg:x9; val_offset:520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 520*FLEN/8, x10, x6, x7) + +inst_284:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 522*FLEN/8, x10, x6, x7) + +inst_285:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 524*FLEN/8, x10, x6, x7) + +inst_286:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x84df54aca644b and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb84df54aca644b; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 526*FLEN/8, x10, x6, x7) + +inst_287:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x84df54aca644b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x7fb84df54aca644b; +valaddr_reg:x9; val_offset:528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 528*FLEN/8, x10, x6, x7) + +inst_288:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 530*FLEN/8, x10, x6, x7) + +inst_289:// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x3719108a1e9d6 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f83719108a1e9d6; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 532*FLEN/8, x10, x6, x7) + +inst_290:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x3719108a1e9d6 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7f83719108a1e9d6; +valaddr_reg:x9; val_offset:534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 534*FLEN/8, x10, x6, x7) + +inst_291:// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x3719108a1e9d6 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f83719108a1e9d6; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 536*FLEN/8, x10, x6, x7) + +inst_292:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x3719108a1e9d6 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x7f83719108a1e9d6; +valaddr_reg:x9; val_offset:538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 538*FLEN/8, x10, x6, x7) + +inst_293:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 540*FLEN/8, x10, x6, x7) + +inst_294:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x84df54aca644b and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb84df54aca644b; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 542*FLEN/8, x10, x6, x7) + +inst_295:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x84df54aca644b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fb84df54aca644b; +valaddr_reg:x9; val_offset:544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 544*FLEN/8, x10, x6, x7) + +inst_296:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x84df54aca644b and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb84df54aca644b; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 546*FLEN/8, x10, x6, x7) + +inst_297:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 548*FLEN/8, x10, x6, x7) + +inst_298:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x84df54aca644b and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb84df54aca644b; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 550*FLEN/8, x10, x6, x7) + +inst_299:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 552*FLEN/8, x10, x6, x7) + +inst_300:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 554*FLEN/8, x10, x6, x7) + +inst_301:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 556*FLEN/8, x10, x6, x7) + +inst_302:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x84df54aca644b and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb84df54aca644b; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 558*FLEN/8, x10, x6, x7) + +inst_303:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 560*FLEN/8, x10, x6, x7) + +inst_304:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x13a2e0625c7c9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x6660e5465cd6d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x13a2e0625c7c9; op2val:0x7fe6660e5465cd6d; +valaddr_reg:x9; val_offset:562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 562*FLEN/8, x10, x6, x7) + +inst_305:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x6660e5465cd6d and fs2 == 0 and fe2 == 0x000 and fm2 == 0x13a2e0625c7c9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe6660e5465cd6d; op2val:0x13a2e0625c7c9; +valaddr_reg:x9; val_offset:564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 564*FLEN/8, x10, x6, x7) + +inst_306:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x13a2e0625c7c9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x13a2e0625c7c9; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 566*FLEN/8, x10, x6, x7) + +inst_307:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x13a2e0625c7c9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x13a2e0625c7c9; +valaddr_reg:x9; val_offset:568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 568*FLEN/8, x10, x6, x7) + +inst_308:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 570*FLEN/8, x10, x6, x7) + +inst_309:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xa86c4594097a9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0x7fea86c4594097a9; +valaddr_reg:x9; val_offset:572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 572*FLEN/8, x10, x6, x7) + +inst_310:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xa86c4594097a9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc45cc3d79cddb and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fea86c4594097a9; op2val:0xc45cc3d79cddb; +valaddr_reg:x9; val_offset:574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 574*FLEN/8, x10, x6, x7) + +inst_311:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 576*FLEN/8, x10, x6, x7) + +inst_312:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc45cc3d79cddb and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0xc45cc3d79cddb; +valaddr_reg:x9; val_offset:578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 578*FLEN/8, x10, x6, x7) + +inst_313:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 580*FLEN/8, x10, x6, x7) + +inst_314:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x705262580c704 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0x7fe705262580c704; +valaddr_reg:x9; val_offset:582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 582*FLEN/8, x10, x6, x7) + +inst_315:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x705262580c704 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc45cc3d79cddb and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe705262580c704; op2val:0xc45cc3d79cddb; +valaddr_reg:x9; val_offset:584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 584*FLEN/8, x10, x6, x7) + +inst_316:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 586*FLEN/8, x10, x6, x7) + +inst_317:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 588*FLEN/8, x10, x6, x7) + +inst_318:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xca304f80cbc99 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0x7feca304f80cbc99; +valaddr_reg:x9; val_offset:590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 590*FLEN/8, x10, x6, x7) + +inst_319:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xca304f80cbc99 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc45cc3d79cddb and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feca304f80cbc99; op2val:0xc45cc3d79cddb; +valaddr_reg:x9; val_offset:592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 592*FLEN/8, x10, x6, x7) + +inst_320:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 594*FLEN/8, x10, x6, x7) + +inst_321:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 596*FLEN/8, x10, x6, x7) + +inst_322:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x13a2e0625c7c9 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x13a2e0625c7c9; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 598*FLEN/8, x10, x6, x7) + +inst_323:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x13a2e0625c7c9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x13a2e0625c7c9; +valaddr_reg:x9; val_offset:600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 600*FLEN/8, x10, x6, x7) + +inst_324:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x13a2e0625c7c9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x13a2e0625c7c9; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 602*FLEN/8, x10, x6, x7) + +inst_325:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 604*FLEN/8, x10, x6, x7) + +inst_326:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 606*FLEN/8, x10, x6, x7) + +inst_327:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc45cc3d79cddb and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xc45cc3d79cddb; +valaddr_reg:x9; val_offset:608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 608*FLEN/8, x10, x6, x7) + +inst_328:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 610*FLEN/8, x10, x6, x7) + +inst_329:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 612*FLEN/8, x10, x6, x7) + +inst_330:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xb672fe8c6e870 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0xffeb672fe8c6e870; +valaddr_reg:x9; val_offset:614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 614*FLEN/8, x10, x6, x7) + +inst_331:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb672fe8c6e870 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc45cc3d79cddb and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffeb672fe8c6e870; op2val:0xc45cc3d79cddb; +valaddr_reg:x9; val_offset:616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 616*FLEN/8, x10, x6, x7) + +inst_332:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 618*FLEN/8, x10, x6, x7) + +inst_333:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 620*FLEN/8, x10, x6, x7) + +inst_334:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 622*FLEN/8, x10, x6, x7) + +inst_335:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 624*FLEN/8, x10, x6, x7) + +inst_336:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01f6b009d60c7 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x484bb4eb5b9d5 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1f6b009d60c7; op2val:0xffe484bb4eb5b9d5; +valaddr_reg:x9; val_offset:626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 626*FLEN/8, x10, x6, x7) + +inst_337:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x484bb4eb5b9d5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01f6b009d60c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe484bb4eb5b9d5; op2val:0x1f6b009d60c7; +valaddr_reg:x9; val_offset:628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 628*FLEN/8, x10, x6, x7) + +inst_338:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01f6b009d60c7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1f6b009d60c7; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 630*FLEN/8, x10, x6, x7) + +inst_339:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01f6b009d60c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x1f6b009d60c7; +valaddr_reg:x9; val_offset:632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 632*FLEN/8, x10, x6, x7) + +inst_340:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 634*FLEN/8, x10, x6, x7) + +inst_341:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xcfbb63d922c8f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0xffecfbb63d922c8f; +valaddr_reg:x9; val_offset:636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 636*FLEN/8, x10, x6, x7) + +inst_342:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xcfbb63d922c8f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc45cc3d79cddb and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffecfbb63d922c8f; op2val:0xc45cc3d79cddb; +valaddr_reg:x9; val_offset:638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 638*FLEN/8, x10, x6, x7) + +inst_343:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc45cc3d79cddb and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc45cc3d79cddb; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 640*FLEN/8, x10, x6, x7) + +inst_344:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x0; +valaddr_reg:x9; val_offset:642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 642*FLEN/8, x10, x6, x7) + +inst_345:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0xb4ebb70505c5a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ffb4ebb70505c5a; op2val:0x0; +valaddr_reg:x9; val_offset:644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 644*FLEN/8, x10, x6, x7) + +inst_346:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xb4ebb70505c5a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ffb4ebb70505c5a; +valaddr_reg:x9; val_offset:646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 646*FLEN/8, x10, x6, x7) + +inst_347:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe61729d7cfd5e and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xb4ebb70505c5a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee61729d7cfd5e; op2val:0x3ffb4ebb70505c5a; +valaddr_reg:x9; val_offset:648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 648*FLEN/8, x10, x6, x7) + +inst_348:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 650*FLEN/8, x10, x6, x7) + +inst_349:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 652*FLEN/8, x10, x6, x7) + +inst_350:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 654*FLEN/8, x10, x6, x7) + +inst_351:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 656*FLEN/8, x10, x6, x7) + +inst_352:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 658*FLEN/8, x10, x6, x7) + +inst_353:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 660*FLEN/8, x10, x6, x7) + +inst_354:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 662*FLEN/8, x10, x6, x7) + +inst_355:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 664*FLEN/8, x10, x6, x7) + +inst_356:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 666*FLEN/8, x10, x6, x7) + +inst_357:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0b1f8e609ac8a and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0b1f8e609ac8a; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 668*FLEN/8, x10, x6, x7) + +inst_358:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0b1f8e609ac8a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0xffe0b1f8e609ac8a; +valaddr_reg:x9; val_offset:670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 670*FLEN/8, x10, x6, x7) + +inst_359:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 672*FLEN/8, x10, x6, x7) + +inst_360:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 674*FLEN/8, x10, x6, x7) + +inst_361:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 676*FLEN/8, x10, x6, x7) + +inst_362:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0b1f8e609ac8a and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0xb096368d864aa and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0b1f8e609ac8a; op2val:0xff9b096368d864aa; +valaddr_reg:x9; val_offset:678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 678*FLEN/8, x10, x6, x7) + +inst_363:// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0xb096368d864aa and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0b1f8e609ac8a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff9b096368d864aa; op2val:0xffe0b1f8e609ac8a; +valaddr_reg:x9; val_offset:680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 680*FLEN/8, x10, x6, x7) + +inst_364:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0b1f8e609ac8a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0b1f8e609ac8a; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 682*FLEN/8, x10, x6, x7) + +inst_365:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 684*FLEN/8, x10, x6, x7) + +inst_366:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0b1f8e609ac8a and fs2 == 1 and fe2 == 0x7fa and fm2 == 0x421ba72f8a718 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0b1f8e609ac8a; op2val:0xffa421ba72f8a718; +valaddr_reg:x9; val_offset:686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 686*FLEN/8, x10, x6, x7) + +inst_367:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0x421ba72f8a718 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0b1f8e609ac8a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffa421ba72f8a718; op2val:0xffe0b1f8e609ac8a; +valaddr_reg:x9; val_offset:688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 688*FLEN/8, x10, x6, x7) + +inst_368:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0b1f8e609ac8a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0b1f8e609ac8a; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 690*FLEN/8, x10, x6, x7) + +inst_369:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 692*FLEN/8, x10, x6, x7) + +inst_370:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7f7 and fm2 == 0x8d7479fb9a785 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xff78d7479fb9a785; +valaddr_reg:x9; val_offset:694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 694*FLEN/8, x10, x6, x7) + +inst_371:// fs1 == 1 and fe1 == 0x7f7 and fm1 == 0x8d7479fb9a785 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff78d7479fb9a785; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 696*FLEN/8, x10, x6, x7) + +inst_372:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 698*FLEN/8, x10, x6, x7) + +inst_373:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 700*FLEN/8, x10, x6, x7) + +inst_374:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0b1f8e609ac8a and fs2 == 1 and fe2 == 0x7fa and fm2 == 0x7569006cfbae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0b1f8e609ac8a; op2val:0xffa7569006cfbae0; +valaddr_reg:x9; val_offset:702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 702*FLEN/8, x10, x6, x7) + +inst_375:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0x7569006cfbae0 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x0b1f8e609ac8a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffa7569006cfbae0; op2val:0xffe0b1f8e609ac8a; +valaddr_reg:x9; val_offset:704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 704*FLEN/8, x10, x6, x7) + +inst_376:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x0b1f8e609ac8a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe0b1f8e609ac8a; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 706*FLEN/8, x10, x6, x7) + +inst_377:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 708*FLEN/8, x10, x6, x7) + +inst_378:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6be865c2463a7 and fs2 == 0 and fe2 == 0x7f7 and fm2 == 0xcab977644ddfc and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006be865c2463a7; op2val:0x7f7cab977644ddfc; +valaddr_reg:x9; val_offset:710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 710*FLEN/8, x10, x6, x7) + +inst_379:// fs1 == 0 and fe1 == 0x7f7 and fm1 == 0xcab977644ddfc and fs2 == 1 and fe2 == 0x000 and fm2 == 0x6be865c2463a7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f7cab977644ddfc; op2val:0x8006be865c2463a7; +valaddr_reg:x9; val_offset:712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 712*FLEN/8, x10, x6, x7) + +inst_380:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6be865c2463a7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006be865c2463a7; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 714*FLEN/8, x10, x6, x7) + +inst_381:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x6be865c2463a7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x8006be865c2463a7; +valaddr_reg:x9; val_offset:716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 716*FLEN/8, x10, x6, x7) + +inst_382:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 718*FLEN/8, x10, x6, x7) + +inst_383:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x0fa17435c8a06 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0x7f80fa17435c8a06; +valaddr_reg:x9; val_offset:720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 720*FLEN/8, x10, x6, x7) + +inst_384:// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x0fa17435c8a06 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0dc4fe65af923 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f80fa17435c8a06; op2val:0x8030dc4fe65af923; +valaddr_reg:x9; val_offset:722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 722*FLEN/8, x10, x6, x7) + +inst_385:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 724*FLEN/8, x10, x6, x7) + +inst_386:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0dc4fe65af923 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x8030dc4fe65af923; +valaddr_reg:x9; val_offset:726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 726*FLEN/8, x10, x6, x7) + +inst_387:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 728*FLEN/8, x10, x6, x7) + +inst_388:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 0 and fe2 == 0x7f7 and fm2 == 0xd773b1148acd1 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0x7f7d773b1148acd1; +valaddr_reg:x9; val_offset:730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 730*FLEN/8, x10, x6, x7) + +inst_389:// fs1 == 0 and fe1 == 0x7f7 and fm1 == 0xd773b1148acd1 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0dc4fe65af923 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f7d773b1148acd1; op2val:0x8030dc4fe65af923; +valaddr_reg:x9; val_offset:732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 732*FLEN/8, x10, x6, x7) + +inst_390:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 734*FLEN/8, x10, x6, x7) + +inst_391:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 736*FLEN/8, x10, x6, x7) + +inst_392:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x253da385a124e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0x7f8253da385a124e; +valaddr_reg:x9; val_offset:738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 738*FLEN/8, x10, x6, x7) + +inst_393:// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x253da385a124e and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0dc4fe65af923 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f8253da385a124e; op2val:0x8030dc4fe65af923; +valaddr_reg:x9; val_offset:740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 740*FLEN/8, x10, x6, x7) + +inst_394:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 742*FLEN/8, x10, x6, x7) + +inst_395:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 744*FLEN/8, x10, x6, x7) + +inst_396:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6be865c2463a7 and fs2 == 0 and fe2 == 0x7fa and fm2 == 0xc30ff0cd12e4b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006be865c2463a7; op2val:0x7fac30ff0cd12e4b; +valaddr_reg:x9; val_offset:746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 746*FLEN/8, x10, x6, x7) + +inst_397:// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xc30ff0cd12e4b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x6be865c2463a7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fac30ff0cd12e4b; op2val:0x8006be865c2463a7; +valaddr_reg:x9; val_offset:748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 748*FLEN/8, x10, x6, x7) + +inst_398:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x6be865c2463a7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8006be865c2463a7; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 750*FLEN/8, x10, x6, x7) + +inst_399:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 752*FLEN/8, x10, x6, x7) + +inst_400:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x7328d8fcbc051 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0xff87328d8fcbc051; +valaddr_reg:x9; val_offset:754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 754*FLEN/8, x10, x6, x7) + +inst_401:// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x7328d8fcbc051 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0dc4fe65af923 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff87328d8fcbc051; op2val:0x8030dc4fe65af923; +valaddr_reg:x9; val_offset:756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 756*FLEN/8, x10, x6, x7) + +inst_402:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 758*FLEN/8, x10, x6, x7) + +inst_403:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 760*FLEN/8, x10, x6, x7) + +inst_404:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x189b8430ea93d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0xff8189b8430ea93d; +valaddr_reg:x9; val_offset:762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 762*FLEN/8, x10, x6, x7) + +inst_405:// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x189b8430ea93d and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0dc4fe65af923 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff8189b8430ea93d; op2val:0x8030dc4fe65af923; +valaddr_reg:x9; val_offset:764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 764*FLEN/8, x10, x6, x7) + +inst_406:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 766*FLEN/8, x10, x6, x7) + +inst_407:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 768*FLEN/8, x10, x6, x7) + +inst_408:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x555849022e400 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0xff8555849022e400; +valaddr_reg:x9; val_offset:770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 770*FLEN/8, x10, x6, x7) + +inst_409:// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x555849022e400 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0dc4fe65af923 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff8555849022e400; op2val:0x8030dc4fe65af923; +valaddr_reg:x9; val_offset:772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 772*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_3) + +inst_410:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 774*FLEN/8, x10, x6, x7) + +inst_411:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 776*FLEN/8, x10, x6, x7) + +inst_412:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0aca70936d6c4 and fs2 == 1 and fe2 == 0x7f7 and fm2 == 0xa437f1d1191b5 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000aca70936d6c4; op2val:0xff7a437f1d1191b5; +valaddr_reg:x9; val_offset:778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 778*FLEN/8, x10, x6, x7) + +inst_413:// fs1 == 1 and fe1 == 0x7f7 and fm1 == 0xa437f1d1191b5 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0aca70936d6c4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff7a437f1d1191b5; op2val:0x8000aca70936d6c4; +valaddr_reg:x9; val_offset:780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 780*FLEN/8, x10, x6, x7) + +inst_414:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0aca70936d6c4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000aca70936d6c4; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 782*FLEN/8, x10, x6, x7) + +inst_415:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0aca70936d6c4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x8000aca70936d6c4; +valaddr_reg:x9; val_offset:784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 784*FLEN/8, x10, x6, x7) + +inst_416:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 786*FLEN/8, x10, x6, x7) + +inst_417:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x28c9d980ba1a3 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0xff828c9d980ba1a3; +valaddr_reg:x9; val_offset:788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 788*FLEN/8, x10, x6, x7) + +inst_418:// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x28c9d980ba1a3 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x0dc4fe65af923 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff828c9d980ba1a3; op2val:0x8030dc4fe65af923; +valaddr_reg:x9; val_offset:790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 790*FLEN/8, x10, x6, x7) + +inst_419:// fs1 == 1 and fe1 == 0x003 and fm1 == 0x0dc4fe65af923 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8030dc4fe65af923; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 792*FLEN/8, x10, x6, x7) + +inst_420:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0x0; +valaddr_reg:x9; val_offset:794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 794*FLEN/8, x10, x6, x7) + +inst_421:// fs1 == 1 and fe1 == 0x402 and fm1 == 0x2c20e7e96fa8e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc022c20e7e96fa8e; op2val:0x0; +valaddr_reg:x9; val_offset:796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 796*FLEN/8, x10, x6, x7) + +inst_422:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x402 and fm2 == 0x2c20e7e96fa8e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xc022c20e7e96fa8e; +valaddr_reg:x9; val_offset:798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 798*FLEN/8, x10, x6, x7) + +inst_423:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xab65b09a91410 and fs2 == 1 and fe2 == 0x402 and fm2 == 0x2c20e7e96fa8e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaab65b09a91410; op2val:0xc022c20e7e96fa8e; +valaddr_reg:x9; val_offset:800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 800*FLEN/8, x10, x6, x7) + +inst_424:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 802*FLEN/8, x10, x6, x7) + +inst_425:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 804*FLEN/8, x10, x6, x7) + +inst_426:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 806*FLEN/8, x10, x6, x7) + +inst_427:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 808*FLEN/8, x10, x6, x7) + +inst_428:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 810*FLEN/8, x10, x6, x7) + +inst_429:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 812*FLEN/8, x10, x6, x7) + +inst_430:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 814*FLEN/8, x10, x6, x7) + +inst_431:// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0xb096368d864aa and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff9b096368d864aa; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 816*FLEN/8, x10, x6, x7) + +inst_432:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0xb096368d864aa and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0xff9b096368d864aa; +valaddr_reg:x9; val_offset:818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 818*FLEN/8, x10, x6, x7) + +inst_433:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 820*FLEN/8, x10, x6, x7) + +inst_434:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 822*FLEN/8, x10, x6, x7) + +inst_435:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 824*FLEN/8, x10, x6, x7) + +inst_436:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xf0d1987a81166 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0xffaf0d1987a81166; +valaddr_reg:x9; val_offset:826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 826*FLEN/8, x10, x6, x7) + +inst_437:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf0d1987a81166 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaf0d1987a81166; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 828*FLEN/8, x10, x6, x7) + +inst_438:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 830*FLEN/8, x10, x6, x7) + +inst_439:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 832*FLEN/8, x10, x6, x7) + +inst_440:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 834*FLEN/8, x10, x6, x7) + +inst_441:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x369be8c5e3b80 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x1eb3ea9eb0abd and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800369be8c5e3b80; op2val:0x7fb1eb3ea9eb0abd; +valaddr_reg:x9; val_offset:836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 836*FLEN/8, x10, x6, x7) + +inst_442:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x1eb3ea9eb0abd and fs2 == 1 and fe2 == 0x000 and fm2 == 0x369be8c5e3b80 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb1eb3ea9eb0abd; op2val:0x800369be8c5e3b80; +valaddr_reg:x9; val_offset:838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 838*FLEN/8, x10, x6, x7) + +inst_443:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x369be8c5e3b80 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800369be8c5e3b80; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 840*FLEN/8, x10, x6, x7) + +inst_444:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x000 and fm2 == 0x369be8c5e3b80 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x800369be8c5e3b80; +valaddr_reg:x9; val_offset:842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 842*FLEN/8, x10, x6, x7) + +inst_445:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 844*FLEN/8, x10, x6, x7) + +inst_446:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x5389d1433ac87 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0x7fb5389d1433ac87; +valaddr_reg:x9; val_offset:846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 846*FLEN/8, x10, x6, x7) + +inst_447:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5389d1433ac87 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x110b8bdd7297e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5389d1433ac87; op2val:0x802110b8bdd7297e; +valaddr_reg:x9; val_offset:848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 848*FLEN/8, x10, x6, x7) + +inst_448:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 850*FLEN/8, x10, x6, x7) + +inst_449:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x002 and fm2 == 0x110b8bdd7297e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x802110b8bdd7297e; +valaddr_reg:x9; val_offset:852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 852*FLEN/8, x10, x6, x7) + +inst_450:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 854*FLEN/8, x10, x6, x7) + +inst_451:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x26a84eacd6c03 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0x7fb26a84eacd6c03; +valaddr_reg:x9; val_offset:856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 856*FLEN/8, x10, x6, x7) + +inst_452:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x26a84eacd6c03 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x110b8bdd7297e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb26a84eacd6c03; op2val:0x802110b8bdd7297e; +valaddr_reg:x9; val_offset:858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 858*FLEN/8, x10, x6, x7) + +inst_453:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 860*FLEN/8, x10, x6, x7) + +inst_454:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 862*FLEN/8, x10, x6, x7) + +inst_455:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x6e8d0c67096e1 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0x7fb6e8d0c67096e1; +valaddr_reg:x9; val_offset:864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 864*FLEN/8, x10, x6, x7) + +inst_456:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x6e8d0c67096e1 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x110b8bdd7297e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb6e8d0c67096e1; op2val:0x802110b8bdd7297e; +valaddr_reg:x9; val_offset:866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 866*FLEN/8, x10, x6, x7) + +inst_457:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 868*FLEN/8, x10, x6, x7) + +inst_458:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 870*FLEN/8, x10, x6, x7) + +inst_459:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x369be8c5e3b80 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x19e9f6802bcef and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800369be8c5e3b80; op2val:0x7fe19e9f6802bcef; +valaddr_reg:x9; val_offset:872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 872*FLEN/8, x10, x6, x7) + +inst_460:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x19e9f6802bcef and fs2 == 1 and fe2 == 0x000 and fm2 == 0x369be8c5e3b80 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe19e9f6802bcef; op2val:0x800369be8c5e3b80; +valaddr_reg:x9; val_offset:874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 874*FLEN/8, x10, x6, x7) + +inst_461:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x369be8c5e3b80 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800369be8c5e3b80; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 876*FLEN/8, x10, x6, x7) + +inst_462:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 878*FLEN/8, x10, x6, x7) + +inst_463:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xcff30f3beb065 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0xffbcff30f3beb065; +valaddr_reg:x9; val_offset:880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 880*FLEN/8, x10, x6, x7) + +inst_464:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xcff30f3beb065 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x110b8bdd7297e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbcff30f3beb065; op2val:0x802110b8bdd7297e; +valaddr_reg:x9; val_offset:882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 882*FLEN/8, x10, x6, x7) + +inst_465:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 884*FLEN/8, x10, x6, x7) + +inst_466:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 886*FLEN/8, x10, x6, x7) + +inst_467:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x5ec2653d2538d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0xffb5ec2653d2538d; +valaddr_reg:x9; val_offset:888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 888*FLEN/8, x10, x6, x7) + +inst_468:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x5ec2653d2538d and fs2 == 1 and fe2 == 0x002 and fm2 == 0x110b8bdd7297e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb5ec2653d2538d; op2val:0x802110b8bdd7297e; +valaddr_reg:x9; val_offset:890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 890*FLEN/8, x10, x6, x7) + +inst_469:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 892*FLEN/8, x10, x6, x7) + +inst_470:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 894*FLEN/8, x10, x6, x7) + +inst_471:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xaaae5b42b9d01 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0xffbaaae5b42b9d01; +valaddr_reg:x9; val_offset:896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 896*FLEN/8, x10, x6, x7) + +inst_472:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xaaae5b42b9d01 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x110b8bdd7297e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbaaae5b42b9d01; op2val:0x802110b8bdd7297e; +valaddr_reg:x9; val_offset:898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 898*FLEN/8, x10, x6, x7) + +inst_473:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 900*FLEN/8, x10, x6, x7) + +inst_474:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 902*FLEN/8, x10, x6, x7) + +inst_475:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0575fdad63926 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x06a2f722afb11 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000575fdad63926; op2val:0xffb06a2f722afb11; +valaddr_reg:x9; val_offset:904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 904*FLEN/8, x10, x6, x7) + +inst_476:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x06a2f722afb11 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0575fdad63926 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb06a2f722afb11; op2val:0x8000575fdad63926; +valaddr_reg:x9; val_offset:906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 906*FLEN/8, x10, x6, x7) + +inst_477:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0575fdad63926 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000575fdad63926; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 908*FLEN/8, x10, x6, x7) + +inst_478:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0575fdad63926 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x8000575fdad63926; +valaddr_reg:x9; val_offset:910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 910*FLEN/8, x10, x6, x7) + +inst_479:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 912*FLEN/8, x10, x6, x7) + +inst_480:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x72fc4fe0e8a0c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0xffb72fc4fe0e8a0c; +valaddr_reg:x9; val_offset:914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 914*FLEN/8, x10, x6, x7) + +inst_481:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x72fc4fe0e8a0c and fs2 == 1 and fe2 == 0x002 and fm2 == 0x110b8bdd7297e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb72fc4fe0e8a0c; op2val:0x802110b8bdd7297e; +valaddr_reg:x9; val_offset:916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 916*FLEN/8, x10, x6, x7) + +inst_482:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x110b8bdd7297e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802110b8bdd7297e; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 918*FLEN/8, x10, x6, x7) + +inst_483:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0x0; +valaddr_reg:x9; val_offset:920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 920*FLEN/8, x10, x6, x7) + +inst_484:// fs1 == 1 and fe1 == 0x401 and fm1 == 0x2fc5d39f551da and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc012fc5d39f551da; op2val:0x0; +valaddr_reg:x9; val_offset:922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 922*FLEN/8, x10, x6, x7) + +inst_485:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x401 and fm2 == 0x2fc5d39f551da and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xc012fc5d39f551da; +valaddr_reg:x9; val_offset:924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 924*FLEN/8, x10, x6, x7) + +inst_486:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0e5de21873eea and fs2 == 1 and fe2 == 0x401 and fm2 == 0x2fc5d39f551da and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd0e5de21873eea; op2val:0xc012fc5d39f551da; +valaddr_reg:x9; val_offset:926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 926*FLEN/8, x10, x6, x7) + +inst_487:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:928*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 928*FLEN/8, x10, x6, x7) + +inst_488:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:930*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 930*FLEN/8, x10, x6, x7) + +inst_489:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:932*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 932*FLEN/8, x10, x6, x7) + +inst_490:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:934*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 934*FLEN/8, x10, x6, x7) + +inst_491:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:936*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 936*FLEN/8, x10, x6, x7) + +inst_492:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:938*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 938*FLEN/8, x10, x6, x7) + +inst_493:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:940*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 940*FLEN/8, x10, x6, x7) + +inst_494:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0x421ba72f8a718 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffa421ba72f8a718; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:942*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 942*FLEN/8, x10, x6, x7) + +inst_495:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x7fa and fm2 == 0x421ba72f8a718 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0xffa421ba72f8a718; +valaddr_reg:x9; val_offset:944*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 944*FLEN/8, x10, x6, x7) + +inst_496:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:946*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 946*FLEN/8, x10, x6, x7) + +inst_497:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:948*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 948*FLEN/8, x10, x6, x7) + +inst_498:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:950*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 950*FLEN/8, x10, x6, x7) + +inst_499:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:952*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 952*FLEN/8, x10, x6, x7) + +inst_500:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x51532237be62c and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x1eb3ea9eb0abd and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80051532237be62c; op2val:0x7fb1eb3ea9eb0abd; +valaddr_reg:x9; val_offset:954*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 954*FLEN/8, x10, x6, x7) + +inst_501:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x1eb3ea9eb0abd and fs2 == 1 and fe2 == 0x000 and fm2 == 0x51532237be62c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb1eb3ea9eb0abd; op2val:0x80051532237be62c; +valaddr_reg:x9; val_offset:956*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 956*FLEN/8, x10, x6, x7) + +inst_502:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x51532237be62c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80051532237be62c; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:958*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 958*FLEN/8, x10, x6, x7) + +inst_503:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x000 and fm2 == 0x51532237be62c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x80051532237be62c; +valaddr_reg:x9; val_offset:960*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 960*FLEN/8, x10, x6, x7) + +inst_504:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:962*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 962*FLEN/8, x10, x6, x7) + +inst_505:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x5389d1433ac87 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0x7fb5389d1433ac87; +valaddr_reg:x9; val_offset:964*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 964*FLEN/8, x10, x6, x7) + +inst_506:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5389d1433ac87 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x969fab16b7edc and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5389d1433ac87; op2val:0x802969fab16b7edc; +valaddr_reg:x9; val_offset:966*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 966*FLEN/8, x10, x6, x7) + +inst_507:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:968*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 968*FLEN/8, x10, x6, x7) + +inst_508:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x002 and fm2 == 0x969fab16b7edc and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x802969fab16b7edc; +valaddr_reg:x9; val_offset:970*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 970*FLEN/8, x10, x6, x7) + +inst_509:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:972*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 972*FLEN/8, x10, x6, x7) + +inst_510:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x26a84eacd6c03 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0x7fb26a84eacd6c03; +valaddr_reg:x9; val_offset:974*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 974*FLEN/8, x10, x6, x7) + +inst_511:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x26a84eacd6c03 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x969fab16b7edc and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb26a84eacd6c03; op2val:0x802969fab16b7edc; +valaddr_reg:x9; val_offset:976*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 976*FLEN/8, x10, x6, x7) + +inst_512:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:978*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 978*FLEN/8, x10, x6, x7) + +inst_513:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:980*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 980*FLEN/8, x10, x6, x7) + +inst_514:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x6e8d0c67096e1 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0x7fb6e8d0c67096e1; +valaddr_reg:x9; val_offset:982*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 982*FLEN/8, x10, x6, x7) + +inst_515:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x6e8d0c67096e1 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x969fab16b7edc and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb6e8d0c67096e1; op2val:0x802969fab16b7edc; +valaddr_reg:x9; val_offset:984*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 984*FLEN/8, x10, x6, x7) + +inst_516:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:986*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 986*FLEN/8, x10, x6, x7) + +inst_517:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:988*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 988*FLEN/8, x10, x6, x7) + +inst_518:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x51532237be62c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x19e9f6802bcef and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80051532237be62c; op2val:0x7fe19e9f6802bcef; +valaddr_reg:x9; val_offset:990*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 990*FLEN/8, x10, x6, x7) + +inst_519:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x19e9f6802bcef and fs2 == 1 and fe2 == 0x000 and fm2 == 0x51532237be62c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe19e9f6802bcef; op2val:0x80051532237be62c; +valaddr_reg:x9; val_offset:992*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 992*FLEN/8, x10, x6, x7) + +inst_520:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x51532237be62c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80051532237be62c; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:994*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 994*FLEN/8, x10, x6, x7) + +inst_521:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:996*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 996*FLEN/8, x10, x6, x7) + +inst_522:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xcff30f3beb065 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0xffbcff30f3beb065; +valaddr_reg:x9; val_offset:998*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 998*FLEN/8, x10, x6, x7) + +inst_523:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xcff30f3beb065 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x969fab16b7edc and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbcff30f3beb065; op2val:0x802969fab16b7edc; +valaddr_reg:x9; val_offset:1000*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1000*FLEN/8, x10, x6, x7) + +inst_524:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1002*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1002*FLEN/8, x10, x6, x7) + +inst_525:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1004*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1004*FLEN/8, x10, x6, x7) + +inst_526:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x5ec2653d2538d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0xffb5ec2653d2538d; +valaddr_reg:x9; val_offset:1006*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1006*FLEN/8, x10, x6, x7) + +inst_527:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x5ec2653d2538d and fs2 == 1 and fe2 == 0x002 and fm2 == 0x969fab16b7edc and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb5ec2653d2538d; op2val:0x802969fab16b7edc; +valaddr_reg:x9; val_offset:1008*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1008*FLEN/8, x10, x6, x7) + +inst_528:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1010*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1010*FLEN/8, x10, x6, x7) + +inst_529:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1012*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1012*FLEN/8, x10, x6, x7) + +inst_530:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xaaae5b42b9d01 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0xffbaaae5b42b9d01; +valaddr_reg:x9; val_offset:1014*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1014*FLEN/8, x10, x6, x7) + +inst_531:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xaaae5b42b9d01 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x969fab16b7edc and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbaaae5b42b9d01; op2val:0x802969fab16b7edc; +valaddr_reg:x9; val_offset:1016*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1016*FLEN/8, x10, x6, x7) + +inst_532:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1018*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1018*FLEN/8, x10, x6, x7) + +inst_533:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1020*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1020*FLEN/8, x10, x6, x7) + +inst_534:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0821e9d25fd6b and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x06a2f722afb11 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000821e9d25fd6b; op2val:0xffb06a2f722afb11; +valaddr_reg:x9; val_offset:1022*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1022*FLEN/8, x10, x6, x7) + +inst_535:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x06a2f722afb11 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0821e9d25fd6b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb06a2f722afb11; op2val:0x8000821e9d25fd6b; +valaddr_reg:x9; val_offset:1024*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1024*FLEN/8, x10, x6, x7) + +inst_536:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0821e9d25fd6b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000821e9d25fd6b; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1026*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1026*FLEN/8, x10, x6, x7) + +inst_537:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0821e9d25fd6b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x8000821e9d25fd6b; +valaddr_reg:x9; val_offset:1028*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1028*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_4) + +inst_538:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1030*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1030*FLEN/8, x10, x6, x7) + +inst_539:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x72fc4fe0e8a0c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0xffb72fc4fe0e8a0c; +valaddr_reg:x9; val_offset:1032*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1032*FLEN/8, x10, x6, x7) + +inst_540:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x72fc4fe0e8a0c and fs2 == 1 and fe2 == 0x002 and fm2 == 0x969fab16b7edc and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb72fc4fe0e8a0c; op2val:0x802969fab16b7edc; +valaddr_reg:x9; val_offset:1034*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1034*FLEN/8, x10, x6, x7) + +inst_541:// fs1 == 1 and fe1 == 0x002 and fm1 == 0x969fab16b7edc and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802969fab16b7edc; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1036*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1036*FLEN/8, x10, x6, x7) + +inst_542:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0x0; +valaddr_reg:x9; val_offset:1038*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1038*FLEN/8, x10, x6, x7) + +inst_543:// fs1 == 1 and fe1 == 0x401 and fm1 == 0xc4624671f2f0c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc01c4624671f2f0c; op2val:0x0; +valaddr_reg:x9; val_offset:1040*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1040*FLEN/8, x10, x6, x7) + +inst_544:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x401 and fm2 == 0xc4624671f2f0c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xc01c4624671f2f0c; +valaddr_reg:x9; val_offset:1042*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1042*FLEN/8, x10, x6, x7) + +inst_545:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x92a290fb6d0de and fs2 == 1 and fe2 == 0x401 and fm2 == 0xc4624671f2f0c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffd92a290fb6d0de; op2val:0xc01c4624671f2f0c; +valaddr_reg:x9; val_offset:1044*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1044*FLEN/8, x10, x6, x7) + +inst_546:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1046*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1046*FLEN/8, x10, x6, x7) + +inst_547:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1048*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1048*FLEN/8, x10, x6, x7) + +inst_548:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf0d1987a81166 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaf0d1987a81166; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1050*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1050*FLEN/8, x10, x6, x7) + +inst_549:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xf0d1987a81166 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0xffaf0d1987a81166; +valaddr_reg:x9; val_offset:1052*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1052*FLEN/8, x10, x6, x7) + +inst_550:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1054*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1054*FLEN/8, x10, x6, x7) + +inst_551:// fs1 == 1 and fe1 == 0x7f7 and fm1 == 0x8d7479fb9a785 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff78d7479fb9a785; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1056*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1056*FLEN/8, x10, x6, x7) + +inst_552:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x7f7 and fm2 == 0x8d7479fb9a785 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0xff78d7479fb9a785; +valaddr_reg:x9; val_offset:1058*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1058*FLEN/8, x10, x6, x7) + +inst_553:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1060*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1060*FLEN/8, x10, x6, x7) + +inst_554:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf0d1987a81166 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaf0d1987a81166; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1062*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1062*FLEN/8, x10, x6, x7) + +inst_555:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1064*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1064*FLEN/8, x10, x6, x7) + +inst_556:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf0d1987a81166 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaf0d1987a81166; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1066*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1066*FLEN/8, x10, x6, x7) + +inst_557:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1068*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1068*FLEN/8, x10, x6, x7) + +inst_558:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf0d1987a81166 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffaf0d1987a81166; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1070*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1070*FLEN/8, x10, x6, x7) + +inst_559:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1072*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1072*FLEN/8, x10, x6, x7) + +inst_560:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0c8b23887d51e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x6660e5465cd6d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000c8b23887d51e; op2val:0x7fe6660e5465cd6d; +valaddr_reg:x9; val_offset:1074*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1074*FLEN/8, x10, x6, x7) + +inst_561:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x6660e5465cd6d and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0c8b23887d51e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe6660e5465cd6d; op2val:0x8000c8b23887d51e; +valaddr_reg:x9; val_offset:1076*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1076*FLEN/8, x10, x6, x7) + +inst_562:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0c8b23887d51e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000c8b23887d51e; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1078*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1078*FLEN/8, x10, x6, x7) + +inst_563:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0c8b23887d51e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x8000c8b23887d51e; +valaddr_reg:x9; val_offset:1080*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1080*FLEN/8, x10, x6, x7) + +inst_564:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1082*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1082*FLEN/8, x10, x6, x7) + +inst_565:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xa86c4594097a9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0x7fea86c4594097a9; +valaddr_reg:x9; val_offset:1084*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1084*FLEN/8, x10, x6, x7) + +inst_566:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xa86c4594097a9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7d6f6354e532b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fea86c4594097a9; op2val:0x8007d6f6354e532b; +valaddr_reg:x9; val_offset:1086*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1086*FLEN/8, x10, x6, x7) + +inst_567:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1088*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1088*FLEN/8, x10, x6, x7) + +inst_568:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7d6f6354e532b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x8007d6f6354e532b; +valaddr_reg:x9; val_offset:1090*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1090*FLEN/8, x10, x6, x7) + +inst_569:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1092*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1092*FLEN/8, x10, x6, x7) + +inst_570:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x705262580c704 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0x7fe705262580c704; +valaddr_reg:x9; val_offset:1094*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1094*FLEN/8, x10, x6, x7) + +inst_571:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x705262580c704 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7d6f6354e532b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe705262580c704; op2val:0x8007d6f6354e532b; +valaddr_reg:x9; val_offset:1096*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1096*FLEN/8, x10, x6, x7) + +inst_572:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1098*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1098*FLEN/8, x10, x6, x7) + +inst_573:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1100*FLEN/8, x10, x6, x7) + +inst_574:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xca304f80cbc99 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0x7feca304f80cbc99; +valaddr_reg:x9; val_offset:1102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1102*FLEN/8, x10, x6, x7) + +inst_575:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xca304f80cbc99 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7d6f6354e532b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feca304f80cbc99; op2val:0x8007d6f6354e532b; +valaddr_reg:x9; val_offset:1104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1104*FLEN/8, x10, x6, x7) + +inst_576:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1106*FLEN/8, x10, x6, x7) + +inst_577:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1108*FLEN/8, x10, x6, x7) + +inst_578:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0c8b23887d51e and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000c8b23887d51e; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:1110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1110*FLEN/8, x10, x6, x7) + +inst_579:// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0c8b23887d51e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7ff0000000000000; op2val:0x8000c8b23887d51e; +valaddr_reg:x9; val_offset:1112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1112*FLEN/8, x10, x6, x7) + +inst_580:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0c8b23887d51e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000c8b23887d51e; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1114*FLEN/8, x10, x6, x7) + +inst_581:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1116*FLEN/8, x10, x6, x7) + +inst_582:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1118*FLEN/8, x10, x6, x7) + +inst_583:// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7d6f6354e532b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xfff0000000000000; op2val:0x8007d6f6354e532b; +valaddr_reg:x9; val_offset:1120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1120*FLEN/8, x10, x6, x7) + +inst_584:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1122*FLEN/8, x10, x6, x7) + +inst_585:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1124*FLEN/8, x10, x6, x7) + +inst_586:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xb672fe8c6e870 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0xffeb672fe8c6e870; +valaddr_reg:x9; val_offset:1126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1126*FLEN/8, x10, x6, x7) + +inst_587:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb672fe8c6e870 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7d6f6354e532b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffeb672fe8c6e870; op2val:0x8007d6f6354e532b; +valaddr_reg:x9; val_offset:1128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1128*FLEN/8, x10, x6, x7) + +inst_588:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1130*FLEN/8, x10, x6, x7) + +inst_589:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1132*FLEN/8, x10, x6, x7) + +inst_590:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1134*FLEN/8, x10, x6, x7) + +inst_591:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1136*FLEN/8, x10, x6, x7) + +inst_592:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x01411d273fbb6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x484bb4eb5b9d5 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80001411d273fbb6; op2val:0xffe484bb4eb5b9d5; +valaddr_reg:x9; val_offset:1138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1138*FLEN/8, x10, x6, x7) + +inst_593:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x484bb4eb5b9d5 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x01411d273fbb6 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe484bb4eb5b9d5; op2val:0x80001411d273fbb6; +valaddr_reg:x9; val_offset:1140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1140*FLEN/8, x10, x6, x7) + +inst_594:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x01411d273fbb6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80001411d273fbb6; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1142*FLEN/8, x10, x6, x7) + +inst_595:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x01411d273fbb6 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x80001411d273fbb6; +valaddr_reg:x9; val_offset:1144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1144*FLEN/8, x10, x6, x7) + +inst_596:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1146*FLEN/8, x10, x6, x7) + +inst_597:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xcfbb63d922c8f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0xffecfbb63d922c8f; +valaddr_reg:x9; val_offset:1148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1148*FLEN/8, x10, x6, x7) + +inst_598:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xcfbb63d922c8f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7d6f6354e532b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffecfbb63d922c8f; op2val:0x8007d6f6354e532b; +valaddr_reg:x9; val_offset:1150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1150*FLEN/8, x10, x6, x7) + +inst_599:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7d6f6354e532b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8007d6f6354e532b; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1152*FLEN/8, x10, x6, x7) + +inst_600:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0x0; +valaddr_reg:x9; val_offset:1154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1154*FLEN/8, x10, x6, x7) + +inst_601:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x171a35c491d80 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbff171a35c491d80; op2val:0x0; +valaddr_reg:x9; val_offset:1156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1156*FLEN/8, x10, x6, x7) + +inst_602:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x171a35c491d80 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xbff171a35c491d80; +valaddr_reg:x9; val_offset:1158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1158*FLEN/8, x10, x6, x7) + +inst_603:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x3682ff4c90ae0 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x171a35c491d80 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe3682ff4c90ae0; op2val:0xbff171a35c491d80; +valaddr_reg:x9; val_offset:1160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1160*FLEN/8, x10, x6, x7) + +inst_604:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1162*FLEN/8, x10, x6, x7) + +inst_605:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1164*FLEN/8, x10, x6, x7) + +inst_606:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1166*FLEN/8, x10, x6, x7) + +inst_607:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1168*FLEN/8, x10, x6, x7) + +inst_608:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1170*FLEN/8, x10, x6, x7) + +inst_609:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1172*FLEN/8, x10, x6, x7) + +inst_610:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1174*FLEN/8, x10, x6, x7) + +inst_611:// fs1 == 1 and fe1 == 0x7fa and fm1 == 0x7569006cfbae0 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffa7569006cfbae0; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1176*FLEN/8, x10, x6, x7) + +inst_612:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0x7569006cfbae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0xffa7569006cfbae0; +valaddr_reg:x9; val_offset:1178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1178*FLEN/8, x10, x6, x7) + +inst_613:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1180*FLEN/8, x10, x6, x7) + +inst_614:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1182*FLEN/8, x10, x6, x7) + +inst_615:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e46ff3af089e and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x1eb3ea9eb0abd and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e46ff3af089e; op2val:0x7fb1eb3ea9eb0abd; +valaddr_reg:x9; val_offset:1184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1184*FLEN/8, x10, x6, x7) + +inst_616:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x1eb3ea9eb0abd and fs2 == 1 and fe2 == 0x000 and fm2 == 0x5e46ff3af089e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb1eb3ea9eb0abd; op2val:0x8005e46ff3af089e; +valaddr_reg:x9; val_offset:1186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1186*FLEN/8, x10, x6, x7) + +inst_617:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e46ff3af089e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e46ff3af089e; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1188*FLEN/8, x10, x6, x7) + +inst_618:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x5e46ff3af089e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x8005e46ff3af089e; +valaddr_reg:x9; val_offset:1190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1190*FLEN/8, x10, x6, x7) + +inst_619:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1192*FLEN/8, x10, x6, x7) + +inst_620:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x5389d1433ac87 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0x7fb5389d1433ac87; +valaddr_reg:x9; val_offset:1194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1194*FLEN/8, x10, x6, x7) + +inst_621:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5389d1433ac87 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd762fc26b2b18 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5389d1433ac87; op2val:0x802d762fc26b2b18; +valaddr_reg:x9; val_offset:1196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1196*FLEN/8, x10, x6, x7) + +inst_622:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1198*FLEN/8, x10, x6, x7) + +inst_623:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd762fc26b2b18 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x802d762fc26b2b18; +valaddr_reg:x9; val_offset:1200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1200*FLEN/8, x10, x6, x7) + +inst_624:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1202*FLEN/8, x10, x6, x7) + +inst_625:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x26a84eacd6c03 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0x7fb26a84eacd6c03; +valaddr_reg:x9; val_offset:1204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1204*FLEN/8, x10, x6, x7) + +inst_626:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x26a84eacd6c03 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd762fc26b2b18 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb26a84eacd6c03; op2val:0x802d762fc26b2b18; +valaddr_reg:x9; val_offset:1206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1206*FLEN/8, x10, x6, x7) + +inst_627:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1208*FLEN/8, x10, x6, x7) + +inst_628:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1210*FLEN/8, x10, x6, x7) + +inst_629:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x6e8d0c67096e1 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0x7fb6e8d0c67096e1; +valaddr_reg:x9; val_offset:1212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1212*FLEN/8, x10, x6, x7) + +inst_630:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x6e8d0c67096e1 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd762fc26b2b18 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb6e8d0c67096e1; op2val:0x802d762fc26b2b18; +valaddr_reg:x9; val_offset:1214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1214*FLEN/8, x10, x6, x7) + +inst_631:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1216*FLEN/8, x10, x6, x7) + +inst_632:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1218*FLEN/8, x10, x6, x7) + +inst_633:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e46ff3af089e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x19e9f6802bcef and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e46ff3af089e; op2val:0x7fe19e9f6802bcef; +valaddr_reg:x9; val_offset:1220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1220*FLEN/8, x10, x6, x7) + +inst_634:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x19e9f6802bcef and fs2 == 1 and fe2 == 0x000 and fm2 == 0x5e46ff3af089e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe19e9f6802bcef; op2val:0x8005e46ff3af089e; +valaddr_reg:x9; val_offset:1222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1222*FLEN/8, x10, x6, x7) + +inst_635:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x5e46ff3af089e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8005e46ff3af089e; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1224*FLEN/8, x10, x6, x7) + +inst_636:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1226*FLEN/8, x10, x6, x7) + +inst_637:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xcff30f3beb065 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0xffbcff30f3beb065; +valaddr_reg:x9; val_offset:1228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1228*FLEN/8, x10, x6, x7) + +inst_638:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xcff30f3beb065 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd762fc26b2b18 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbcff30f3beb065; op2val:0x802d762fc26b2b18; +valaddr_reg:x9; val_offset:1230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1230*FLEN/8, x10, x6, x7) + +inst_639:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1232*FLEN/8, x10, x6, x7) + +inst_640:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1234*FLEN/8, x10, x6, x7) + +inst_641:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x5ec2653d2538d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0xffb5ec2653d2538d; +valaddr_reg:x9; val_offset:1236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1236*FLEN/8, x10, x6, x7) + +inst_642:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x5ec2653d2538d and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd762fc26b2b18 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb5ec2653d2538d; op2val:0x802d762fc26b2b18; +valaddr_reg:x9; val_offset:1238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1238*FLEN/8, x10, x6, x7) + +inst_643:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1240*FLEN/8, x10, x6, x7) + +inst_644:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1242*FLEN/8, x10, x6, x7) + +inst_645:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xaaae5b42b9d01 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0xffbaaae5b42b9d01; +valaddr_reg:x9; val_offset:1244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1244*FLEN/8, x10, x6, x7) + +inst_646:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xaaae5b42b9d01 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd762fc26b2b18 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbaaae5b42b9d01; op2val:0x802d762fc26b2b18; +valaddr_reg:x9; val_offset:1246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1246*FLEN/8, x10, x6, x7) + +inst_647:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1248*FLEN/8, x10, x6, x7) + +inst_648:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1250*FLEN/8, x10, x6, x7) + +inst_649:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x096d7fec4b410 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x06a2f722afb11 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800096d7fec4b410; op2val:0xffb06a2f722afb11; +valaddr_reg:x9; val_offset:1252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1252*FLEN/8, x10, x6, x7) + +inst_650:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x06a2f722afb11 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x096d7fec4b410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb06a2f722afb11; op2val:0x800096d7fec4b410; +valaddr_reg:x9; val_offset:1254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1254*FLEN/8, x10, x6, x7) + +inst_651:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x096d7fec4b410 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800096d7fec4b410; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1256*FLEN/8, x10, x6, x7) + +inst_652:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x096d7fec4b410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x800096d7fec4b410; +valaddr_reg:x9; val_offset:1258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1258*FLEN/8, x10, x6, x7) + +inst_653:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1260*FLEN/8, x10, x6, x7) + +inst_654:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x72fc4fe0e8a0c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0xffb72fc4fe0e8a0c; +valaddr_reg:x9; val_offset:1262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1262*FLEN/8, x10, x6, x7) + +inst_655:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x72fc4fe0e8a0c and fs2 == 1 and fe2 == 0x002 and fm2 == 0xd762fc26b2b18 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb72fc4fe0e8a0c; op2val:0x802d762fc26b2b18; +valaddr_reg:x9; val_offset:1264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1264*FLEN/8, x10, x6, x7) + +inst_656:// fs1 == 1 and fe1 == 0x002 and fm1 == 0xd762fc26b2b18 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x802d762fc26b2b18; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1266*FLEN/8, x10, x6, x7) + +inst_657:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0x0; +valaddr_reg:x9; val_offset:1268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1268*FLEN/8, x10, x6, x7) + +inst_658:// fs1 == 1 and fe1 == 0x402 and fm1 == 0x0637b0487519a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc020637b0487519a; op2val:0x0; +valaddr_reg:x9; val_offset:1270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1270*FLEN/8, x10, x6, x7) + +inst_659:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x402 and fm2 == 0x0637b0487519a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xc020637b0487519a; +valaddr_reg:x9; val_offset:1272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1272*FLEN/8, x10, x6, x7) + +inst_660:// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xd2c340883a998 and fs2 == 1 and fe2 == 0x402 and fm2 == 0x0637b0487519a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffdd2c340883a998; op2val:0xc020637b0487519a; +valaddr_reg:x9; val_offset:1274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1274*FLEN/8, x10, x6, x7) + +inst_661:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1276*FLEN/8, x10, x6, x7) + +inst_662:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x6660e5465cd6d and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe6660e5465cd6d; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1278*FLEN/8, x10, x6, x7) + +inst_663:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x6660e5465cd6d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x7fe6660e5465cd6d; +valaddr_reg:x9; val_offset:1280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1280*FLEN/8, x10, x6, x7) + +inst_664:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1282*FLEN/8, x10, x6, x7) + +inst_665:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1284*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_5) + +inst_666:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x6660e5465cd6d and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe6660e5465cd6d; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1286*FLEN/8, x10, x6, x7) + +inst_667:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1288*FLEN/8, x10, x6, x7) + +inst_668:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x6660e5465cd6d and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe6660e5465cd6d; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1290*FLEN/8, x10, x6, x7) + +inst_669:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1292*FLEN/8, x10, x6, x7) + +inst_670:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x1eb3ea9eb0abd and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb1eb3ea9eb0abd; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1294*FLEN/8, x10, x6, x7) + +inst_671:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x1eb3ea9eb0abd and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x7fb1eb3ea9eb0abd; +valaddr_reg:x9; val_offset:1296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1296*FLEN/8, x10, x6, x7) + +inst_672:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1298*FLEN/8, x10, x6, x7) + +inst_673:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x6660e5465cd6d and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe6660e5465cd6d; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1300*FLEN/8, x10, x6, x7) + +inst_674:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1302*FLEN/8, x10, x6, x7) + +inst_675:// fs1 == 0 and fe1 == 0x7f7 and fm1 == 0xcab977644ddfc and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f7cab977644ddfc; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1304*FLEN/8, x10, x6, x7) + +inst_676:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x7f7 and fm2 == 0xcab977644ddfc and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x7f7cab977644ddfc; +valaddr_reg:x9; val_offset:1306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1306*FLEN/8, x10, x6, x7) + +inst_677:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1308*FLEN/8, x10, x6, x7) + +inst_678:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x1eb3ea9eb0abd and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb1eb3ea9eb0abd; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1310*FLEN/8, x10, x6, x7) + +inst_679:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1312*FLEN/8, x10, x6, x7) + +inst_680:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x1eb3ea9eb0abd and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb1eb3ea9eb0abd; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1314*FLEN/8, x10, x6, x7) + +inst_681:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1316*FLEN/8, x10, x6, x7) + +inst_682:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x6660e5465cd6d and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe6660e5465cd6d; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1318*FLEN/8, x10, x6, x7) + +inst_683:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1320*FLEN/8, x10, x6, x7) + +inst_684:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x1eb3ea9eb0abd and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb1eb3ea9eb0abd; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1322*FLEN/8, x10, x6, x7) + +inst_685:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1324*FLEN/8, x10, x6, x7) + +inst_686:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1125252921dc7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0x1125252921dc7; +valaddr_reg:x9; val_offset:1326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1326*FLEN/8, x10, x6, x7) + +inst_687:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1125252921dc7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x90c5811d4ea06 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1125252921dc7; op2val:0x90c5811d4ea06; +valaddr_reg:x9; val_offset:1328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1328*FLEN/8, x10, x6, x7) + +inst_688:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1330*FLEN/8, x10, x6, x7) + +inst_689:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x90c5811d4ea06 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x90c5811d4ea06; +valaddr_reg:x9; val_offset:1332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1332*FLEN/8, x10, x6, x7) + +inst_690:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1334*FLEN/8, x10, x6, x7) + +inst_691:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0ee0fa88947a1 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0xee0fa88947a1; +valaddr_reg:x9; val_offset:1336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1336*FLEN/8, x10, x6, x7) + +inst_692:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0ee0fa88947a1 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x90c5811d4ea06 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xee0fa88947a1; op2val:0x90c5811d4ea06; +valaddr_reg:x9; val_offset:1338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1338*FLEN/8, x10, x6, x7) + +inst_693:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1340*FLEN/8, x10, x6, x7) + +inst_694:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1342*FLEN/8, x10, x6, x7) + +inst_695:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x128254fc5a4fe and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0x128254fc5a4fe; +valaddr_reg:x9; val_offset:1344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1344*FLEN/8, x10, x6, x7) + +inst_696:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x128254fc5a4fe and fs2 == 0 and fe2 == 0x000 and fm2 == 0x90c5811d4ea06 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x128254fc5a4fe; op2val:0x90c5811d4ea06; +valaddr_reg:x9; val_offset:1346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1346*FLEN/8, x10, x6, x7) + +inst_697:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1348*FLEN/8, x10, x6, x7) + +inst_698:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1350*FLEN/8, x10, x6, x7) + +inst_699:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1352*FLEN/8, x10, x6, x7) + +inst_700:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1354*FLEN/8, x10, x6, x7) + +inst_701:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x176d62053e9f2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0x800176d62053e9f2; +valaddr_reg:x9; val_offset:1356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1356*FLEN/8, x10, x6, x7) + +inst_702:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x176d62053e9f2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x90c5811d4ea06 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800176d62053e9f2; op2val:0x90c5811d4ea06; +valaddr_reg:x9; val_offset:1358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1358*FLEN/8, x10, x6, x7) + +inst_703:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1360*FLEN/8, x10, x6, x7) + +inst_704:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1362*FLEN/8, x10, x6, x7) + +inst_705:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x11b63268cb80c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0x80011b63268cb80c; +valaddr_reg:x9; val_offset:1364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1364*FLEN/8, x10, x6, x7) + +inst_706:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x11b63268cb80c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x90c5811d4ea06 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80011b63268cb80c; op2val:0x90c5811d4ea06; +valaddr_reg:x9; val_offset:1366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1366*FLEN/8, x10, x6, x7) + +inst_707:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1368*FLEN/8, x10, x6, x7) + +inst_708:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1370*FLEN/8, x10, x6, x7) + +inst_709:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x158b9f3ccd07c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0x800158b9f3ccd07c; +valaddr_reg:x9; val_offset:1372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1372*FLEN/8, x10, x6, x7) + +inst_710:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x158b9f3ccd07c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x90c5811d4ea06 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800158b9f3ccd07c; op2val:0x90c5811d4ea06; +valaddr_reg:x9; val_offset:1374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1374*FLEN/8, x10, x6, x7) + +inst_711:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1376*FLEN/8, x10, x6, x7) + +inst_712:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1378*FLEN/8, x10, x6, x7) + +inst_713:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01729d737e39f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0d430d1d19325 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1729d737e39f; op2val:0x8000d430d1d19325; +valaddr_reg:x9; val_offset:1380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1380*FLEN/8, x10, x6, x7) + +inst_714:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d430d1d19325 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01729d737e39f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d430d1d19325; op2val:0x1729d737e39f; +valaddr_reg:x9; val_offset:1382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1382*FLEN/8, x10, x6, x7) + +inst_715:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01729d737e39f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1729d737e39f; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1384*FLEN/8, x10, x6, x7) + +inst_716:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01729d737e39f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x1729d737e39f; +valaddr_reg:x9; val_offset:1386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1386*FLEN/8, x10, x6, x7) + +inst_717:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1388*FLEN/8, x10, x6, x7) + +inst_718:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x12bba85050ee4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0x80012bba85050ee4; +valaddr_reg:x9; val_offset:1390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1390*FLEN/8, x10, x6, x7) + +inst_719:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x12bba85050ee4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x90c5811d4ea06 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80012bba85050ee4; op2val:0x90c5811d4ea06; +valaddr_reg:x9; val_offset:1392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1392*FLEN/8, x10, x6, x7) + +inst_720:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x90c5811d4ea06 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x90c5811d4ea06; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1394*FLEN/8, x10, x6, x7) + +inst_721:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x0; +valaddr_reg:x9; val_offset:1396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1396*FLEN/8, x10, x6, x7) + +inst_722:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x422095a1629ee and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff422095a1629ee; op2val:0x0; +valaddr_reg:x9; val_offset:1398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1398*FLEN/8, x10, x6, x7) + +inst_723:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x422095a1629ee and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ff422095a1629ee; +valaddr_reg:x9; val_offset:1400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1400*FLEN/8, x10, x6, x7) + +inst_724:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0e7a2682ee434 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x422095a1629ee and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xe7a2682ee434; op2val:0x3ff422095a1629ee; +valaddr_reg:x9; val_offset:1402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1402*FLEN/8, x10, x6, x7) + +inst_725:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1404*FLEN/8, x10, x6, x7) + +inst_726:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xa86c4594097a9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fea86c4594097a9; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1406*FLEN/8, x10, x6, x7) + +inst_727:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xa86c4594097a9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x7fea86c4594097a9; +valaddr_reg:x9; val_offset:1408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1408*FLEN/8, x10, x6, x7) + +inst_728:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1410*FLEN/8, x10, x6, x7) + +inst_729:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1412*FLEN/8, x10, x6, x7) + +inst_730:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xa86c4594097a9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fea86c4594097a9; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1414*FLEN/8, x10, x6, x7) + +inst_731:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1416*FLEN/8, x10, x6, x7) + +inst_732:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xa86c4594097a9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fea86c4594097a9; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1418*FLEN/8, x10, x6, x7) + +inst_733:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1420*FLEN/8, x10, x6, x7) + +inst_734:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5389d1433ac87 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5389d1433ac87; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1422*FLEN/8, x10, x6, x7) + +inst_735:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x5389d1433ac87 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x7fb5389d1433ac87; +valaddr_reg:x9; val_offset:1424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1424*FLEN/8, x10, x6, x7) + +inst_736:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1426*FLEN/8, x10, x6, x7) + +inst_737:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xa86c4594097a9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fea86c4594097a9; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1428*FLEN/8, x10, x6, x7) + +inst_738:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1430*FLEN/8, x10, x6, x7) + +inst_739:// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x0fa17435c8a06 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f80fa17435c8a06; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1432*FLEN/8, x10, x6, x7) + +inst_740:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x0fa17435c8a06 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x7f80fa17435c8a06; +valaddr_reg:x9; val_offset:1434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1434*FLEN/8, x10, x6, x7) + +inst_741:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1436*FLEN/8, x10, x6, x7) + +inst_742:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5389d1433ac87 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5389d1433ac87; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1438*FLEN/8, x10, x6, x7) + +inst_743:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1440*FLEN/8, x10, x6, x7) + +inst_744:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5389d1433ac87 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5389d1433ac87; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1442*FLEN/8, x10, x6, x7) + +inst_745:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1444*FLEN/8, x10, x6, x7) + +inst_746:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xa86c4594097a9 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fea86c4594097a9; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1446*FLEN/8, x10, x6, x7) + +inst_747:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1448*FLEN/8, x10, x6, x7) + +inst_748:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x5389d1433ac87 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb5389d1433ac87; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1450*FLEN/8, x10, x6, x7) + +inst_749:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1452*FLEN/8, x10, x6, x7) + +inst_750:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1125252921dc7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1125252921dc7; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1454*FLEN/8, x10, x6, x7) + +inst_751:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1125252921dc7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x1125252921dc7; +valaddr_reg:x9; val_offset:1456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1456*FLEN/8, x10, x6, x7) + +inst_752:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1458*FLEN/8, x10, x6, x7) + +inst_753:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1460*FLEN/8, x10, x6, x7) + +inst_754:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1462*FLEN/8, x10, x6, x7) + +inst_755:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1464*FLEN/8, x10, x6, x7) + +inst_756:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1466*FLEN/8, x10, x6, x7) + +inst_757:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1125252921dc7 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1cb4e9082a569 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1125252921dc7; op2val:0x31cb4e9082a569; +valaddr_reg:x9; val_offset:1468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1468*FLEN/8, x10, x6, x7) + +inst_758:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1125252921dc7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0x1125252921dc7; +valaddr_reg:x9; val_offset:1470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1470*FLEN/8, x10, x6, x7) + +inst_759:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1125252921dc7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1125252921dc7; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1472*FLEN/8, x10, x6, x7) + +inst_760:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1474*FLEN/8, x10, x6, x7) + +inst_761:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1476*FLEN/8, x10, x6, x7) + +inst_762:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1478*FLEN/8, x10, x6, x7) + +inst_763:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1480*FLEN/8, x10, x6, x7) + +inst_764:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1482*FLEN/8, x10, x6, x7) + +inst_765:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1484*FLEN/8, x10, x6, x7) + +inst_766:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1486*FLEN/8, x10, x6, x7) + +inst_767:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01b6ea1db6961 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x849e8322fbf77 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1b6ea1db6961; op2val:0x800849e8322fbf77; +valaddr_reg:x9; val_offset:1488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1488*FLEN/8, x10, x6, x7) + +inst_768:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01b6ea1db6961 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x1b6ea1db6961; +valaddr_reg:x9; val_offset:1490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1490*FLEN/8, x10, x6, x7) + +inst_769:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01b6ea1db6961 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1b6ea1db6961; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1492*FLEN/8, x10, x6, x7) + +inst_770:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01b6ea1db6961 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x1b6ea1db6961; +valaddr_reg:x9; val_offset:1494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1494*FLEN/8, x10, x6, x7) + +inst_771:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1496*FLEN/8, x10, x6, x7) + +inst_772:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1498*FLEN/8, x10, x6, x7) + +inst_773:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x0; +valaddr_reg:x9; val_offset:1500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1500*FLEN/8, x10, x6, x7) + +inst_774:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x7d7db8b6fc61f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff7d7db8b6fc61f; op2val:0x0; +valaddr_reg:x9; val_offset:1502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1502*FLEN/8, x10, x6, x7) + +inst_775:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x7d7db8b6fc61f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ff7d7db8b6fc61f; +valaddr_reg:x9; val_offset:1504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1504*FLEN/8, x10, x6, x7) + +inst_776:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xab73739b529c7 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x7d7db8b6fc61f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xab73739b529c7; op2val:0x3ff7d7db8b6fc61f; +valaddr_reg:x9; val_offset:1506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1506*FLEN/8, x10, x6, x7) + +inst_777:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1508*FLEN/8, x10, x6, x7) + +inst_778:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x705262580c704 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe705262580c704; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1510*FLEN/8, x10, x6, x7) + +inst_779:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x705262580c704 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x7fe705262580c704; +valaddr_reg:x9; val_offset:1512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1512*FLEN/8, x10, x6, x7) + +inst_780:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1514*FLEN/8, x10, x6, x7) + +inst_781:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1516*FLEN/8, x10, x6, x7) + +inst_782:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x705262580c704 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe705262580c704; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1518*FLEN/8, x10, x6, x7) + +inst_783:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1520*FLEN/8, x10, x6, x7) + +inst_784:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x705262580c704 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe705262580c704; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1522*FLEN/8, x10, x6, x7) + +inst_785:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1524*FLEN/8, x10, x6, x7) + +inst_786:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x26a84eacd6c03 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb26a84eacd6c03; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1526*FLEN/8, x10, x6, x7) + +inst_787:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x26a84eacd6c03 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x7fb26a84eacd6c03; +valaddr_reg:x9; val_offset:1528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1528*FLEN/8, x10, x6, x7) + +inst_788:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1530*FLEN/8, x10, x6, x7) + +inst_789:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x705262580c704 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe705262580c704; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1532*FLEN/8, x10, x6, x7) + +inst_790:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1534*FLEN/8, x10, x6, x7) + +inst_791:// fs1 == 0 and fe1 == 0x7f7 and fm1 == 0xd773b1148acd1 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f7d773b1148acd1; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1536*FLEN/8, x10, x6, x7) + +inst_792:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x7f7 and fm2 == 0xd773b1148acd1 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x7f7d773b1148acd1; +valaddr_reg:x9; val_offset:1538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1538*FLEN/8, x10, x6, x7) + +inst_793:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1540*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_6) + +inst_794:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x26a84eacd6c03 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb26a84eacd6c03; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1542*FLEN/8, x10, x6, x7) + +inst_795:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1544*FLEN/8, x10, x6, x7) + +inst_796:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x26a84eacd6c03 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb26a84eacd6c03; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1546*FLEN/8, x10, x6, x7) + +inst_797:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1548*FLEN/8, x10, x6, x7) + +inst_798:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x705262580c704 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe705262580c704; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1550*FLEN/8, x10, x6, x7) + +inst_799:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1552*FLEN/8, x10, x6, x7) + +inst_800:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x26a84eacd6c03 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb26a84eacd6c03; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1554*FLEN/8, x10, x6, x7) + +inst_801:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1556*FLEN/8, x10, x6, x7) + +inst_802:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0ee0fa88947a1 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xee0fa88947a1; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1558*FLEN/8, x10, x6, x7) + +inst_803:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0ee0fa88947a1 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0xee0fa88947a1; +valaddr_reg:x9; val_offset:1560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1560*FLEN/8, x10, x6, x7) + +inst_804:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1562*FLEN/8, x10, x6, x7) + +inst_805:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1564*FLEN/8, x10, x6, x7) + +inst_806:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1566*FLEN/8, x10, x6, x7) + +inst_807:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0ee0fa88947a1 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1cb4e9082a569 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xee0fa88947a1; op2val:0x31cb4e9082a569; +valaddr_reg:x9; val_offset:1568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1568*FLEN/8, x10, x6, x7) + +inst_808:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0ee0fa88947a1 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0xee0fa88947a1; +valaddr_reg:x9; val_offset:1570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1570*FLEN/8, x10, x6, x7) + +inst_809:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0ee0fa88947a1 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xee0fa88947a1; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1572*FLEN/8, x10, x6, x7) + +inst_810:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1574*FLEN/8, x10, x6, x7) + +inst_811:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1576*FLEN/8, x10, x6, x7) + +inst_812:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1578*FLEN/8, x10, x6, x7) + +inst_813:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1580*FLEN/8, x10, x6, x7) + +inst_814:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1582*FLEN/8, x10, x6, x7) + +inst_815:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1584*FLEN/8, x10, x6, x7) + +inst_816:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1586*FLEN/8, x10, x6, x7) + +inst_817:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x017ce5da753f7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x849e8322fbf77 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x17ce5da753f7; op2val:0x800849e8322fbf77; +valaddr_reg:x9; val_offset:1588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1588*FLEN/8, x10, x6, x7) + +inst_818:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x017ce5da753f7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x17ce5da753f7; +valaddr_reg:x9; val_offset:1590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1590*FLEN/8, x10, x6, x7) + +inst_819:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x017ce5da753f7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x17ce5da753f7; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1592*FLEN/8, x10, x6, x7) + +inst_820:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x017ce5da753f7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x17ce5da753f7; +valaddr_reg:x9; val_offset:1594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1594*FLEN/8, x10, x6, x7) + +inst_821:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1596*FLEN/8, x10, x6, x7) + +inst_822:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1598*FLEN/8, x10, x6, x7) + +inst_823:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x0; +valaddr_reg:x9; val_offset:1600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1600*FLEN/8, x10, x6, x7) + +inst_824:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x4b1096905e83e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff4b1096905e83e; op2val:0x0; +valaddr_reg:x9; val_offset:1602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1602*FLEN/8, x10, x6, x7) + +inst_825:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x4b1096905e83e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ff4b1096905e83e; +valaddr_reg:x9; val_offset:1604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1604*FLEN/8, x10, x6, x7) + +inst_826:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x94c9c955ccc4c and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x4b1096905e83e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x94c9c955ccc4c; op2val:0x3ff4b1096905e83e; +valaddr_reg:x9; val_offset:1606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1606*FLEN/8, x10, x6, x7) + +inst_827:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1608*FLEN/8, x10, x6, x7) + +inst_828:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xca304f80cbc99 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feca304f80cbc99; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1610*FLEN/8, x10, x6, x7) + +inst_829:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xca304f80cbc99 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x7feca304f80cbc99; +valaddr_reg:x9; val_offset:1612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1612*FLEN/8, x10, x6, x7) + +inst_830:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1614*FLEN/8, x10, x6, x7) + +inst_831:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1616*FLEN/8, x10, x6, x7) + +inst_832:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xca304f80cbc99 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feca304f80cbc99; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1618*FLEN/8, x10, x6, x7) + +inst_833:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1620*FLEN/8, x10, x6, x7) + +inst_834:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xca304f80cbc99 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feca304f80cbc99; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1622*FLEN/8, x10, x6, x7) + +inst_835:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1624*FLEN/8, x10, x6, x7) + +inst_836:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x6e8d0c67096e1 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb6e8d0c67096e1; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1626*FLEN/8, x10, x6, x7) + +inst_837:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x6e8d0c67096e1 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x7fb6e8d0c67096e1; +valaddr_reg:x9; val_offset:1628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1628*FLEN/8, x10, x6, x7) + +inst_838:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1630*FLEN/8, x10, x6, x7) + +inst_839:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xca304f80cbc99 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feca304f80cbc99; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1632*FLEN/8, x10, x6, x7) + +inst_840:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1634*FLEN/8, x10, x6, x7) + +inst_841:// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x253da385a124e and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7f8253da385a124e; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1636*FLEN/8, x10, x6, x7) + +inst_842:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x253da385a124e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x7f8253da385a124e; +valaddr_reg:x9; val_offset:1638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1638*FLEN/8, x10, x6, x7) + +inst_843:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1640*FLEN/8, x10, x6, x7) + +inst_844:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x6e8d0c67096e1 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb6e8d0c67096e1; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1642*FLEN/8, x10, x6, x7) + +inst_845:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1644*FLEN/8, x10, x6, x7) + +inst_846:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x6e8d0c67096e1 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb6e8d0c67096e1; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1646*FLEN/8, x10, x6, x7) + +inst_847:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1648*FLEN/8, x10, x6, x7) + +inst_848:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xca304f80cbc99 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7feca304f80cbc99; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1650*FLEN/8, x10, x6, x7) + +inst_849:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1652*FLEN/8, x10, x6, x7) + +inst_850:// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x6e8d0c67096e1 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fb6e8d0c67096e1; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1654*FLEN/8, x10, x6, x7) + +inst_851:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1656*FLEN/8, x10, x6, x7) + +inst_852:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x128254fc5a4fe and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x128254fc5a4fe; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1658*FLEN/8, x10, x6, x7) + +inst_853:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x000 and fm2 == 0x128254fc5a4fe and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x128254fc5a4fe; +valaddr_reg:x9; val_offset:1660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1660*FLEN/8, x10, x6, x7) + +inst_854:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1662*FLEN/8, x10, x6, x7) + +inst_855:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x128254fc5a4fe and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1cb4e9082a569 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x128254fc5a4fe; op2val:0x31cb4e9082a569; +valaddr_reg:x9; val_offset:1664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1664*FLEN/8, x10, x6, x7) + +inst_856:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x128254fc5a4fe and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0x128254fc5a4fe; +valaddr_reg:x9; val_offset:1666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1666*FLEN/8, x10, x6, x7) + +inst_857:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x128254fc5a4fe and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x128254fc5a4fe; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1668*FLEN/8, x10, x6, x7) + +inst_858:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1670*FLEN/8, x10, x6, x7) + +inst_859:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1672*FLEN/8, x10, x6, x7) + +inst_860:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1674*FLEN/8, x10, x6, x7) + +inst_861:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1676*FLEN/8, x10, x6, x7) + +inst_862:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1678*FLEN/8, x10, x6, x7) + +inst_863:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1680*FLEN/8, x10, x6, x7) + +inst_864:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1682*FLEN/8, x10, x6, x7) + +inst_865:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01d9d54c6f6e6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x849e8322fbf77 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1d9d54c6f6e6; op2val:0x800849e8322fbf77; +valaddr_reg:x9; val_offset:1684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1684*FLEN/8, x10, x6, x7) + +inst_866:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01d9d54c6f6e6 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x1d9d54c6f6e6; +valaddr_reg:x9; val_offset:1686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1686*FLEN/8, x10, x6, x7) + +inst_867:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x01d9d54c6f6e6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x1d9d54c6f6e6; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1688*FLEN/8, x10, x6, x7) + +inst_868:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x000 and fm2 == 0x01d9d54c6f6e6 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x1d9d54c6f6e6; +valaddr_reg:x9; val_offset:1690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1690*FLEN/8, x10, x6, x7) + +inst_869:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1692*FLEN/8, x10, x6, x7) + +inst_870:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1694*FLEN/8, x10, x6, x7) + +inst_871:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x0; +valaddr_reg:x9; val_offset:1696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1696*FLEN/8, x10, x6, x7) + +inst_872:// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x9bd762d8a6627 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x3ff9bd762d8a6627; op2val:0x0; +valaddr_reg:x9; val_offset:1698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1698*FLEN/8, x10, x6, x7) + +inst_873:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x9bd762d8a6627 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x3ff9bd762d8a6627; +valaddr_reg:x9; val_offset:1700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1700*FLEN/8, x10, x6, x7) + +inst_874:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xb91751db871ea and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x9bd762d8a6627 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb91751db871ea; op2val:0x3ff9bd762d8a6627; +valaddr_reg:x9; val_offset:1702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1702*FLEN/8, x10, x6, x7) + +inst_875:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1704*FLEN/8, x10, x6, x7) + +inst_876:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x7ff0000000000000; +valaddr_reg:x9; val_offset:1706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1706*FLEN/8, x10, x6, x7) + +inst_877:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1708*FLEN/8, x10, x6, x7) + +inst_878:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1710*FLEN/8, x10, x6, x7) + +inst_879:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1712*FLEN/8, x10, x6, x7) + +inst_880:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1714*FLEN/8, x10, x6, x7) + +inst_881:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x19e9f6802bcef and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe19e9f6802bcef; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1716*FLEN/8, x10, x6, x7) + +inst_882:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x19e9f6802bcef and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x7fe19e9f6802bcef; +valaddr_reg:x9; val_offset:1718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1718*FLEN/8, x10, x6, x7) + +inst_883:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1720*FLEN/8, x10, x6, x7) + +inst_884:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1722*FLEN/8, x10, x6, x7) + +inst_885:// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xc30ff0cd12e4b and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fac30ff0cd12e4b; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1724*FLEN/8, x10, x6, x7) + +inst_886:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x7fa and fm2 == 0xc30ff0cd12e4b and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x7fac30ff0cd12e4b; +valaddr_reg:x9; val_offset:1726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1726*FLEN/8, x10, x6, x7) + +inst_887:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1728*FLEN/8, x10, x6, x7) + +inst_888:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x19e9f6802bcef and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe19e9f6802bcef; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1730*FLEN/8, x10, x6, x7) + +inst_889:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1732*FLEN/8, x10, x6, x7) + +inst_890:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x19e9f6802bcef and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe19e9f6802bcef; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1734*FLEN/8, x10, x6, x7) + +inst_891:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1736*FLEN/8, x10, x6, x7) + +inst_892:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1738*FLEN/8, x10, x6, x7) + +inst_893:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x19e9f6802bcef and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fe19e9f6802bcef; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1740*FLEN/8, x10, x6, x7) + +inst_894:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1742*FLEN/8, x10, x6, x7) + +inst_895:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:1744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1744*FLEN/8, x10, x6, x7) + +inst_896:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1cb4e9082a569 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x31cb4e9082a569; +valaddr_reg:x9; val_offset:1746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1746*FLEN/8, x10, x6, x7) + +inst_897:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1748*FLEN/8, x10, x6, x7) + +inst_898:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:1750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1750*FLEN/8, x10, x6, x7) + +inst_899:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1752*FLEN/8, x10, x6, x7) + +inst_900:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:1754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1754*FLEN/8, x10, x6, x7) + +inst_901:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1756*FLEN/8, x10, x6, x7) + +inst_902:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x176d62053e9f2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0x800176d62053e9f2; +valaddr_reg:x9; val_offset:1758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1758*FLEN/8, x10, x6, x7) + +inst_903:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x176d62053e9f2 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1cb4e9082a569 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800176d62053e9f2; op2val:0x31cb4e9082a569; +valaddr_reg:x9; val_offset:1760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1760*FLEN/8, x10, x6, x7) + +inst_904:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1762*FLEN/8, x10, x6, x7) + +inst_905:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1764*FLEN/8, x10, x6, x7) + +inst_906:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x11b63268cb80c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0x80011b63268cb80c; +valaddr_reg:x9; val_offset:1766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1766*FLEN/8, x10, x6, x7) + +inst_907:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x11b63268cb80c and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1cb4e9082a569 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80011b63268cb80c; op2val:0x31cb4e9082a569; +valaddr_reg:x9; val_offset:1768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1768*FLEN/8, x10, x6, x7) + +inst_908:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1770*FLEN/8, x10, x6, x7) + +inst_909:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1772*FLEN/8, x10, x6, x7) + +inst_910:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x158b9f3ccd07c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0x800158b9f3ccd07c; +valaddr_reg:x9; val_offset:1774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1774*FLEN/8, x10, x6, x7) + +inst_911:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x158b9f3ccd07c and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1cb4e9082a569 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800158b9f3ccd07c; op2val:0x31cb4e9082a569; +valaddr_reg:x9; val_offset:1776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1776*FLEN/8, x10, x6, x7) + +inst_912:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1778*FLEN/8, x10, x6, x7) + +inst_913:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1780*FLEN/8, x10, x6, x7) + +inst_914:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0b63657b34e4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0d430d1d19325 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb63657b34e4c; op2val:0x8000d430d1d19325; +valaddr_reg:x9; val_offset:1782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1782*FLEN/8, x10, x6, x7) + +inst_915:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d430d1d19325 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0b63657b34e4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d430d1d19325; op2val:0xb63657b34e4c; +valaddr_reg:x9; val_offset:1784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1784*FLEN/8, x10, x6, x7) + +inst_916:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0b63657b34e4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xb63657b34e4c; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1786*FLEN/8, x10, x6, x7) + +inst_917:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0b63657b34e4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0xb63657b34e4c; +valaddr_reg:x9; val_offset:1788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1788*FLEN/8, x10, x6, x7) + +inst_918:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1790*FLEN/8, x10, x6, x7) + +inst_919:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x12bba85050ee4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0x80012bba85050ee4; +valaddr_reg:x9; val_offset:1792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1792*FLEN/8, x10, x6, x7) + +inst_920:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x12bba85050ee4 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1cb4e9082a569 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80012bba85050ee4; op2val:0x31cb4e9082a569; +valaddr_reg:x9; val_offset:1794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1794*FLEN/8, x10, x6, x7) + +inst_921:// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1cb4e9082a569 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x31cb4e9082a569; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1796*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_7) + +inst_922:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x0; +valaddr_reg:x9; val_offset:1798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1798*FLEN/8, x10, x6, x7) + +inst_923:// fs1 == 0 and fe1 == 0x402 and fm1 == 0x3cbf277e6ba7f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x4023cbf277e6ba7f; op2val:0x0; +valaddr_reg:x9; val_offset:1800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1800*FLEN/8, x10, x6, x7) + +inst_924:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x402 and fm2 == 0x3cbf277e6ba7f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x4023cbf277e6ba7f; +valaddr_reg:x9; val_offset:1802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1802*FLEN/8, x10, x6, x7) + +inst_925:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x71e1f6d010ef7 and fs2 == 0 and fe2 == 0x402 and fm2 == 0x3cbf277e6ba7f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x71e1f6d010ef7; op2val:0x4023cbf277e6ba7f; +valaddr_reg:x9; val_offset:1804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1804*FLEN/8, x10, x6, x7) + +inst_926:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1806*FLEN/8, x10, x6, x7) + +inst_927:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1808*FLEN/8, x10, x6, x7) + +inst_928:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1810*FLEN/8, x10, x6, x7) + +inst_929:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1812*FLEN/8, x10, x6, x7) + +inst_930:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1814*FLEN/8, x10, x6, x7) + +inst_931:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1816*FLEN/8, x10, x6, x7) + +inst_932:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xcff30f3beb065 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbcff30f3beb065; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1818*FLEN/8, x10, x6, x7) + +inst_933:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xcff30f3beb065 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xffbcff30f3beb065; +valaddr_reg:x9; val_offset:1820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1820*FLEN/8, x10, x6, x7) + +inst_934:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1822*FLEN/8, x10, x6, x7) + +inst_935:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1824*FLEN/8, x10, x6, x7) + +inst_936:// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x7328d8fcbc051 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff87328d8fcbc051; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1826*FLEN/8, x10, x6, x7) + +inst_937:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x7328d8fcbc051 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xff87328d8fcbc051; +valaddr_reg:x9; val_offset:1828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1828*FLEN/8, x10, x6, x7) + +inst_938:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1830*FLEN/8, x10, x6, x7) + +inst_939:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xcff30f3beb065 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbcff30f3beb065; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1832*FLEN/8, x10, x6, x7) + +inst_940:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1834*FLEN/8, x10, x6, x7) + +inst_941:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xcff30f3beb065 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbcff30f3beb065; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1836*FLEN/8, x10, x6, x7) + +inst_942:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1838*FLEN/8, x10, x6, x7) + +inst_943:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1840*FLEN/8, x10, x6, x7) + +inst_944:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xcff30f3beb065 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbcff30f3beb065; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1842*FLEN/8, x10, x6, x7) + +inst_945:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1844*FLEN/8, x10, x6, x7) + +inst_946:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x176d62053e9f2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800176d62053e9f2; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1846*FLEN/8, x10, x6, x7) + +inst_947:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x176d62053e9f2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x800176d62053e9f2; +valaddr_reg:x9; val_offset:1848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1848*FLEN/8, x10, x6, x7) + +inst_948:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1850*FLEN/8, x10, x6, x7) + +inst_949:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x176d62053e9f2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800176d62053e9f2; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1852*FLEN/8, x10, x6, x7) + +inst_950:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1854*FLEN/8, x10, x6, x7) + +inst_951:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1856*FLEN/8, x10, x6, x7) + +inst_952:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1858*FLEN/8, x10, x6, x7) + +inst_953:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1860*FLEN/8, x10, x6, x7) + +inst_954:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1862*FLEN/8, x10, x6, x7) + +inst_955:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0257bd0086432 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x849e8322fbf77 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000257bd0086432; op2val:0x800849e8322fbf77; +valaddr_reg:x9; val_offset:1864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1864*FLEN/8, x10, x6, x7) + +inst_956:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0257bd0086432 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x8000257bd0086432; +valaddr_reg:x9; val_offset:1866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1866*FLEN/8, x10, x6, x7) + +inst_957:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0257bd0086432 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000257bd0086432; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1868*FLEN/8, x10, x6, x7) + +inst_958:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0257bd0086432 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x8000257bd0086432; +valaddr_reg:x9; val_offset:1870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1870*FLEN/8, x10, x6, x7) + +inst_959:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1872*FLEN/8, x10, x6, x7) + +inst_960:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:1874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1874*FLEN/8, x10, x6, x7) + +inst_961:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0x0; +valaddr_reg:x9; val_offset:1876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1876*FLEN/8, x10, x6, x7) + +inst_962:// fs1 == 1 and fe1 == 0x400 and fm1 == 0x04a31976bdb6f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc0004a31976bdb6f; op2val:0x0; +valaddr_reg:x9; val_offset:1878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1878*FLEN/8, x10, x6, x7) + +inst_963:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x400 and fm2 == 0x04a31976bdb6f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xc0004a31976bdb6f; +valaddr_reg:x9; val_offset:1880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1880*FLEN/8, x10, x6, x7) + +inst_964:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xea45d43472379 and fs2 == 1 and fe2 == 0x400 and fm2 == 0x04a31976bdb6f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800ea45d43472379; op2val:0xc0004a31976bdb6f; +valaddr_reg:x9; val_offset:1882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1882*FLEN/8, x10, x6, x7) + +inst_965:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1884*FLEN/8, x10, x6, x7) + +inst_966:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb672fe8c6e870 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffeb672fe8c6e870; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1886*FLEN/8, x10, x6, x7) + +inst_967:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xb672fe8c6e870 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xffeb672fe8c6e870; +valaddr_reg:x9; val_offset:1888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1888*FLEN/8, x10, x6, x7) + +inst_968:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1890*FLEN/8, x10, x6, x7) + +inst_969:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1892*FLEN/8, x10, x6, x7) + +inst_970:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb672fe8c6e870 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffeb672fe8c6e870; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1894*FLEN/8, x10, x6, x7) + +inst_971:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1896*FLEN/8, x10, x6, x7) + +inst_972:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb672fe8c6e870 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffeb672fe8c6e870; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1898*FLEN/8, x10, x6, x7) + +inst_973:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1900*FLEN/8, x10, x6, x7) + +inst_974:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x5ec2653d2538d and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb5ec2653d2538d; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1902*FLEN/8, x10, x6, x7) + +inst_975:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x5ec2653d2538d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xffb5ec2653d2538d; +valaddr_reg:x9; val_offset:1904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1904*FLEN/8, x10, x6, x7) + +inst_976:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1906*FLEN/8, x10, x6, x7) + +inst_977:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb672fe8c6e870 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffeb672fe8c6e870; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1908*FLEN/8, x10, x6, x7) + +inst_978:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1910*FLEN/8, x10, x6, x7) + +inst_979:// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x189b8430ea93d and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff8189b8430ea93d; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1912*FLEN/8, x10, x6, x7) + +inst_980:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x189b8430ea93d and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xff8189b8430ea93d; +valaddr_reg:x9; val_offset:1914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1914*FLEN/8, x10, x6, x7) + +inst_981:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1916*FLEN/8, x10, x6, x7) + +inst_982:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x5ec2653d2538d and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb5ec2653d2538d; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1918*FLEN/8, x10, x6, x7) + +inst_983:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1920*FLEN/8, x10, x6, x7) + +inst_984:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x5ec2653d2538d and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb5ec2653d2538d; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1922*FLEN/8, x10, x6, x7) + +inst_985:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1924*FLEN/8, x10, x6, x7) + +inst_986:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb672fe8c6e870 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffeb672fe8c6e870; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:1926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1926*FLEN/8, x10, x6, x7) + +inst_987:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1928*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1928*FLEN/8, x10, x6, x7) + +inst_988:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x5ec2653d2538d and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb5ec2653d2538d; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:1930*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1930*FLEN/8, x10, x6, x7) + +inst_989:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1932*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1932*FLEN/8, x10, x6, x7) + +inst_990:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x11b63268cb80c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80011b63268cb80c; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:1934*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1934*FLEN/8, x10, x6, x7) + +inst_991:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x11b63268cb80c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x80011b63268cb80c; +valaddr_reg:x9; val_offset:1936*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1936*FLEN/8, x10, x6, x7) + +inst_992:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1938*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1938*FLEN/8, x10, x6, x7) + +inst_993:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x11b63268cb80c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80011b63268cb80c; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:1940*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1940*FLEN/8, x10, x6, x7) + +inst_994:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1942*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1942*FLEN/8, x10, x6, x7) + +inst_995:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1944*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1944*FLEN/8, x10, x6, x7) + +inst_996:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1946*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1946*FLEN/8, x10, x6, x7) + +inst_997:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x01c56b70e1268 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x849e8322fbf77 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80001c56b70e1268; op2val:0x800849e8322fbf77; +valaddr_reg:x9; val_offset:1948*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1948*FLEN/8, x10, x6, x7) + +inst_998:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x01c56b70e1268 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x80001c56b70e1268; +valaddr_reg:x9; val_offset:1950*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1950*FLEN/8, x10, x6, x7) + +inst_999:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x01c56b70e1268 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80001c56b70e1268; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:1952*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1952*FLEN/8, x10, x6, x7) + +inst_1000:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x01c56b70e1268 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x80001c56b70e1268; +valaddr_reg:x9; val_offset:1954*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1954*FLEN/8, x10, x6, x7) + +inst_1001:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:1956*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1956*FLEN/8, x10, x6, x7) + +inst_1002:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:1958*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1958*FLEN/8, x10, x6, x7) + +inst_1003:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0x0; +valaddr_reg:x9; val_offset:1960*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1960*FLEN/8, x10, x6, x7) + +inst_1004:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x8a193aec8d637 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbff8a193aec8d637; op2val:0x0; +valaddr_reg:x9; val_offset:1962*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1962*FLEN/8, x10, x6, x7) + +inst_1005:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x8a193aec8d637 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xbff8a193aec8d637; +valaddr_reg:x9; val_offset:1964*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1964*FLEN/8, x10, x6, x7) + +inst_1006:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb11df817f3079 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x8a193aec8d637 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800b11df817f3079; op2val:0xbff8a193aec8d637; +valaddr_reg:x9; val_offset:1966*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1966*FLEN/8, x10, x6, x7) + +inst_1007:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:1968*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1968*FLEN/8, x10, x6, x7) + +inst_1008:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xfff0000000000000; +valaddr_reg:x9; val_offset:1970*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1970*FLEN/8, x10, x6, x7) + +inst_1009:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:1972*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1972*FLEN/8, x10, x6, x7) + +inst_1010:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:1974*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1974*FLEN/8, x10, x6, x7) + +inst_1011:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:1976*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1976*FLEN/8, x10, x6, x7) + +inst_1012:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1978*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1978*FLEN/8, x10, x6, x7) + +inst_1013:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xaaae5b42b9d01 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbaaae5b42b9d01; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:1980*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1980*FLEN/8, x10, x6, x7) + +inst_1014:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xaaae5b42b9d01 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xffbaaae5b42b9d01; +valaddr_reg:x9; val_offset:1982*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1982*FLEN/8, x10, x6, x7) + +inst_1015:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:1984*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1984*FLEN/8, x10, x6, x7) + +inst_1016:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1986*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1986*FLEN/8, x10, x6, x7) + +inst_1017:// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x555849022e400 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff8555849022e400; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:1988*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1988*FLEN/8, x10, x6, x7) + +inst_1018:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x555849022e400 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xff8555849022e400; +valaddr_reg:x9; val_offset:1990*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1990*FLEN/8, x10, x6, x7) + +inst_1019:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1992*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1992*FLEN/8, x10, x6, x7) + +inst_1020:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xaaae5b42b9d01 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbaaae5b42b9d01; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:1994*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1994*FLEN/8, x10, x6, x7) + +inst_1021:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1996*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1996*FLEN/8, x10, x6, x7) + +inst_1022:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xaaae5b42b9d01 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbaaae5b42b9d01; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:1998*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 1998*FLEN/8, x10, x6, x7) + +inst_1023:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:2000*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2000*FLEN/8, x10, x6, x7) + +inst_1024:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:2002*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2002*FLEN/8, x10, x6, x7) + +inst_1025:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xaaae5b42b9d01 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffbaaae5b42b9d01; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:2004*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2004*FLEN/8, x10, x6, x7) + +inst_1026:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:2006*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2006*FLEN/8, x10, x6, x7) + +inst_1027:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x158b9f3ccd07c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800158b9f3ccd07c; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:2008*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2008*FLEN/8, x10, x6, x7) + +inst_1028:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x000 and fm2 == 0x158b9f3ccd07c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x800158b9f3ccd07c; +valaddr_reg:x9; val_offset:2010*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2010*FLEN/8, x10, x6, x7) + +inst_1029:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:2012*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2012*FLEN/8, x10, x6, x7) + +inst_1030:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x158b9f3ccd07c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800158b9f3ccd07c; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:2014*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2014*FLEN/8, x10, x6, x7) + +inst_1031:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:2016*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2016*FLEN/8, x10, x6, x7) + +inst_1032:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x02278fec7ae73 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x849e8322fbf77 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80002278fec7ae73; op2val:0x800849e8322fbf77; +valaddr_reg:x9; val_offset:2018*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2018*FLEN/8, x10, x6, x7) + +inst_1033:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x02278fec7ae73 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x80002278fec7ae73; +valaddr_reg:x9; val_offset:2020*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2020*FLEN/8, x10, x6, x7) + +inst_1034:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x02278fec7ae73 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80002278fec7ae73; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:2022*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2022*FLEN/8, x10, x6, x7) + +inst_1035:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x000 and fm2 == 0x02278fec7ae73 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x80002278fec7ae73; +valaddr_reg:x9; val_offset:2024*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2024*FLEN/8, x10, x6, x7) + +inst_1036:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:2026*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2026*FLEN/8, x10, x6, x7) + +inst_1037:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:2028*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2028*FLEN/8, x10, x6, x7) + +inst_1038:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0x0; +valaddr_reg:x9; val_offset:2030*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2030*FLEN/8, x10, x6, x7) + +inst_1039:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0xdf66a9ea7fbe7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbffdf66a9ea7fbe7; op2val:0x0; +valaddr_reg:x9; val_offset:2032*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2032*FLEN/8, x10, x6, x7) + +inst_1040:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xdf66a9ea7fbe7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xbffdf66a9ea7fbe7; +valaddr_reg:x9; val_offset:2034*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2034*FLEN/8, x10, x6, x7) + +inst_1041:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xd7743860024db and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xdf66a9ea7fbe7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800d7743860024db; op2val:0xbffdf66a9ea7fbe7; +valaddr_reg:x9; val_offset:2036*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2036*FLEN/8, x10, x6, x7) + +inst_1042:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:2038*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2038*FLEN/8, x10, x6, x7) + +inst_1043:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x484bb4eb5b9d5 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe484bb4eb5b9d5; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:2040*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2040*FLEN/8, x10, x6, x7) + +inst_1044:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x484bb4eb5b9d5 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xffe484bb4eb5b9d5; +valaddr_reg:x9; val_offset:2042*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2042*FLEN/8, x10, x6, x7) + +inst_1045:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:2044*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2044*FLEN/8, x10, x6, x7) + +inst_1046:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:2046*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2046*FLEN/8, x10, x6, x7) + +inst_1047:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x484bb4eb5b9d5 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe484bb4eb5b9d5; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:2048*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2048*FLEN/8, x10, x6, x7) + +inst_1048:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:2050*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2050*FLEN/8, x10, x6, x7) + +inst_1049:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x484bb4eb5b9d5 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe484bb4eb5b9d5; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:2052*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2052*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_8) + +inst_1050:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:2054*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2054*FLEN/8, x10, x6, x7) + +inst_1051:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x06a2f722afb11 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb06a2f722afb11; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:2056*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2056*FLEN/8, x10, x6, x7) + +inst_1052:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x06a2f722afb11 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xffb06a2f722afb11; +valaddr_reg:x9; val_offset:2058*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2058*FLEN/8, x10, x6, x7) + +inst_1053:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:2060*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2060*FLEN/8, x10, x6, x7) + +inst_1054:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x484bb4eb5b9d5 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe484bb4eb5b9d5; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:2062*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2062*FLEN/8, x10, x6, x7) + +inst_1055:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:2064*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2064*FLEN/8, x10, x6, x7) + +inst_1056:// fs1 == 1 and fe1 == 0x7f7 and fm1 == 0xa437f1d1191b5 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff7a437f1d1191b5; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:2066*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2066*FLEN/8, x10, x6, x7) + +inst_1057:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x7f7 and fm2 == 0xa437f1d1191b5 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xff7a437f1d1191b5; +valaddr_reg:x9; val_offset:2068*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2068*FLEN/8, x10, x6, x7) + +inst_1058:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:2070*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2070*FLEN/8, x10, x6, x7) + +inst_1059:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x06a2f722afb11 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb06a2f722afb11; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:2072*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2072*FLEN/8, x10, x6, x7) + +inst_1060:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:2074*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2074*FLEN/8, x10, x6, x7) + +inst_1061:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x06a2f722afb11 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb06a2f722afb11; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:2076*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2076*FLEN/8, x10, x6, x7) + +inst_1062:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:2078*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2078*FLEN/8, x10, x6, x7) + +inst_1063:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x484bb4eb5b9d5 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffe484bb4eb5b9d5; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:2080*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2080*FLEN/8, x10, x6, x7) + +inst_1064:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:2082*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2082*FLEN/8, x10, x6, x7) + +inst_1065:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x06a2f722afb11 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb06a2f722afb11; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:2084*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2084*FLEN/8, x10, x6, x7) + +inst_1066:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:2086*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2086*FLEN/8, x10, x6, x7) + +inst_1067:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d430d1d19325 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d430d1d19325; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:2088*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2088*FLEN/8, x10, x6, x7) + +inst_1068:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0d430d1d19325 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x8000d430d1d19325; +valaddr_reg:x9; val_offset:2090*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2090*FLEN/8, x10, x6, x7) + +inst_1069:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:2092*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2092*FLEN/8, x10, x6, x7) + +inst_1070:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:2094*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2094*FLEN/8, x10, x6, x7) + +inst_1071:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x849e8322fbf77 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x800849e8322fbf77; +valaddr_reg:x9; val_offset:2096*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2096*FLEN/8, x10, x6, x7) + +inst_1072:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:2098*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2098*FLEN/8, x10, x6, x7) + +inst_1073:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:2100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2100*FLEN/8, x10, x6, x7) + +inst_1074:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:2102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2102*FLEN/8, x10, x6, x7) + +inst_1075:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:2104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2104*FLEN/8, x10, x6, x7) + +inst_1076:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:2106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2106*FLEN/8, x10, x6, x7) + +inst_1077:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0d430d1d19325 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000d430d1d19325; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:2108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2108*FLEN/8, x10, x6, x7) + +inst_1078:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:2110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2110*FLEN/8, x10, x6, x7) + +inst_1079:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:2112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2112*FLEN/8, x10, x6, x7) + +inst_1080:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:2114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2114*FLEN/8, x10, x6, x7) + +inst_1081:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:2116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2116*FLEN/8, x10, x6, x7) + +inst_1082:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:2118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2118*FLEN/8, x10, x6, x7) + +inst_1083:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:2120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2120*FLEN/8, x10, x6, x7) + +inst_1084:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:2122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2122*FLEN/8, x10, x6, x7) + +inst_1085:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x01df90d4d4e4a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x80001df90d4d4e4a; +valaddr_reg:x9; val_offset:2124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2124*FLEN/8, x10, x6, x7) + +inst_1086:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x01df90d4d4e4a and fs2 == 1 and fe2 == 0x000 and fm2 == 0x849e8322fbf77 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80001df90d4d4e4a; op2val:0x800849e8322fbf77; +valaddr_reg:x9; val_offset:2126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2126*FLEN/8, x10, x6, x7) + +inst_1087:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x849e8322fbf77 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800849e8322fbf77; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:2128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2128*FLEN/8, x10, x6, x7) + +inst_1088:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0x0; +valaddr_reg:x9; val_offset:2130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2130*FLEN/8, x10, x6, x7) + +inst_1089:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x271665b532bfd and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbff271665b532bfd; op2val:0x0; +valaddr_reg:x9; val_offset:2132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2132*FLEN/8, x10, x6, x7) + +inst_1090:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x271665b532bfd and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xbff271665b532bfd; +valaddr_reg:x9; val_offset:2134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2134*FLEN/8, x10, x6, x7) + +inst_1091:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0153814fb5b84 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x271665b532bfd and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x8000153814fb5b84; op2val:0xbff271665b532bfd; +valaddr_reg:x9; val_offset:2136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2136*FLEN/8, x10, x6, x7) + +inst_1092:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:2138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2138*FLEN/8, x10, x6, x7) + +inst_1093:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xcfbb63d922c8f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffecfbb63d922c8f; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:2140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2140*FLEN/8, x10, x6, x7) + +inst_1094:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xcfbb63d922c8f and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xffecfbb63d922c8f; +valaddr_reg:x9; val_offset:2142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2142*FLEN/8, x10, x6, x7) + +inst_1095:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:2144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2144*FLEN/8, x10, x6, x7) + +inst_1096:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:2146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2146*FLEN/8, x10, x6, x7) + +inst_1097:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xcfbb63d922c8f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffecfbb63d922c8f; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:2148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2148*FLEN/8, x10, x6, x7) + +inst_1098:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:2150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2150*FLEN/8, x10, x6, x7) + +inst_1099:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xcfbb63d922c8f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffecfbb63d922c8f; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:2152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2152*FLEN/8, x10, x6, x7) + +inst_1100:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:2154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2154*FLEN/8, x10, x6, x7) + +inst_1101:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x72fc4fe0e8a0c and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb72fc4fe0e8a0c; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:2156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2156*FLEN/8, x10, x6, x7) + +inst_1102:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x72fc4fe0e8a0c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xffb72fc4fe0e8a0c; +valaddr_reg:x9; val_offset:2158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2158*FLEN/8, x10, x6, x7) + +inst_1103:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:2160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2160*FLEN/8, x10, x6, x7) + +inst_1104:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xcfbb63d922c8f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffecfbb63d922c8f; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:2162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2162*FLEN/8, x10, x6, x7) + +inst_1105:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:2164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2164*FLEN/8, x10, x6, x7) + +inst_1106:// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x28c9d980ba1a3 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xff828c9d980ba1a3; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:2166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2166*FLEN/8, x10, x6, x7) + +inst_1107:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x28c9d980ba1a3 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xff828c9d980ba1a3; +valaddr_reg:x9; val_offset:2168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2168*FLEN/8, x10, x6, x7) + +inst_1108:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:2170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2170*FLEN/8, x10, x6, x7) + +inst_1109:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x72fc4fe0e8a0c and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb72fc4fe0e8a0c; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:2172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2172*FLEN/8, x10, x6, x7) + +inst_1110:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:2174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2174*FLEN/8, x10, x6, x7) + +inst_1111:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x72fc4fe0e8a0c and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb72fc4fe0e8a0c; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:2176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2176*FLEN/8, x10, x6, x7) + +inst_1112:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:2178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2178*FLEN/8, x10, x6, x7) + +inst_1113:// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xcfbb63d922c8f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffecfbb63d922c8f; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:2180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2180*FLEN/8, x10, x6, x7) + +inst_1114:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:2182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2182*FLEN/8, x10, x6, x7) + +inst_1115:// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x72fc4fe0e8a0c and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xffb72fc4fe0e8a0c; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:2184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2184*FLEN/8, x10, x6, x7) + +inst_1116:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:2186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2186*FLEN/8, x10, x6, x7) + +inst_1117:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x12bba85050ee4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80012bba85050ee4; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:2188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2188*FLEN/8, x10, x6, x7) + +inst_1118:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x12bba85050ee4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x80012bba85050ee4; +valaddr_reg:x9; val_offset:2190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2190*FLEN/8, x10, x6, x7) + +inst_1119:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:2192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2192*FLEN/8, x10, x6, x7) + +inst_1120:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x12bba85050ee4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80012bba85050ee4; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:2194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2194*FLEN/8, x10, x6, x7) + +inst_1121:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:2196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2196*FLEN/8, x10, x6, x7) + +inst_1122:// fs1 == 1 and fe1 == 0x000 and fm1 == 0x01df90d4d4e4a and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x80001df90d4d4e4a; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:2198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2198*FLEN/8, x10, x6, x7) + +inst_1123:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x01df90d4d4e4a and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x80001df90d4d4e4a; +valaddr_reg:x9; val_offset:2200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2200*FLEN/8, x10, x6, x7) + +inst_1124:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0x0; +valaddr_reg:x9; val_offset:2202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2202*FLEN/8, x10, x6, x7) + +inst_1125:// fs1 == 1 and fe1 == 0x3ff and fm1 == 0xa0d2ebbb9cec0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xbffa0d2ebbb9cec0; op2val:0x0; +valaddr_reg:x9; val_offset:2204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2204*FLEN/8, x10, x6, x7) + +inst_1126:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xa0d2ebbb9cec0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xbffa0d2ebbb9cec0; +valaddr_reg:x9; val_offset:2206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2206*FLEN/8, x10, x6, x7) + +inst_1127:// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbb549323294e4 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xa0d2ebbb9cec0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x800bb549323294e4; op2val:0xbffa0d2ebbb9cec0; +valaddr_reg:x9; val_offset:2208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2208*FLEN/8, x10, x6, x7) + +inst_1128:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:2210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2210*FLEN/8, x10, x6, x7) + +inst_1129:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x0; +valaddr_reg:x9; val_offset:2212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2212*FLEN/8, x10, x6, x7) + +inst_1130:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xabc6824ad2440 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x7feabc6824ad2440; +valaddr_reg:x9; val_offset:2214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2214*FLEN/8, x10, x6, x7) + +inst_1131:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x363e504d94fe2 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x7fe363e504d94fe2; +valaddr_reg:x9; val_offset:2216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2216*FLEN/8, x10, x6, x7) + +inst_1132:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xb9017651b96db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x7fdb9017651b96db; +valaddr_reg:x9; val_offset:2218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2218*FLEN/8, x10, x6, x7) + +inst_1133:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe61729d7cfd5e and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x7fee61729d7cfd5e; +valaddr_reg:x9; val_offset:2220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2220*FLEN/8, x10, x6, x7) + +inst_1134:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xab65b09a91410 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xffaab65b09a91410; +valaddr_reg:x9; val_offset:2222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2222*FLEN/8, x10, x6, x7) + +inst_1135:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0e5de21873eea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xffd0e5de21873eea; +valaddr_reg:x9; val_offset:2224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2224*FLEN/8, x10, x6, x7) + +inst_1136:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x92a290fb6d0de and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xffd92a290fb6d0de; +valaddr_reg:x9; val_offset:2226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2226*FLEN/8, x10, x6, x7) + +inst_1137:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x3682ff4c90ae0 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xffe3682ff4c90ae0; +valaddr_reg:x9; val_offset:2228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2228*FLEN/8, x10, x6, x7) + +inst_1138:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xd2c340883a998 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xffdd2c340883a998; +valaddr_reg:x9; val_offset:2230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2230*FLEN/8, x10, x6, x7) + +inst_1139:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0e7a2682ee434 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xe7a2682ee434; +valaddr_reg:x9; val_offset:2232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2232*FLEN/8, x10, x6, x7) + +inst_1140:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xab73739b529c7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xab73739b529c7; +valaddr_reg:x9; val_offset:2234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2234*FLEN/8, x10, x6, x7) + +inst_1141:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x94c9c955ccc4c and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x94c9c955ccc4c; +valaddr_reg:x9; val_offset:2236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2236*FLEN/8, x10, x6, x7) + +inst_1142:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xb91751db871ea and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0xb91751db871ea; +valaddr_reg:x9; val_offset:2238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2238*FLEN/8, x10, x6, x7) + +inst_1143:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x71e1f6d010ef7 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x71e1f6d010ef7; +valaddr_reg:x9; val_offset:2240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2240*FLEN/8, x10, x6, x7) + +inst_1144:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xea45d43472379 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x800ea45d43472379; +valaddr_reg:x9; val_offset:2242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2242*FLEN/8, x10, x6, x7) + +inst_1145:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb11df817f3079 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x800b11df817f3079; +valaddr_reg:x9; val_offset:2244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2244*FLEN/8, x10, x6, x7) + +inst_1146:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xd7743860024db and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x800d7743860024db; +valaddr_reg:x9; val_offset:2246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2246*FLEN/8, x10, x6, x7) + +inst_1147:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0153814fb5b84 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x8000153814fb5b84; +valaddr_reg:x9; val_offset:2248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2248*FLEN/8, x10, x6, x7) + +inst_1148:// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbb549323294e4 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x800bb549323294e4; +valaddr_reg:x9; val_offset:2250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2250*FLEN/8, x10, x6, x7) + +inst_1149:// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe97d52f73d2ed and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe97d52f73d2ed and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0x7fee97d52f73d2ed; op2val:0x7fee97d52f73d2ed; +valaddr_reg:x9; val_offset:2252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2252*FLEN/8, x10, x6, x7) + +inst_1150:// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc5bc46ffcb5d2 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xa86c4594097a9 and fcsr == 0 +/* opcode: fltq.d ; op1:f31; op2:f30; dest:x31; op1val:0xc5bc46ffcb5d2; op2val:0x7fea86c4594097a9; +valaddr_reg:x9; val_offset:2254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.d, x31, f31, f30, 0, 0, x9, 2254*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9203239392035566986,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9203239392035566986,64,FLEN) +NAN_BOXED(9203239392035566986,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9203239392035566986,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9188350802564452668,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9188350802564452668,64,FLEN) +NAN_BOXED(9188350802564452668,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9188350802564452668,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9203239392035566986,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9203239392035566986,64,FLEN) +NAN_BOXED(9203239392035566986,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9203239392035566986,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +test_dataset_1: +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9203239392035566986,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(347859897107810,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(347859897107810,64,FLEN) +NAN_BOXED(347859897107810,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(347859897107810,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(347859897107810,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(347859897107810,64,FLEN) +NAN_BOXED(347859897107810,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(34785989710781,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(34785989710781,64,FLEN) +NAN_BOXED(34785989710781,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(34785989710781,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610418958807422836,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610418958807422836,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(4610418958807422836,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9202370842988492442,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9202370842988492442,64,FLEN) +NAN_BOXED(9202370842988492442,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9202370842988492442,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9187655963326793032,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9187655963326793032,64,FLEN) +NAN_BOXED(9187655963326793032,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9187655963326793032,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9202370842988492442,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9202370842988492442,64,FLEN) +NAN_BOXED(9202370842988492442,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9202370842988492442,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9202370842988492442,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(304002233314779,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(304002233314779,64,FLEN) +NAN_BOXED(304002233314779,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(304002233314779,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(304002233314779,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(304002233314779,64,FLEN) +NAN_BOXED(304002233314779,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(30400223331478,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(30400223331478,64,FLEN) +NAN_BOXED(30400223331478,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(30400223331478,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(3040022333147794,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609443093395412139,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609443093395412139,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(4609443093395412139,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9200579415203204253,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9200579415203204253,64,FLEN) +NAN_BOXED(9200579415203204253,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9200579415203204253,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9185322101173088382,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9185322101173088382,64,FLEN) +NAN_BOXED(9185322101173088382,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9185322101173088382,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9200579415203204253,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9200579415203204253,64,FLEN) +NAN_BOXED(9200579415203204253,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9200579415203204253,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9200579415203204253,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(220477111881618,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(220477111881618,64,FLEN) +NAN_BOXED(220477111881618,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(220477111881618,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(220477111881618,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(220477111881618,64,FLEN) +NAN_BOXED(220477111881618,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(22047711188162,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(22047711188162,64,FLEN) +NAN_BOXED(22047711188162,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(22047711188162,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(2204771118816184,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607584597753116477,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607584597753116477,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(4607584597753116477,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9203191554448712779,64,FLEN) +NAN_BOXED(9203191554448712779,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9198053443084096073,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(9198053443084096073,64,FLEN) +NAN_BOXED(9198053443084096073,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9198053443084096073,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18423959003897270630,64,FLEN) +NAN_BOXED(18423959003897270630,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(1567022241400704,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(1567022241400704,64,FLEN) +NAN_BOXED(1567022241400704,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(1567022241400704,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(1567022241400704,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(1567022241400704,64,FLEN) +NAN_BOXED(1567022241400704,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(156702224140070,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(156702224140070,64,FLEN) +NAN_BOXED(156702224140070,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(156702224140070,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(12338710834374016,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4620402868989988073,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4620402868989988073,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(4620402868989988073,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9203191554448712779,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9203191554448712779,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9188312532494969302,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9188312532494969302,64,FLEN) +NAN_BOXED(9188312532494969302,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9188312532494969302,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9203191554448712779,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9203191554448712779,64,FLEN) +NAN_BOXED(9203191554448712779,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9203191554448712779,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9203191554448712779,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(345444322756553,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(345444322756553,64,FLEN) +NAN_BOXED(345444322756553,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(345444322756553,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(345444322756553,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(345444322756553,64,FLEN) +NAN_BOXED(345444322756553,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(34544432275655,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(34544432275655,64,FLEN) +NAN_BOXED(34544432275655,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(34544432275655,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(3454443227565531,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610365210494000218,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610365210494000218,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(4610365210494000218,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(18418325423627199658,64,FLEN) +NAN_BOXED(18418325423627199658,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(18420885460528703256,64,FLEN) +NAN_BOXED(18420885460528703256,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18408700179499296645,64,FLEN) +NAN_BOXED(18408700179499296645,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(18421787977371859680,64,FLEN) +NAN_BOXED(18421787977371859680,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(18437932557024210058,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9225270370995823527,64,FLEN) +NAN_BOXED(9186406006941605372,64,FLEN) +NAN_BOXED(9186406006941605372,64,FLEN) +NAN_BOXED(9225270370995823527,64,FLEN) +NAN_BOXED(9225270370995823527,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9225270370995823527,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9187618217657141766,64,FLEN) +NAN_BOXED(9187618217657141766,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9186629910482431185,64,FLEN) +NAN_BOXED(9186629910482431185,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9187998386502636110,64,FLEN) +NAN_BOXED(9187998386502636110,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9225270370995823527,64,FLEN) +NAN_BOXED(9199782010800909899,64,FLEN) +NAN_BOXED(9199782010800909899,64,FLEN) +NAN_BOXED(9225270370995823527,64,FLEN) +NAN_BOXED(9225270370995823527,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(18412741185111834705,64,FLEN) +NAN_BOXED(18412741185111834705,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(18411148176159320381,64,FLEN) +NAN_BOXED(18411148176159320381,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(18412216679416390656,64,FLEN) +NAN_BOXED(18412216679416390656,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223561870268880580,64,FLEN) +NAN_BOXED(18409100640057921973,64,FLEN) +NAN_BOXED(18409100640057921973,64,FLEN) +NAN_BOXED(9223561870268880580,64,FLEN) +NAN_BOXED(9223561870268880580,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9223561870268880580,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(18411432835132662179,64,FLEN) +NAN_BOXED(18411432835132662179,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9237125071462136099,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13844841571999480462,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13844841571999480462,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(13844841571999480462,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18418325423627199658,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18418325423627199658,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18423959003897270630,64,FLEN) +NAN_BOXED(18423959003897270630,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9224332728904596352,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(9224332728904596352,64,FLEN) +NAN_BOXED(9224332728904596352,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9224332728904596352,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9224332728904596352,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(9224332728904596352,64,FLEN) +NAN_BOXED(9224332728904596352,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223468106059757862,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(9223468106059757862,64,FLEN) +NAN_BOXED(9223468106059757862,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9223468106059757862,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9232679096731249022,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13840402082197492186,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13840402082197492186,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(13840402082197492186,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18420885460528703256,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18420885460528703256,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9224802716826199596,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(9224802716826199596,64,FLEN) +NAN_BOXED(9224802716826199596,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9224802716826199596,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9224802716826199596,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(9224802716826199596,64,FLEN) +NAN_BOXED(9224802716826199596,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223515104851918187,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(9223515104851918187,64,FLEN) +NAN_BOXED(9223515104851918187,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9223515104851918187,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9235029036339265244,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13843016476792925964,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13843016476792925964,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(13843016476792925964,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18423959003897270630,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18423959003897270630,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18408700179499296645,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18408700179499296645,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18423959003897270630,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18423959003897270630,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18423959003897270630,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9223592704632935710,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(9223592704632935710,64,FLEN) +NAN_BOXED(9223592704632935710,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9223592704632935710,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9223592704632935710,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223592704632935710,64,FLEN) +NAN_BOXED(9223592704632935710,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223394103632591798,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(9223394103632591798,64,FLEN) +NAN_BOXED(9223394103632591798,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9223394103632591798,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9225578714636374827,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13830960877073407360,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13830960877073407360,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(13830960877073407360,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18421787977371859680,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18421787977371859680,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9225030581219166366,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(9225030581219166366,64,FLEN) +NAN_BOXED(9225030581219166366,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9225030581219166366,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9225030581219166366,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(9225030581219166366,64,FLEN) +NAN_BOXED(9225030581219166366,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223537891291214864,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(9223537891291214864,64,FLEN) +NAN_BOXED(9223537891291214864,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9223537891291214864,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9236168358304099096,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13844174634545009050,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13844174634545009050,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(13844174634545009050,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9186406006941605372,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9186406006941605372,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9216165899191831917,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9201394168065886909,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(301619758636487,64,FLEN) +NAN_BOXED(301619758636487,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(261751019489185,64,FLEN) +NAN_BOXED(261751019489185,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(325615693964542,64,FLEN) +NAN_BOXED(325615693964542,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(9223784173868935666,64,FLEN) +NAN_BOXED(9223784173868935666,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(9223683624493955084,64,FLEN) +NAN_BOXED(9223683624493955084,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(9223751067513966716,64,FLEN) +NAN_BOXED(9223751067513966716,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(25468471862175,64,FLEN) +NAN_BOXED(9223605342998467365,64,FLEN) +NAN_BOXED(9223605342998467365,64,FLEN) +NAN_BOXED(25468471862175,64,FLEN) +NAN_BOXED(25468471862175,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(25468471862175,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(9223701591927099108,64,FLEN) +NAN_BOXED(9223701591927099108,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(2546847186217478,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4608345742268312046,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4608345742268312046,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(4608345742268312046,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9187618217657141766,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9187618217657141766,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9202323660901428359,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(301619758636487,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(301619758636487,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(301619758636487,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(301619758636487,64,FLEN) +NAN_BOXED(301619758636487,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(30161975863649,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(30161975863649,64,FLEN) +NAN_BOXED(30161975863649,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(30161975863649,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609390081574159903,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609390081574159903,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(4609390081574159903,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9186629910482431185,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9186629910482431185,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9216340823833102084,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9201534107778903043,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(261751019489185,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(261751019489185,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(261751019489185,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(261751019489185,64,FLEN) +NAN_BOXED(261751019489185,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(26175101948919,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(26175101948919,64,FLEN) +NAN_BOXED(26175101948919,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(26175101948919,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4608502972681676862,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4608502972681676862,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(4608502972681676862,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9187998386502636110,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9187998386502636110,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9217921779057343641,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9202798871958296289,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(325615693964542,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(325615693964542,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(325615693964542,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(325615693964542,64,FLEN) +NAN_BOXED(325615693964542,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(32561569396454,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(32561569396454,64,FLEN) +NAN_BOXED(32561569396454,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(32561569396454,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609924008858248743,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609924008858248743,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(4609924008858248743,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9199782010800909899,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9199782010800909899,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9214820720058744047,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(9223784173868935666,64,FLEN) +NAN_BOXED(9223784173868935666,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(9223683624493955084,64,FLEN) +NAN_BOXED(9223683624493955084,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(9223751067513966716,64,FLEN) +NAN_BOXED(9223751067513966716,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(200344515857996,64,FLEN) +NAN_BOXED(9223605342998467365,64,FLEN) +NAN_BOXED(9223605342998467365,64,FLEN) +NAN_BOXED(200344515857996,64,FLEN) +NAN_BOXED(200344515857996,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(200344515857996,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(9223701591927099108,64,FLEN) +NAN_BOXED(9223701591927099108,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(14015812151190889,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621761884866394751,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621761884866394751,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(4621761884866394751,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18412741185111834705,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18412741185111834705,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18427884361006100581,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9223784173868935666,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9223784173868935666,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9223784173868935666,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223413250556191794,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9223413250556191794,64,FLEN) +NAN_BOXED(9223413250556191794,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9223413250556191794,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13835139632136444783,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13835139632136444783,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(13835139632136444783,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18411148176159320381,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18411148176159320381,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18440946554665101424,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18425893099815457677,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9223683624493955084,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9223683624493955084,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9223683624493955084,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223403195618693736,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9223403195618693736,64,FLEN) +NAN_BOXED(9223403195618693736,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9223403195618693736,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13832983911133140535,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13832983911133140535,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(13832983911133140535,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18412216679416390656,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18412216679416390656,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18427228728886795521,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9223751067513966716,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9223751067513966716,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9223751067513966716,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223409939920694899,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9223409939920694899,64,FLEN) +NAN_BOXED(9223409939920694899,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9223409939920694899,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13834484568140807143,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13834484568140807143,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(13834484568140807143,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18409100640057921973,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18409100640057921973,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18439008714375936469,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18424342827584125713,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9223605342998467365,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223605342998467365,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9223605342998467365,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9223404992362008138,64,FLEN) +NAN_BOXED(9223404992362008138,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9225705098291691383,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13831242090040994813,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13831242090040994813,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(13831242090040994813,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(18411432835132662179,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18411432835132662179,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(18441391334310947983,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(18426248923532134924,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9223701591927099108,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9223701591927099108,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9223701591927099108,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9223404992362008138,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9223404992362008138,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833383699791072960,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833383699791072960,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(13833383699791072960,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9217386742845088832,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9215319097810178018,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9213115892871435995,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218412632170364254,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18422737727832790032,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18433486017035452138,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18435812905463501022,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18438695854564510432,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18436941051517446552,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(254684718621748,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(3016197586364871,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2617510194891852,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(3256156939645418,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2003445158579959,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227493406996374393,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9226487913246568569,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227162343446684891,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223395367469144964,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9226667587578008804,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(9218472429153932013,64,FLEN) +NAN_BOXED(3478598971078098,64,FLEN) +NAN_BOXED(9217327765236258729,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_5: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_6: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_7: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_8: + .fill 202*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fltq_b1-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fltq_b1-01.S new file mode 100644 index 000000000..3b26835ea --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fltq_b1-01.S @@ -0,0 +1,368 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:44:00 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fltq.s.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fltq.s instruction of the RISC-V RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fltq_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fltq_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 == rs2, rs1==f31, rs2==f31, rd==x31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f31; op2:f31; dest:x31; op1val:0x0; op2val:0x0; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 != rs2, rs1==f30, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f30; op2:f29; dest:x30; op1val:0x0; op2val:0x80000000; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x30, f30, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f29, rs2==f30, rd==x29,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f29; op2:f30; dest:x29; op1val:0x0; op2val:0x1; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x29, f29, f30, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f28; op2:f27; dest:x28; op1val:0x0; op2val:0x80000001; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f27; op2:f28; dest:x27; op1val:0x0; op2val:0x2; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f26; op2:f25; dest:x26; op1val:0x0; op2val:0x807ffffe; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f25; op2:f26; dest:x25; op1val:0x0; op2val:0x7fffff; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f24; op2:f23; dest:x24; op1val:0x0; op2val:0x807fffff; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f23; op2:f24; dest:x23; op1val:0x0; op2val:0x800000; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f22; op2:f21; dest:x22; op1val:0x0; op2val:0x80800000; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f21; op2:f22; dest:x21; op1val:0x0; op2val:0x800001; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f20; op2:f19; dest:x20; op1val:0x0; op2val:0x80855555; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f19; op2:f20; dest:x19; op1val:0x0; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f18; op2:f17; dest:x18; op1val:0x0; op2val:0xff7fffff; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f17; op2:f18; dest:x17; op1val:0x0; op2val:0x7f800000; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f16; op2:f15; dest:x16; op1val:0x0; op2val:0xff800000; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f15; op2:f16; dest:x15; op1val:0x0; op2val:0x7fc00000; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f14; op2:f13; dest:x14; op1val:0x0; op2val:0xffc00000; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f13; op2:f14; dest:x13; op1val:0x0; op2val:0x7fc00001; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f12; op2:f11; dest:x12; op1val:0x0; op2val:0xffc55555; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f11; op2:f12; dest:x11; op1val:0x0; op2val:0x7f800001; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f10; op2:f9; dest:x10; op1val:0x0; op2val:0xffaaaaaa; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f9; op2:f10; dest:x9; op1val:0x0; op2val:0x3f800000; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f8; op2:f7; dest:x8; op1val:0x0; op2val:0xbf800000; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f7; op2:f8; dest:x7; op1val:0x80000000; op2val:0x0; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f6; op2:f5; dest:x6; op1val:0x80000000; op2val:0x80000000; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f5; op2:f6; dest:x5; op1val:0x80000000; op2val:0x1; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f4; op2:f3; dest:x4; op1val:0x80000000; op2val:0x80000001; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f3; op2:f4; dest:x3; op1val:0x80000000; op2val:0x2; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f2; op2:f1; dest:x2; op1val:0x80000000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f1; op2:f2; dest:x1; op1val:0x80000000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f0; op2:f31; dest:x31; op1val:0x80000000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f31; op2:f0; dest:x31; op1val:0x80000000; op2val:0x800000; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x0; op1val:0x80000000; op2val:0x80800000; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +test_dataset_1: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 16*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fltq_b19-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fltq_b19-01.S new file mode 100644 index 000000000..859eb8807 --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fltq_b19-01.S @@ -0,0 +1,368 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:44:00 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fltq.s.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fltq.s instruction of the RISC-V RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fltq_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fltq_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 == rs2, rs1==f31, rs2==f31, rd==x31,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f31; op2:f31; dest:x31; op1val:0x7f378efe; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 != rs2, rs1==f30, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f30; op2:f29; dest:x30; op1val:0x7f378efe; op2val:0x7f206a70; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x30, f30, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f29, rs2==f30, rd==x29,fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f29; op2:f30; dest:x29; op1val:0x7f206a70; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x29, f29, f30, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f28; op2:f27; dest:x28; op1val:0x7f378efe; op2val:0x7ee8aebb; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f27; op2:f28; dest:x27; op1val:0x7ee8aebb; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f26; op2:f25; dest:x26; op1val:0x7f378efe; op2val:0x7ea5608b; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f25; op2:f26; dest:x25; op1val:0x7ea5608b; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f24; op2:f23; dest:x24; op1val:0x7f378efe; op2val:0x7f3648af; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f23; op2:f24; dest:x23; op1val:0x7f3648af; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f22; op2:f21; dest:x22; op1val:0x7f378efe; op2val:0xfd204621; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0xfb and fm1 == 0x12d8cb and fs2 == 1 and fe2 == 0xfd and fm2 == 0x4857aa and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f21; op2:f22; dest:x21; op1val:0x7d92d8cb; op2val:0xfec857aa; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 0 and fe2 == 0xfb and fm2 == 0x12d8cb and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f20; op2:f19; dest:x20; op1val:0xfec857aa; op2val:0x7d92d8cb; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0xfb and fm1 == 0x12d8cb and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f19; op2:f20; dest:x19; op1val:0x7d92d8cb; op2val:0xfd204621; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfb and fm2 == 0x12d8cb and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f18; op2:f17; dest:x18; op1val:0x7f378efe; op2val:0x7d92d8cb; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f17; op2:f18; dest:x17; op1val:0x7f378efe; op2val:0xfe4ac669; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0xfb and fm1 == 0x12d8cb and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f16; op2:f15; dest:x16; op1val:0x7d92d8cb; op2val:0xff7fffff; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x12d8cb and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f15; op2:f16; dest:x15; op1val:0xff7fffff; op2val:0x7d92d8cb; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 0 and fe1 == 0xfb and fm1 == 0x12d8cb and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f14; op2:f13; dest:x14; op1val:0x7d92d8cb; op2val:0xfe4ac669; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f13; op2:f14; dest:x13; op1val:0x7f378efe; op2val:0xfe96fcf5; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f12; op2:f11; dest:x12; op1val:0xfe96fcf5; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f11; op2:f12; dest:x11; op1val:0x7f378efe; op2val:0xfee8e23e; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f10; op2:f9; dest:x10; op1val:0xfee8e23e; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f9; op2:f10; dest:x9; op1val:0x7f378efe; op2val:0xfeaf0937; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f8; op2:f7; dest:x8; op1val:0xfeaf0937; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f7; op2:f8; dest:x7; op1val:0x7f378efe; op2val:0x39e8a; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 0 and fe1 == 0x00 and fm1 == 0x02a825 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7a0dff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f6; op2:f5; dest:x6; op1val:0x2a825; op2val:0x7f7a0dff; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x02a825 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f5; op2:f6; dest:x5; op1val:0x7f7a0dff; op2val:0x2a825; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 0 and fe1 == 0x00 and fm1 == 0x02a825 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f4; op2:f3; dest:x4; op1val:0x2a825; op2val:0x39e8a; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x02a825 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f3; op2:f4; dest:x3; op1val:0x7f378efe; op2val:0x2a825; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f2; op2:f1; dest:x2; op1val:0x7f378efe; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f1; op2:f2; dest:x1; op1val:0x1a917b; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a917b and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f0; op2:f31; dest:x31; op1val:0x7f7fffff; op2val:0x1a917b; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f31; op2:f0; dest:x31; op1val:0x1a917b; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a917b and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x0; op1val:0x7f378efe; op2val:0x1a917b; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +test_dataset_1: +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(174117,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(174117,32,FLEN) +NAN_BOXED(174117,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(174117,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 16*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fmaxm.d_b1-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fmaxm.d_b1-01.S new file mode 100644 index 000000000..fe2b8d4f7 --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fmaxm.d_b1-01.S @@ -0,0 +1,5889 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:35:11 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fmaxm.d.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fmaxm.d instruction of the RISC-V RV64FD_Zicsr_Zfa extension for the fmaxm.d_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fmaxm.d_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 == rd != rs2, rs1==f31, rs2==f30, rd==f31,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f31; op2:f30; dest:f31; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f31, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f29, rs2==f31, rd==f30,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f29; op2:f31; dest:f30; op1val:0x0; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f30, f29, f31, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs2 == rd != rs1, rs1==f30, rs2==f29, rd==f29,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f29; op1val:0x0; op2val:0x1; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f29, f30, f29, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs1 == rs2 == rd, rs1==f28, rs2==f28, rd==f28,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f28; op2:f28; dest:f28; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f28, f28, f28, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs1 == rs2 != rd, rs1==f26, rs2==f26, rd==f27,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f26; op2:f26; dest:f27; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f27, f26, f26, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f27, rs2==f25, rd==f26,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f27; op2:f25; dest:f26; op1val:0x0; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f26, f27, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f24, rs2==f27, rd==f25,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f24; op2:f27; dest:f25; op1val:0x0; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f25, f24, f27, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f25, rs2==f23, rd==f24,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f25; op2:f23; dest:f24; op1val:0x0; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f24, f25, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f22, rs2==f24, rd==f23,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f22; op2:f24; dest:f23; op1val:0x0; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f23, f22, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f23, rs2==f21, rd==f22,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f23; op2:f21; dest:f22; op1val:0x0; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f22, f23, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f20, rs2==f22, rd==f21,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f20; op2:f22; dest:f21; op1val:0x0; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f21, f20, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f21, rs2==f19, rd==f20,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f21; op2:f19; dest:f20; op1val:0x0; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f20, f21, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f18, rs2==f20, rd==f19,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f18; op2:f20; dest:f19; op1val:0x0; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f19, f18, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f19, rs2==f17, rd==f18,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f19; op2:f17; dest:f18; op1val:0x0; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f18, f19, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f16, rs2==f18, rd==f17,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f16; op2:f18; dest:f17; op1val:0x0; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f17, f16, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f17, rs2==f15, rd==f16,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f17; op2:f15; dest:f16; op1val:0x0; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f16, f17, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f14, rs2==f16, rd==f15,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f14; op2:f16; dest:f15; op1val:0x0; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f15, f14, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f15, rs2==f13, rd==f14,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f15; op2:f13; dest:f14; op1val:0x0; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f14, f15, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f12, rs2==f14, rd==f13,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f12; op2:f14; dest:f13; op1val:0x0; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f13, f12, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f13, rs2==f11, rd==f12,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f13; op2:f11; dest:f12; op1val:0x0; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f12, f13, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f10, rs2==f12, rd==f11,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f10; op2:f12; dest:f11; op1val:0x0; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f11, f10, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f11, rs2==f9, rd==f10,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f11; op2:f9; dest:f10; op1val:0x0; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f10, f11, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f8, rs2==f10, rd==f9,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f8; op2:f10; dest:f9; op1val:0x0; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f9, f8, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f9, rs2==f7, rd==f8,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f9; op2:f7; dest:f8; op1val:0x0; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f8, f9, f7, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f6, rs2==f8, rd==f7,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f6; op2:f8; dest:f7; op1val:0x8000000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f7, f6, f8, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f7, rs2==f5, rd==f6,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f7; op2:f5; dest:f6; op1val:0x8000000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f6, f7, f5, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f4, rs2==f6, rd==f5,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f4; op2:f6; dest:f5; op1val:0x8000000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f5, f4, f6, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f5, rs2==f3, rd==f4,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f5; op2:f3; dest:f4; op1val:0x8000000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f4, f5, f3, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f2, rs2==f4, rd==f3,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f2; op2:f4; dest:f3; op1val:0x8000000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f3, f2, f4, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f3, rs2==f1, rd==f2,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f3; op2:f1; dest:f2; op1val:0x8000000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f2, f3, f1, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f0, rs2==f2, rd==f1,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f0; op2:f2; dest:f1; op1val:0x8000000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f1, f0, f2, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f1,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f1; op2:f30; dest:f31; op1val:0x8000000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f1, f30, 0, 0, x3, 62*FLEN/8, x4, x1, x2) + +inst_32: +// rs2==f0,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f0; dest:f31; op1val:0x8000000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:64*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f0, 0, 0, x3, 64*FLEN/8, x4, x1, x2) + +inst_33: +// rd==f0,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f31; op2:f30; dest:f0; op1val:0x8000000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:66*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f0, f31, f30, 0, 0, x3, 66*FLEN/8, x4, x1, x2) + +inst_34: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:68*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 68*FLEN/8, x4, x1, x2) + +inst_35: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:70*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 70*FLEN/8, x4, x1, x2) + +inst_36: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:72*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 72*FLEN/8, x4, x1, x2) + +inst_37: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:74*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 74*FLEN/8, x4, x1, x2) + +inst_38: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:76*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 76*FLEN/8, x4, x1, x2) + +inst_39: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:78*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 78*FLEN/8, x4, x1, x2) + +inst_40: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:80*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 80*FLEN/8, x4, x1, x2) + +inst_41: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:82*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 82*FLEN/8, x4, x1, x2) + +inst_42: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:84*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 84*FLEN/8, x4, x1, x2) + +inst_43: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:86*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 86*FLEN/8, x4, x1, x2) + +inst_44: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:88*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 88*FLEN/8, x4, x1, x2) + +inst_45: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:90*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 90*FLEN/8, x4, x1, x2) + +inst_46: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:92*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 92*FLEN/8, x4, x1, x2) + +inst_47: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:94*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 94*FLEN/8, x4, x1, x2) + +inst_48: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x0; + valaddr_reg:x3; val_offset:96*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 96*FLEN/8, x4, x1, x2) + +inst_49: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:98*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 98*FLEN/8, x4, x1, x2) + +inst_50: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x1; + valaddr_reg:x3; val_offset:100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 100*FLEN/8, x4, x1, x2) + +inst_51: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 102*FLEN/8, x4, x1, x2) + +inst_52: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x2; + valaddr_reg:x3; val_offset:104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 104*FLEN/8, x4, x1, x2) + +inst_53: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 106*FLEN/8, x4, x1, x2) + +inst_54: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 108*FLEN/8, x4, x1, x2) + +inst_55: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 110*FLEN/8, x4, x1, x2) + +inst_56: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 112*FLEN/8, x4, x1, x2) + +inst_57: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 114*FLEN/8, x4, x1, x2) + +inst_58: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 116*FLEN/8, x4, x1, x2) + +inst_59: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 118*FLEN/8, x4, x1, x2) + +inst_60: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 120*FLEN/8, x4, x1, x2) + +inst_61: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 122*FLEN/8, x4, x1, x2) + +inst_62: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 124*FLEN/8, x4, x1, x2) + +inst_63: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 126*FLEN/8, x4, x1, x2) + +inst_64: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 128*FLEN/8, x4, x1, x2) + +inst_65: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 130*FLEN/8, x4, x1, x2) + +inst_66: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 132*FLEN/8, x4, x1, x2) + +inst_67: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 134*FLEN/8, x4, x1, x2) + +inst_68: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 136*FLEN/8, x4, x1, x2) + +inst_69: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 138*FLEN/8, x4, x1, x2) + +inst_70: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 140*FLEN/8, x4, x1, x2) + +inst_71: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 142*FLEN/8, x4, x1, x2) + +inst_72: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x0; + valaddr_reg:x3; val_offset:144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 144*FLEN/8, x4, x1, x2) + +inst_73: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 146*FLEN/8, x4, x1, x2) + +inst_74: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x1; + valaddr_reg:x3; val_offset:148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 148*FLEN/8, x4, x1, x2) + +inst_75: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 150*FLEN/8, x4, x1, x2) + +inst_76: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x2; + valaddr_reg:x3; val_offset:152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 152*FLEN/8, x4, x1, x2) + +inst_77: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 154*FLEN/8, x4, x1, x2) + +inst_78: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 156*FLEN/8, x4, x1, x2) + +inst_79: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 158*FLEN/8, x4, x1, x2) + +inst_80: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 160*FLEN/8, x4, x1, x2) + +inst_81: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 162*FLEN/8, x4, x1, x2) + +inst_82: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 164*FLEN/8, x4, x1, x2) + +inst_83: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 166*FLEN/8, x4, x1, x2) + +inst_84: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 168*FLEN/8, x4, x1, x2) + +inst_85: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 170*FLEN/8, x4, x1, x2) + +inst_86: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 172*FLEN/8, x4, x1, x2) + +inst_87: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 174*FLEN/8, x4, x1, x2) + +inst_88: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 176*FLEN/8, x4, x1, x2) + +inst_89: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 178*FLEN/8, x4, x1, x2) + +inst_90: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 180*FLEN/8, x4, x1, x2) + +inst_91: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 182*FLEN/8, x4, x1, x2) + +inst_92: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 184*FLEN/8, x4, x1, x2) + +inst_93: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 186*FLEN/8, x4, x1, x2) + +inst_94: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 188*FLEN/8, x4, x1, x2) + +inst_95: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 190*FLEN/8, x4, x1, x2) + +inst_96: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x0; + valaddr_reg:x3; val_offset:192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 192*FLEN/8, x4, x1, x2) + +inst_97: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 194*FLEN/8, x4, x1, x2) + +inst_98: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x1; + valaddr_reg:x3; val_offset:196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 196*FLEN/8, x4, x1, x2) + +inst_99: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 198*FLEN/8, x4, x1, x2) + +inst_100: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x2; + valaddr_reg:x3; val_offset:200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 200*FLEN/8, x4, x1, x2) + +inst_101: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 202*FLEN/8, x4, x1, x2) + +inst_102: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 204*FLEN/8, x4, x1, x2) + +inst_103: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 206*FLEN/8, x4, x1, x2) + +inst_104: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 208*FLEN/8, x4, x1, x2) + +inst_105: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 210*FLEN/8, x4, x1, x2) + +inst_106: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 212*FLEN/8, x4, x1, x2) + +inst_107: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 214*FLEN/8, x4, x1, x2) + +inst_108: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 216*FLEN/8, x4, x1, x2) + +inst_109: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 218*FLEN/8, x4, x1, x2) + +inst_110: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 220*FLEN/8, x4, x1, x2) + +inst_111: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 222*FLEN/8, x4, x1, x2) + +inst_112: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 224*FLEN/8, x4, x1, x2) + +inst_113: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 226*FLEN/8, x4, x1, x2) + +inst_114: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 228*FLEN/8, x4, x1, x2) + +inst_115: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 230*FLEN/8, x4, x1, x2) + +inst_116: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 232*FLEN/8, x4, x1, x2) + +inst_117: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 234*FLEN/8, x4, x1, x2) + +inst_118: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 236*FLEN/8, x4, x1, x2) + +inst_119: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 238*FLEN/8, x4, x1, x2) + +inst_120: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x0; + valaddr_reg:x3; val_offset:240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 240*FLEN/8, x4, x1, x2) + +inst_121: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 242*FLEN/8, x4, x1, x2) + +inst_122: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x1; + valaddr_reg:x3; val_offset:244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 244*FLEN/8, x4, x1, x2) + +inst_123: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 246*FLEN/8, x4, x1, x2) + +inst_124: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x2; + valaddr_reg:x3; val_offset:248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 248*FLEN/8, x4, x1, x2) + +inst_125: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 250*FLEN/8, x4, x1, x2) + +inst_126: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 252*FLEN/8, x4, x1, x2) + +inst_127: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 254*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_2) + +inst_128: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 256*FLEN/8, x4, x1, x2) + +inst_129: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 258*FLEN/8, x4, x1, x2) + +inst_130: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 260*FLEN/8, x4, x1, x2) + +inst_131: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 262*FLEN/8, x4, x1, x2) + +inst_132: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 264*FLEN/8, x4, x1, x2) + +inst_133: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 266*FLEN/8, x4, x1, x2) + +inst_134: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 268*FLEN/8, x4, x1, x2) + +inst_135: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 270*FLEN/8, x4, x1, x2) + +inst_136: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 272*FLEN/8, x4, x1, x2) + +inst_137: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 274*FLEN/8, x4, x1, x2) + +inst_138: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 276*FLEN/8, x4, x1, x2) + +inst_139: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 278*FLEN/8, x4, x1, x2) + +inst_140: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 280*FLEN/8, x4, x1, x2) + +inst_141: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 282*FLEN/8, x4, x1, x2) + +inst_142: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 284*FLEN/8, x4, x1, x2) + +inst_143: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 286*FLEN/8, x4, x1, x2) + +inst_144: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x0; + valaddr_reg:x3; val_offset:288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 288*FLEN/8, x4, x1, x2) + +inst_145: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 290*FLEN/8, x4, x1, x2) + +inst_146: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x1; + valaddr_reg:x3; val_offset:292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 292*FLEN/8, x4, x1, x2) + +inst_147: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 294*FLEN/8, x4, x1, x2) + +inst_148: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x2; + valaddr_reg:x3; val_offset:296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 296*FLEN/8, x4, x1, x2) + +inst_149: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 298*FLEN/8, x4, x1, x2) + +inst_150: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 300*FLEN/8, x4, x1, x2) + +inst_151: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 302*FLEN/8, x4, x1, x2) + +inst_152: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 304*FLEN/8, x4, x1, x2) + +inst_153: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 306*FLEN/8, x4, x1, x2) + +inst_154: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 308*FLEN/8, x4, x1, x2) + +inst_155: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 310*FLEN/8, x4, x1, x2) + +inst_156: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 312*FLEN/8, x4, x1, x2) + +inst_157: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 314*FLEN/8, x4, x1, x2) + +inst_158: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 316*FLEN/8, x4, x1, x2) + +inst_159: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 318*FLEN/8, x4, x1, x2) + +inst_160: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 320*FLEN/8, x4, x1, x2) + +inst_161: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 322*FLEN/8, x4, x1, x2) + +inst_162: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 324*FLEN/8, x4, x1, x2) + +inst_163: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 326*FLEN/8, x4, x1, x2) + +inst_164: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 328*FLEN/8, x4, x1, x2) + +inst_165: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 330*FLEN/8, x4, x1, x2) + +inst_166: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 332*FLEN/8, x4, x1, x2) + +inst_167: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 334*FLEN/8, x4, x1, x2) + +inst_168: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x0; + valaddr_reg:x3; val_offset:336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 336*FLEN/8, x4, x1, x2) + +inst_169: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 338*FLEN/8, x4, x1, x2) + +inst_170: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x1; + valaddr_reg:x3; val_offset:340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 340*FLEN/8, x4, x1, x2) + +inst_171: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 342*FLEN/8, x4, x1, x2) + +inst_172: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x2; + valaddr_reg:x3; val_offset:344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 344*FLEN/8, x4, x1, x2) + +inst_173: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 346*FLEN/8, x4, x1, x2) + +inst_174: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 348*FLEN/8, x4, x1, x2) + +inst_175: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 350*FLEN/8, x4, x1, x2) + +inst_176: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 352*FLEN/8, x4, x1, x2) + +inst_177: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 354*FLEN/8, x4, x1, x2) + +inst_178: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 356*FLEN/8, x4, x1, x2) + +inst_179: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 358*FLEN/8, x4, x1, x2) + +inst_180: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 360*FLEN/8, x4, x1, x2) + +inst_181: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 362*FLEN/8, x4, x1, x2) + +inst_182: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 364*FLEN/8, x4, x1, x2) + +inst_183: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 366*FLEN/8, x4, x1, x2) + +inst_184: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 368*FLEN/8, x4, x1, x2) + +inst_185: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 370*FLEN/8, x4, x1, x2) + +inst_186: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 372*FLEN/8, x4, x1, x2) + +inst_187: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 374*FLEN/8, x4, x1, x2) + +inst_188: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 376*FLEN/8, x4, x1, x2) + +inst_189: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 378*FLEN/8, x4, x1, x2) + +inst_190: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 380*FLEN/8, x4, x1, x2) + +inst_191: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 382*FLEN/8, x4, x1, x2) + +inst_192: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 384*FLEN/8, x4, x1, x2) + +inst_193: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 386*FLEN/8, x4, x1, x2) + +inst_194: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 388*FLEN/8, x4, x1, x2) + +inst_195: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 390*FLEN/8, x4, x1, x2) + +inst_196: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 392*FLEN/8, x4, x1, x2) + +inst_197: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 394*FLEN/8, x4, x1, x2) + +inst_198: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 396*FLEN/8, x4, x1, x2) + +inst_199: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 398*FLEN/8, x4, x1, x2) + +inst_200: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 400*FLEN/8, x4, x1, x2) + +inst_201: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 402*FLEN/8, x4, x1, x2) + +inst_202: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 404*FLEN/8, x4, x1, x2) + +inst_203: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 406*FLEN/8, x4, x1, x2) + +inst_204: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 408*FLEN/8, x4, x1, x2) + +inst_205: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 410*FLEN/8, x4, x1, x2) + +inst_206: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 412*FLEN/8, x4, x1, x2) + +inst_207: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 414*FLEN/8, x4, x1, x2) + +inst_208: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 416*FLEN/8, x4, x1, x2) + +inst_209: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 418*FLEN/8, x4, x1, x2) + +inst_210: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 420*FLEN/8, x4, x1, x2) + +inst_211: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 422*FLEN/8, x4, x1, x2) + +inst_212: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 424*FLEN/8, x4, x1, x2) + +inst_213: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 426*FLEN/8, x4, x1, x2) + +inst_214: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 428*FLEN/8, x4, x1, x2) + +inst_215: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 430*FLEN/8, x4, x1, x2) + +inst_216: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 432*FLEN/8, x4, x1, x2) + +inst_217: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 434*FLEN/8, x4, x1, x2) + +inst_218: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 436*FLEN/8, x4, x1, x2) + +inst_219: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 438*FLEN/8, x4, x1, x2) + +inst_220: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 440*FLEN/8, x4, x1, x2) + +inst_221: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 442*FLEN/8, x4, x1, x2) + +inst_222: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 444*FLEN/8, x4, x1, x2) + +inst_223: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 446*FLEN/8, x4, x1, x2) + +inst_224: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 448*FLEN/8, x4, x1, x2) + +inst_225: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 450*FLEN/8, x4, x1, x2) + +inst_226: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 452*FLEN/8, x4, x1, x2) + +inst_227: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 454*FLEN/8, x4, x1, x2) + +inst_228: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 456*FLEN/8, x4, x1, x2) + +inst_229: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 458*FLEN/8, x4, x1, x2) + +inst_230: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 460*FLEN/8, x4, x1, x2) + +inst_231: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 462*FLEN/8, x4, x1, x2) + +inst_232: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 464*FLEN/8, x4, x1, x2) + +inst_233: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 466*FLEN/8, x4, x1, x2) + +inst_234: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 468*FLEN/8, x4, x1, x2) + +inst_235: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 470*FLEN/8, x4, x1, x2) + +inst_236: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 472*FLEN/8, x4, x1, x2) + +inst_237: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 474*FLEN/8, x4, x1, x2) + +inst_238: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 476*FLEN/8, x4, x1, x2) + +inst_239: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 478*FLEN/8, x4, x1, x2) + +inst_240: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x0; + valaddr_reg:x3; val_offset:480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 480*FLEN/8, x4, x1, x2) + +inst_241: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 482*FLEN/8, x4, x1, x2) + +inst_242: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x1; + valaddr_reg:x3; val_offset:484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 484*FLEN/8, x4, x1, x2) + +inst_243: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 486*FLEN/8, x4, x1, x2) + +inst_244: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x2; + valaddr_reg:x3; val_offset:488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 488*FLEN/8, x4, x1, x2) + +inst_245: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 490*FLEN/8, x4, x1, x2) + +inst_246: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 492*FLEN/8, x4, x1, x2) + +inst_247: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 494*FLEN/8, x4, x1, x2) + +inst_248: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 496*FLEN/8, x4, x1, x2) + +inst_249: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 498*FLEN/8, x4, x1, x2) + +inst_250: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 500*FLEN/8, x4, x1, x2) + +inst_251: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 502*FLEN/8, x4, x1, x2) + +inst_252: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 504*FLEN/8, x4, x1, x2) + +inst_253: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 506*FLEN/8, x4, x1, x2) + +inst_254: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 508*FLEN/8, x4, x1, x2) + +inst_255: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 510*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_3) + +inst_256: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 512*FLEN/8, x4, x1, x2) + +inst_257: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 514*FLEN/8, x4, x1, x2) + +inst_258: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 516*FLEN/8, x4, x1, x2) + +inst_259: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 518*FLEN/8, x4, x1, x2) + +inst_260: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 520*FLEN/8, x4, x1, x2) + +inst_261: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 522*FLEN/8, x4, x1, x2) + +inst_262: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 524*FLEN/8, x4, x1, x2) + +inst_263: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 526*FLEN/8, x4, x1, x2) + +inst_264: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x0; + valaddr_reg:x3; val_offset:528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 528*FLEN/8, x4, x1, x2) + +inst_265: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 530*FLEN/8, x4, x1, x2) + +inst_266: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x1; + valaddr_reg:x3; val_offset:532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 532*FLEN/8, x4, x1, x2) + +inst_267: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 534*FLEN/8, x4, x1, x2) + +inst_268: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x2; + valaddr_reg:x3; val_offset:536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 536*FLEN/8, x4, x1, x2) + +inst_269: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 538*FLEN/8, x4, x1, x2) + +inst_270: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 540*FLEN/8, x4, x1, x2) + +inst_271: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 542*FLEN/8, x4, x1, x2) + +inst_272: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 544*FLEN/8, x4, x1, x2) + +inst_273: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 546*FLEN/8, x4, x1, x2) + +inst_274: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 548*FLEN/8, x4, x1, x2) + +inst_275: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 550*FLEN/8, x4, x1, x2) + +inst_276: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 552*FLEN/8, x4, x1, x2) + +inst_277: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 554*FLEN/8, x4, x1, x2) + +inst_278: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 556*FLEN/8, x4, x1, x2) + +inst_279: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 558*FLEN/8, x4, x1, x2) + +inst_280: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 560*FLEN/8, x4, x1, x2) + +inst_281: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 562*FLEN/8, x4, x1, x2) + +inst_282: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 564*FLEN/8, x4, x1, x2) + +inst_283: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 566*FLEN/8, x4, x1, x2) + +inst_284: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 568*FLEN/8, x4, x1, x2) + +inst_285: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 570*FLEN/8, x4, x1, x2) + +inst_286: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 572*FLEN/8, x4, x1, x2) + +inst_287: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 574*FLEN/8, x4, x1, x2) + +inst_288: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x0; + valaddr_reg:x3; val_offset:576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 576*FLEN/8, x4, x1, x2) + +inst_289: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 578*FLEN/8, x4, x1, x2) + +inst_290: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x1; + valaddr_reg:x3; val_offset:580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 580*FLEN/8, x4, x1, x2) + +inst_291: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 582*FLEN/8, x4, x1, x2) + +inst_292: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x2; + valaddr_reg:x3; val_offset:584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 584*FLEN/8, x4, x1, x2) + +inst_293: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 586*FLEN/8, x4, x1, x2) + +inst_294: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 588*FLEN/8, x4, x1, x2) + +inst_295: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 590*FLEN/8, x4, x1, x2) + +inst_296: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 592*FLEN/8, x4, x1, x2) + +inst_297: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 594*FLEN/8, x4, x1, x2) + +inst_298: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 596*FLEN/8, x4, x1, x2) + +inst_299: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 598*FLEN/8, x4, x1, x2) + +inst_300: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 600*FLEN/8, x4, x1, x2) + +inst_301: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 602*FLEN/8, x4, x1, x2) + +inst_302: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 604*FLEN/8, x4, x1, x2) + +inst_303: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 606*FLEN/8, x4, x1, x2) + +inst_304: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 608*FLEN/8, x4, x1, x2) + +inst_305: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 610*FLEN/8, x4, x1, x2) + +inst_306: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 612*FLEN/8, x4, x1, x2) + +inst_307: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 614*FLEN/8, x4, x1, x2) + +inst_308: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 616*FLEN/8, x4, x1, x2) + +inst_309: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 618*FLEN/8, x4, x1, x2) + +inst_310: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 620*FLEN/8, x4, x1, x2) + +inst_311: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 622*FLEN/8, x4, x1, x2) + +inst_312: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x0; + valaddr_reg:x3; val_offset:624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 624*FLEN/8, x4, x1, x2) + +inst_313: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 626*FLEN/8, x4, x1, x2) + +inst_314: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x1; + valaddr_reg:x3; val_offset:628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 628*FLEN/8, x4, x1, x2) + +inst_315: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 630*FLEN/8, x4, x1, x2) + +inst_316: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x2; + valaddr_reg:x3; val_offset:632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 632*FLEN/8, x4, x1, x2) + +inst_317: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 634*FLEN/8, x4, x1, x2) + +inst_318: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 636*FLEN/8, x4, x1, x2) + +inst_319: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 638*FLEN/8, x4, x1, x2) + +inst_320: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 640*FLEN/8, x4, x1, x2) + +inst_321: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 642*FLEN/8, x4, x1, x2) + +inst_322: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 644*FLEN/8, x4, x1, x2) + +inst_323: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 646*FLEN/8, x4, x1, x2) + +inst_324: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 648*FLEN/8, x4, x1, x2) + +inst_325: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 650*FLEN/8, x4, x1, x2) + +inst_326: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 652*FLEN/8, x4, x1, x2) + +inst_327: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 654*FLEN/8, x4, x1, x2) + +inst_328: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 656*FLEN/8, x4, x1, x2) + +inst_329: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 658*FLEN/8, x4, x1, x2) + +inst_330: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 660*FLEN/8, x4, x1, x2) + +inst_331: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 662*FLEN/8, x4, x1, x2) + +inst_332: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 664*FLEN/8, x4, x1, x2) + +inst_333: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 666*FLEN/8, x4, x1, x2) + +inst_334: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 668*FLEN/8, x4, x1, x2) + +inst_335: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 670*FLEN/8, x4, x1, x2) + +inst_336: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 672*FLEN/8, x4, x1, x2) + +inst_337: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 674*FLEN/8, x4, x1, x2) + +inst_338: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 676*FLEN/8, x4, x1, x2) + +inst_339: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 678*FLEN/8, x4, x1, x2) + +inst_340: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 680*FLEN/8, x4, x1, x2) + +inst_341: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 682*FLEN/8, x4, x1, x2) + +inst_342: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 684*FLEN/8, x4, x1, x2) + +inst_343: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 686*FLEN/8, x4, x1, x2) + +inst_344: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 688*FLEN/8, x4, x1, x2) + +inst_345: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 690*FLEN/8, x4, x1, x2) + +inst_346: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 692*FLEN/8, x4, x1, x2) + +inst_347: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 694*FLEN/8, x4, x1, x2) + +inst_348: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 696*FLEN/8, x4, x1, x2) + +inst_349: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 698*FLEN/8, x4, x1, x2) + +inst_350: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 700*FLEN/8, x4, x1, x2) + +inst_351: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 702*FLEN/8, x4, x1, x2) + +inst_352: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 704*FLEN/8, x4, x1, x2) + +inst_353: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 706*FLEN/8, x4, x1, x2) + +inst_354: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 708*FLEN/8, x4, x1, x2) + +inst_355: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 710*FLEN/8, x4, x1, x2) + +inst_356: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 712*FLEN/8, x4, x1, x2) + +inst_357: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 714*FLEN/8, x4, x1, x2) + +inst_358: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 716*FLEN/8, x4, x1, x2) + +inst_359: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 718*FLEN/8, x4, x1, x2) + +inst_360: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 720*FLEN/8, x4, x1, x2) + +inst_361: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 722*FLEN/8, x4, x1, x2) + +inst_362: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 724*FLEN/8, x4, x1, x2) + +inst_363: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 726*FLEN/8, x4, x1, x2) + +inst_364: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 728*FLEN/8, x4, x1, x2) + +inst_365: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 730*FLEN/8, x4, x1, x2) + +inst_366: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 732*FLEN/8, x4, x1, x2) + +inst_367: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 734*FLEN/8, x4, x1, x2) + +inst_368: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 736*FLEN/8, x4, x1, x2) + +inst_369: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 738*FLEN/8, x4, x1, x2) + +inst_370: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 740*FLEN/8, x4, x1, x2) + +inst_371: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 742*FLEN/8, x4, x1, x2) + +inst_372: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 744*FLEN/8, x4, x1, x2) + +inst_373: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 746*FLEN/8, x4, x1, x2) + +inst_374: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 748*FLEN/8, x4, x1, x2) + +inst_375: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 750*FLEN/8, x4, x1, x2) + +inst_376: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 752*FLEN/8, x4, x1, x2) + +inst_377: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 754*FLEN/8, x4, x1, x2) + +inst_378: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 756*FLEN/8, x4, x1, x2) + +inst_379: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 758*FLEN/8, x4, x1, x2) + +inst_380: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 760*FLEN/8, x4, x1, x2) + +inst_381: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 762*FLEN/8, x4, x1, x2) + +inst_382: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 764*FLEN/8, x4, x1, x2) + +inst_383: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 766*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_4) + +inst_384: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 768*FLEN/8, x4, x1, x2) + +inst_385: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 770*FLEN/8, x4, x1, x2) + +inst_386: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 772*FLEN/8, x4, x1, x2) + +inst_387: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 774*FLEN/8, x4, x1, x2) + +inst_388: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 776*FLEN/8, x4, x1, x2) + +inst_389: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 778*FLEN/8, x4, x1, x2) + +inst_390: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 780*FLEN/8, x4, x1, x2) + +inst_391: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 782*FLEN/8, x4, x1, x2) + +inst_392: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 784*FLEN/8, x4, x1, x2) + +inst_393: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 786*FLEN/8, x4, x1, x2) + +inst_394: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 788*FLEN/8, x4, x1, x2) + +inst_395: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 790*FLEN/8, x4, x1, x2) + +inst_396: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 792*FLEN/8, x4, x1, x2) + +inst_397: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 794*FLEN/8, x4, x1, x2) + +inst_398: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 796*FLEN/8, x4, x1, x2) + +inst_399: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 798*FLEN/8, x4, x1, x2) + +inst_400: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 800*FLEN/8, x4, x1, x2) + +inst_401: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 802*FLEN/8, x4, x1, x2) + +inst_402: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 804*FLEN/8, x4, x1, x2) + +inst_403: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 806*FLEN/8, x4, x1, x2) + +inst_404: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 808*FLEN/8, x4, x1, x2) + +inst_405: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 810*FLEN/8, x4, x1, x2) + +inst_406: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 812*FLEN/8, x4, x1, x2) + +inst_407: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 814*FLEN/8, x4, x1, x2) + +inst_408: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 816*FLEN/8, x4, x1, x2) + +inst_409: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 818*FLEN/8, x4, x1, x2) + +inst_410: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 820*FLEN/8, x4, x1, x2) + +inst_411: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 822*FLEN/8, x4, x1, x2) + +inst_412: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 824*FLEN/8, x4, x1, x2) + +inst_413: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 826*FLEN/8, x4, x1, x2) + +inst_414: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 828*FLEN/8, x4, x1, x2) + +inst_415: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 830*FLEN/8, x4, x1, x2) + +inst_416: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 832*FLEN/8, x4, x1, x2) + +inst_417: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 834*FLEN/8, x4, x1, x2) + +inst_418: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 836*FLEN/8, x4, x1, x2) + +inst_419: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 838*FLEN/8, x4, x1, x2) + +inst_420: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 840*FLEN/8, x4, x1, x2) + +inst_421: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 842*FLEN/8, x4, x1, x2) + +inst_422: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 844*FLEN/8, x4, x1, x2) + +inst_423: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 846*FLEN/8, x4, x1, x2) + +inst_424: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 848*FLEN/8, x4, x1, x2) + +inst_425: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 850*FLEN/8, x4, x1, x2) + +inst_426: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 852*FLEN/8, x4, x1, x2) + +inst_427: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 854*FLEN/8, x4, x1, x2) + +inst_428: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 856*FLEN/8, x4, x1, x2) + +inst_429: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 858*FLEN/8, x4, x1, x2) + +inst_430: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 860*FLEN/8, x4, x1, x2) + +inst_431: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 862*FLEN/8, x4, x1, x2) + +inst_432: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x0; + valaddr_reg:x3; val_offset:864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 864*FLEN/8, x4, x1, x2) + +inst_433: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 866*FLEN/8, x4, x1, x2) + +inst_434: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x1; + valaddr_reg:x3; val_offset:868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 868*FLEN/8, x4, x1, x2) + +inst_435: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 870*FLEN/8, x4, x1, x2) + +inst_436: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x2; + valaddr_reg:x3; val_offset:872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 872*FLEN/8, x4, x1, x2) + +inst_437: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 874*FLEN/8, x4, x1, x2) + +inst_438: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 876*FLEN/8, x4, x1, x2) + +inst_439: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 878*FLEN/8, x4, x1, x2) + +inst_440: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 880*FLEN/8, x4, x1, x2) + +inst_441: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 882*FLEN/8, x4, x1, x2) + +inst_442: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 884*FLEN/8, x4, x1, x2) + +inst_443: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 886*FLEN/8, x4, x1, x2) + +inst_444: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 888*FLEN/8, x4, x1, x2) + +inst_445: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 890*FLEN/8, x4, x1, x2) + +inst_446: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 892*FLEN/8, x4, x1, x2) + +inst_447: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 894*FLEN/8, x4, x1, x2) + +inst_448: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 896*FLEN/8, x4, x1, x2) + +inst_449: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 898*FLEN/8, x4, x1, x2) + +inst_450: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 900*FLEN/8, x4, x1, x2) + +inst_451: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 902*FLEN/8, x4, x1, x2) + +inst_452: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 904*FLEN/8, x4, x1, x2) + +inst_453: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 906*FLEN/8, x4, x1, x2) + +inst_454: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 908*FLEN/8, x4, x1, x2) + +inst_455: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 910*FLEN/8, x4, x1, x2) + +inst_456: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x0; + valaddr_reg:x3; val_offset:912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 912*FLEN/8, x4, x1, x2) + +inst_457: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 914*FLEN/8, x4, x1, x2) + +inst_458: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x1; + valaddr_reg:x3; val_offset:916*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 916*FLEN/8, x4, x1, x2) + +inst_459: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:918*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 918*FLEN/8, x4, x1, x2) + +inst_460: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x2; + valaddr_reg:x3; val_offset:920*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 920*FLEN/8, x4, x1, x2) + +inst_461: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:922*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 922*FLEN/8, x4, x1, x2) + +inst_462: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:924*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 924*FLEN/8, x4, x1, x2) + +inst_463: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:926*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 926*FLEN/8, x4, x1, x2) + +inst_464: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:928*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 928*FLEN/8, x4, x1, x2) + +inst_465: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:930*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 930*FLEN/8, x4, x1, x2) + +inst_466: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:932*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 932*FLEN/8, x4, x1, x2) + +inst_467: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:934*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 934*FLEN/8, x4, x1, x2) + +inst_468: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:936*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 936*FLEN/8, x4, x1, x2) + +inst_469: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:938*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 938*FLEN/8, x4, x1, x2) + +inst_470: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:940*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 940*FLEN/8, x4, x1, x2) + +inst_471: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:942*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 942*FLEN/8, x4, x1, x2) + +inst_472: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:944*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 944*FLEN/8, x4, x1, x2) + +inst_473: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:946*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 946*FLEN/8, x4, x1, x2) + +inst_474: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:948*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 948*FLEN/8, x4, x1, x2) + +inst_475: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:950*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 950*FLEN/8, x4, x1, x2) + +inst_476: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:952*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 952*FLEN/8, x4, x1, x2) + +inst_477: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:954*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 954*FLEN/8, x4, x1, x2) + +inst_478: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:956*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 956*FLEN/8, x4, x1, x2) + +inst_479: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:958*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 958*FLEN/8, x4, x1, x2) + +inst_480: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x0; + valaddr_reg:x3; val_offset:960*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 960*FLEN/8, x4, x1, x2) + +inst_481: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:962*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 962*FLEN/8, x4, x1, x2) + +inst_482: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x1; + valaddr_reg:x3; val_offset:964*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 964*FLEN/8, x4, x1, x2) + +inst_483: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:966*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 966*FLEN/8, x4, x1, x2) + +inst_484: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x2; + valaddr_reg:x3; val_offset:968*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 968*FLEN/8, x4, x1, x2) + +inst_485: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:970*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 970*FLEN/8, x4, x1, x2) + +inst_486: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:972*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 972*FLEN/8, x4, x1, x2) + +inst_487: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:974*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 974*FLEN/8, x4, x1, x2) + +inst_488: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:976*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 976*FLEN/8, x4, x1, x2) + +inst_489: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:978*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 978*FLEN/8, x4, x1, x2) + +inst_490: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:980*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 980*FLEN/8, x4, x1, x2) + +inst_491: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:982*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 982*FLEN/8, x4, x1, x2) + +inst_492: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:984*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 984*FLEN/8, x4, x1, x2) + +inst_493: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:986*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 986*FLEN/8, x4, x1, x2) + +inst_494: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:988*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 988*FLEN/8, x4, x1, x2) + +inst_495: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:990*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 990*FLEN/8, x4, x1, x2) + +inst_496: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:992*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 992*FLEN/8, x4, x1, x2) + +inst_497: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:994*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 994*FLEN/8, x4, x1, x2) + +inst_498: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:996*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 996*FLEN/8, x4, x1, x2) + +inst_499: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:998*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 998*FLEN/8, x4, x1, x2) + +inst_500: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:1000*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1000*FLEN/8, x4, x1, x2) + +inst_501: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:1002*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1002*FLEN/8, x4, x1, x2) + +inst_502: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:1004*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1004*FLEN/8, x4, x1, x2) + +inst_503: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:1006*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1006*FLEN/8, x4, x1, x2) + +inst_504: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x0; + valaddr_reg:x3; val_offset:1008*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1008*FLEN/8, x4, x1, x2) + +inst_505: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:1010*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1010*FLEN/8, x4, x1, x2) + +inst_506: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x1; + valaddr_reg:x3; val_offset:1012*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1012*FLEN/8, x4, x1, x2) + +inst_507: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:1014*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1014*FLEN/8, x4, x1, x2) + +inst_508: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x2; + valaddr_reg:x3; val_offset:1016*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1016*FLEN/8, x4, x1, x2) + +inst_509: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:1018*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1018*FLEN/8, x4, x1, x2) + +inst_510: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:1020*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1020*FLEN/8, x4, x1, x2) + +inst_511: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:1022*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1022*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_5) + +inst_512: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:1024*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1024*FLEN/8, x4, x1, x2) + +inst_513: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:1026*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1026*FLEN/8, x4, x1, x2) + +inst_514: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:1028*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1028*FLEN/8, x4, x1, x2) + +inst_515: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:1030*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1030*FLEN/8, x4, x1, x2) + +inst_516: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:1032*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1032*FLEN/8, x4, x1, x2) + +inst_517: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:1034*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1034*FLEN/8, x4, x1, x2) + +inst_518: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1036*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1036*FLEN/8, x4, x1, x2) + +inst_519: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1038*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1038*FLEN/8, x4, x1, x2) + +inst_520: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:1040*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1040*FLEN/8, x4, x1, x2) + +inst_521: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:1042*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1042*FLEN/8, x4, x1, x2) + +inst_522: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:1044*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1044*FLEN/8, x4, x1, x2) + +inst_523: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:1046*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1046*FLEN/8, x4, x1, x2) + +inst_524: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:1048*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1048*FLEN/8, x4, x1, x2) + +inst_525: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:1050*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1050*FLEN/8, x4, x1, x2) + +inst_526: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:1052*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1052*FLEN/8, x4, x1, x2) + +inst_527: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:1054*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1054*FLEN/8, x4, x1, x2) + +inst_528: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:1056*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1056*FLEN/8, x4, x1, x2) + +inst_529: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:1058*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1058*FLEN/8, x4, x1, x2) + +inst_530: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:1060*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1060*FLEN/8, x4, x1, x2) + +inst_531: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:1062*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1062*FLEN/8, x4, x1, x2) + +inst_532: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:1064*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1064*FLEN/8, x4, x1, x2) + +inst_533: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:1066*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1066*FLEN/8, x4, x1, x2) + +inst_534: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:1068*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1068*FLEN/8, x4, x1, x2) + +inst_535: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:1070*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1070*FLEN/8, x4, x1, x2) + +inst_536: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:1072*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1072*FLEN/8, x4, x1, x2) + +inst_537: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:1074*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1074*FLEN/8, x4, x1, x2) + +inst_538: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:1076*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1076*FLEN/8, x4, x1, x2) + +inst_539: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:1078*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1078*FLEN/8, x4, x1, x2) + +inst_540: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:1080*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1080*FLEN/8, x4, x1, x2) + +inst_541: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:1082*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1082*FLEN/8, x4, x1, x2) + +inst_542: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1084*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1084*FLEN/8, x4, x1, x2) + +inst_543: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1086*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1086*FLEN/8, x4, x1, x2) + +inst_544: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:1088*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1088*FLEN/8, x4, x1, x2) + +inst_545: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:1090*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1090*FLEN/8, x4, x1, x2) + +inst_546: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:1092*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1092*FLEN/8, x4, x1, x2) + +inst_547: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:1094*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1094*FLEN/8, x4, x1, x2) + +inst_548: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:1096*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1096*FLEN/8, x4, x1, x2) + +inst_549: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:1098*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1098*FLEN/8, x4, x1, x2) + +inst_550: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:1100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1100*FLEN/8, x4, x1, x2) + +inst_551: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:1102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1102*FLEN/8, x4, x1, x2) + +inst_552: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:1104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1104*FLEN/8, x4, x1, x2) + +inst_553: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:1106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1106*FLEN/8, x4, x1, x2) + +inst_554: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:1108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1108*FLEN/8, x4, x1, x2) + +inst_555: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:1110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1110*FLEN/8, x4, x1, x2) + +inst_556: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:1112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1112*FLEN/8, x4, x1, x2) + +inst_557: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:1114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1114*FLEN/8, x4, x1, x2) + +inst_558: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:1116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1116*FLEN/8, x4, x1, x2) + +inst_559: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:1118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1118*FLEN/8, x4, x1, x2) + +inst_560: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:1120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1120*FLEN/8, x4, x1, x2) + +inst_561: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:1122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1122*FLEN/8, x4, x1, x2) + +inst_562: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:1124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1124*FLEN/8, x4, x1, x2) + +inst_563: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:1126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1126*FLEN/8, x4, x1, x2) + +inst_564: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:1128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1128*FLEN/8, x4, x1, x2) + +inst_565: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:1130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1130*FLEN/8, x4, x1, x2) + +inst_566: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1132*FLEN/8, x4, x1, x2) + +inst_567: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1134*FLEN/8, x4, x1, x2) + +inst_568: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:1136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1136*FLEN/8, x4, x1, x2) + +inst_569: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:1138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1138*FLEN/8, x4, x1, x2) + +inst_570: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:1140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1140*FLEN/8, x4, x1, x2) + +inst_571: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:1142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1142*FLEN/8, x4, x1, x2) + +inst_572: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:1144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1144*FLEN/8, x4, x1, x2) + +inst_573: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:1146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1146*FLEN/8, x4, x1, x2) + +inst_574: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:1148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1148*FLEN/8, x4, x1, x2) + +inst_575: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:1150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1150*FLEN/8, x4, x1, x2) + +inst_576: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:1152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1152*FLEN/8, x4, x1, x2) + +inst_577: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x2; + valaddr_reg:x3; val_offset:1154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1154*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_5: + .fill 132*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fmaxm.d_b19-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fmaxm.d_b19-01.S new file mode 100644 index 000000000..df2ce2fbc --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fmaxm.d_b19-01.S @@ -0,0 +1,11279 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:35:11 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fmaxm.d.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fmaxm.d instruction of the RISC-V RV64FD_Zicsr_Zfa extension for the fmaxm.d_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fmaxm.d_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 == rd != rs2, rs1==f31, rs2==f30, rd==f31,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f31; op2:f30; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f31, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f29, rs2==f31, rd==f30,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f29; op2:f31; dest:f30; op1val:0x7fc132d8f91b7583; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f30, f29, f31, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs2 == rd != rs1, rs1==f30, rs2==f29, rd==f29,fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f29; op1val:0x7fdfb5355e167379; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f29, f30, f29, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs1 == rs2 == rd, rs1==f28, rs2==f28, rd==f28,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f28; op2:f28; dest:f28; op1val:0x7fc132d8f91b7583; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f28, f28, f28, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs1 == rs2 != rd, rs1==f26, rs2==f26, rd==f27,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f26; op2:f26; dest:f27; op1val:0x7fb8072e8f9c858f; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f27, f26, f26, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f27, rs2==f25, rd==f26,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f27; op2:f25; dest:f26; op1val:0x7fc132d8f91b7583; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f26, f27, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f24, rs2==f27, rd==f25,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x383adc274749d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f24; op2:f27; dest:f25; op1val:0x7ff0000000000000; op2val:0x7fb383adc274749d; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f25, f24, f27, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f25, rs2==f23, rd==f24,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x383adc274749d and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f25; op2:f23; dest:f24; op1val:0x7fb383adc274749d; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f24, f25, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f22, rs2==f24, rd==f23,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f22; op2:f24; dest:f23; op1val:0x7ff0000000000000; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f23, f22, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f23, rs2==f21, rd==f22,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f23; op2:f21; dest:f22; op1val:0x7fc132d8f91b7583; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f22, f23, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f20, rs2==f22, rd==f21,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f20; op2:f22; dest:f21; op1val:0x7fc132d8f91b7583; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f21, f20, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f21, rs2==f19, rd==f20,fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f21; op2:f19; dest:f20; op1val:0x7fcd481499755d4b; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f20, f21, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f18, rs2==f20, rd==f19,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f18; op2:f20; dest:f19; op1val:0x7fc132d8f91b7583; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f19, f18, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f19, rs2==f17, rd==f18,fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f19; op2:f17; dest:f18; op1val:0xffc3874a9329ec20; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f18, f19, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f16, rs2==f18, rd==f17,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f16; op2:f18; dest:f17; op1val:0x7fc132d8f91b7583; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f17, f16, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f17, rs2==f15, rd==f16,fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f17; op2:f15; dest:f16; op1val:0xffe19dc4ea1c6bbe; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f16, f17, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f14, rs2==f16, rd==f15,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f14; op2:f16; dest:f15; op1val:0x7fc132d8f91b7583; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f15, f14, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f15, rs2==f13, rd==f14,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x8dfd26d2431d6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f15; op2:f13; dest:f14; op1val:0x7ff0000000000000; op2val:0xffb8dfd26d2431d6; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f14, f15, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f12, rs2==f14, rd==f13,fs1 == 1 and fe1 == 0x7fb and fm1 == 0x8dfd26d2431d6 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f12; op2:f14; dest:f13; op1val:0xffb8dfd26d2431d6; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f13, f12, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f13, rs2==f11, rd==f12,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f13; op2:f11; dest:f12; op1val:0x7ff0000000000000; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f12, f13, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f10, rs2==f12, rd==f11,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f10; op2:f12; dest:f11; op1val:0x7fc132d8f91b7583; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f11, f10, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f11, rs2==f9, rd==f10,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x98bcc3a92c611 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f11; op2:f9; dest:f10; op1val:0x7ff0000000000000; op2val:0xffb98bcc3a92c611; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f10, f11, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f8, rs2==f10, rd==f9,fs1 == 1 and fe1 == 0x7fb and fm1 == 0x98bcc3a92c611 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f8; op2:f10; dest:f9; op1val:0xffb98bcc3a92c611; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f9, f8, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f9, rs2==f7, rd==f8,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f9; op2:f7; dest:f8; op1val:0x7ff0000000000000; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f8, f9, f7, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f6, rs2==f8, rd==f7,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f6; op2:f8; dest:f7; op1val:0x7fc132d8f91b7583; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f7, f6, f8, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f7, rs2==f5, rd==f6,fs1 == 0 and fe1 == 0x7f8 and fm1 == 0xb848e5b5f226b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x1836cb3e931a8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f7; op2:f5; dest:f6; op1val:0x7f8b848e5b5f226b; op2val:0xffe1836cb3e931a8; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f6, f7, f5, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f4, rs2==f6, rd==f5,fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0xb848e5b5f226b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f4; op2:f6; dest:f5; op1val:0xffe1836cb3e931a8; op2val:0x7f8b848e5b5f226b; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f5, f4, f6, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f5, rs2==f3, rd==f4,fs1 == 0 and fe1 == 0x7f8 and fm1 == 0xb848e5b5f226b and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f5; op2:f3; dest:f4; op1val:0x7f8b848e5b5f226b; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f4, f5, f3, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f2, rs2==f4, rd==f3,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0xb848e5b5f226b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f2; op2:f4; dest:f3; op1val:0x7fc132d8f91b7583; op2val:0x7f8b848e5b5f226b; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f3, f2, f4, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f3, rs2==f1, rd==f2,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f3; op2:f1; dest:f2; op1val:0x7fc132d8f91b7583; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f2, f3, f1, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f0, rs2==f2, rd==f1,fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x833777722304f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f0; op2:f2; dest:f1; op1val:0x115e76ceed9d88; op2val:0x7fb833777722304f; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f1, f0, f2, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f1,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 0 and fe2 == 0x001 and fm2 == 0x15e76ceed9d88 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f1; op2:f30; dest:f31; op1val:0x7fb833777722304f; op2val:0x115e76ceed9d88; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f1, f30, 0, 0, x3, 62*FLEN/8, x4, x1, x2) + +inst_32: +// rs2==f0,fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f0; dest:f31; op1val:0x115e76ceed9d88; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:64*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f0, 0, 0, x3, 64*FLEN/8, x4, x1, x2) + +inst_33: +// rd==f0,fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x15e76ceed9d88 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f31; op2:f30; dest:f0; op1val:0x7fc132d8f91b7583; op2val:0x115e76ceed9d88; + valaddr_reg:x3; val_offset:66*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f0, f31, f30, 0, 0, x3, 66*FLEN/8, x4, x1, x2) + +inst_34: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:68*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 68*FLEN/8, x4, x1, x2) + +inst_35: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x4a57d3f9bbb84 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0x7fb4a57d3f9bbb84; + valaddr_reg:x3; val_offset:70*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 70*FLEN/8, x4, x1, x2) + +inst_36: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x15e76ceed9d88 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0x115e76ceed9d88; + valaddr_reg:x3; val_offset:72*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 72*FLEN/8, x4, x1, x2) + +inst_37: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:74*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 74*FLEN/8, x4, x1, x2) + +inst_38: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:76*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 76*FLEN/8, x4, x1, x2) + +inst_39: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xa7b6d804df453 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0x7fba7b6d804df453; + valaddr_reg:x3; val_offset:78*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 78*FLEN/8, x4, x1, x2) + +inst_40: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x15e76ceed9d88 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0x115e76ceed9d88; + valaddr_reg:x3; val_offset:80*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 80*FLEN/8, x4, x1, x2) + +inst_41: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:82*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 82*FLEN/8, x4, x1, x2) + +inst_42: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:84*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 84*FLEN/8, x4, x1, x2) + +inst_43: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1bca57b17c2f4 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x1a406f11e5bc4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1bca57b17c2f4; op2val:0x7fe1a406f11e5bc4; + valaddr_reg:x3; val_offset:86*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 86*FLEN/8, x4, x1, x2) + +inst_44: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1bca57b17c2f4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0x1bca57b17c2f4; + valaddr_reg:x3; val_offset:88*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 88*FLEN/8, x4, x1, x2) + +inst_45: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1bca57b17c2f4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1bca57b17c2f4; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:90*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 90*FLEN/8, x4, x1, x2) + +inst_46: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1bca57b17c2f4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0x1bca57b17c2f4; + valaddr_reg:x3; val_offset:92*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 92*FLEN/8, x4, x1, x2) + +inst_47: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:94*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 94*FLEN/8, x4, x1, x2) + +inst_48: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xc900ea9c600e8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0x7fbc900ea9c600e8; + valaddr_reg:x3; val_offset:96*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 96*FLEN/8, x4, x1, x2) + +inst_49: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x15e76ceed9d88 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0x115e76ceed9d88; + valaddr_reg:x3; val_offset:98*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 98*FLEN/8, x4, x1, x2) + +inst_50: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 100*FLEN/8, x4, x1, x2) + +inst_51: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 102*FLEN/8, x4, x1, x2) + +inst_52: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x79a9d1edd4c29 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0xffb79a9d1edd4c29; + valaddr_reg:x3; val_offset:104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 104*FLEN/8, x4, x1, x2) + +inst_53: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x15e76ceed9d88 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0x115e76ceed9d88; + valaddr_reg:x3; val_offset:106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 106*FLEN/8, x4, x1, x2) + +inst_54: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 108*FLEN/8, x4, x1, x2) + +inst_55: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 110*FLEN/8, x4, x1, x2) + +inst_56: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1bca57b17c2f4 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0bc8069a0dddf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1bca57b17c2f4; op2val:0xffd0bc8069a0dddf; + valaddr_reg:x3; val_offset:112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 112*FLEN/8, x4, x1, x2) + +inst_57: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1bca57b17c2f4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0x1bca57b17c2f4; + valaddr_reg:x3; val_offset:114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 114*FLEN/8, x4, x1, x2) + +inst_58: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1bca57b17c2f4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1bca57b17c2f4; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 116*FLEN/8, x4, x1, x2) + +inst_59: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 118*FLEN/8, x4, x1, x2) + +inst_60: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x1f930d5b2a8f5 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0xffb1f930d5b2a8f5; + valaddr_reg:x3; val_offset:120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 120*FLEN/8, x4, x1, x2) + +inst_61: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x15e76ceed9d88 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0x115e76ceed9d88; + valaddr_reg:x3; val_offset:122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 122*FLEN/8, x4, x1, x2) + +inst_62: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 124*FLEN/8, x4, x1, x2) + +inst_63: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 126*FLEN/8, x4, x1, x2) + +inst_64: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1bca57b17c2f4 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x60eeb556ce9ce and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1bca57b17c2f4; op2val:0xffd60eeb556ce9ce; + valaddr_reg:x3; val_offset:128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 128*FLEN/8, x4, x1, x2) + +inst_65: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1bca57b17c2f4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0x1bca57b17c2f4; + valaddr_reg:x3; val_offset:130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 130*FLEN/8, x4, x1, x2) + +inst_66: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1bca57b17c2f4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1bca57b17c2f4; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 132*FLEN/8, x4, x1, x2) + +inst_67: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 134*FLEN/8, x4, x1, x2) + +inst_68: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xeb781eb40c69d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0xffbeb781eb40c69d; + valaddr_reg:x3; val_offset:136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 136*FLEN/8, x4, x1, x2) + +inst_69: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 0 and fe2 == 0x001 and fm2 == 0x15e76ceed9d88 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0x115e76ceed9d88; + valaddr_reg:x3; val_offset:138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 138*FLEN/8, x4, x1, x2) + +inst_70: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x15e76ceed9d88 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x115e76ceed9d88; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 140*FLEN/8, x4, x1, x2) + +inst_71: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0x0; + valaddr_reg:x3; val_offset:142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 142*FLEN/8, x4, x1, x2) + +inst_72: +// fs1 == 0 and fe1 == 0x400 and fm1 == 0x352db02b86485 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x400352db02b86485; op2val:0x0; + valaddr_reg:x3; val_offset:144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 144*FLEN/8, x4, x1, x2) + +inst_73: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x400 and fm2 == 0x352db02b86485 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x400352db02b86485; + valaddr_reg:x3; val_offset:146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 146*FLEN/8, x4, x1, x2) + +inst_74: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x400 and fm2 == 0x352db02b86485 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0x400352db02b86485; + valaddr_reg:x3; val_offset:148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 148*FLEN/8, x4, x1, x2) + +inst_75: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 150*FLEN/8, x4, x1, x2) + +inst_76: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 152*FLEN/8, x4, x1, x2) + +inst_77: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 154*FLEN/8, x4, x1, x2) + +inst_78: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 156*FLEN/8, x4, x1, x2) + +inst_79: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 158*FLEN/8, x4, x1, x2) + +inst_80: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 160*FLEN/8, x4, x1, x2) + +inst_81: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 162*FLEN/8, x4, x1, x2) + +inst_82: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 164*FLEN/8, x4, x1, x2) + +inst_83: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 166*FLEN/8, x4, x1, x2) + +inst_84: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 168*FLEN/8, x4, x1, x2) + +inst_85: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 170*FLEN/8, x4, x1, x2) + +inst_86: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 172*FLEN/8, x4, x1, x2) + +inst_87: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 174*FLEN/8, x4, x1, x2) + +inst_88: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 176*FLEN/8, x4, x1, x2) + +inst_89: +// fs1 == 0 and fe1 == 0x7fa and fm1 == 0x95dc44b45292d and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x1836cb3e931a8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fa95dc44b45292d; op2val:0xffe1836cb3e931a8; + valaddr_reg:x3; val_offset:178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 178*FLEN/8, x4, x1, x2) + +inst_90: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 0 and fe2 == 0x7fa and fm2 == 0x95dc44b45292d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe1836cb3e931a8; op2val:0x7fa95dc44b45292d; + valaddr_reg:x3; val_offset:180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 180*FLEN/8, x4, x1, x2) + +inst_91: +// fs1 == 0 and fe1 == 0x7fa and fm1 == 0x95dc44b45292d and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fa95dc44b45292d; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 182*FLEN/8, x4, x1, x2) + +inst_92: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x7fa and fm2 == 0x95dc44b45292d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x7fa95dc44b45292d; + valaddr_reg:x3; val_offset:184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 184*FLEN/8, x4, x1, x2) + +inst_93: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 186*FLEN/8, x4, x1, x2) + +inst_94: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x833777722304f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0x7fb833777722304f; + valaddr_reg:x3; val_offset:188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 188*FLEN/8, x4, x1, x2) + +inst_95: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 0 and fe2 == 0x003 and fm2 == 0x002cf80509326 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb833777722304f; op2val:0x3002cf80509326; + valaddr_reg:x3; val_offset:190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 190*FLEN/8, x4, x1, x2) + +inst_96: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 192*FLEN/8, x4, x1, x2) + +inst_97: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x002cf80509326 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x3002cf80509326; + valaddr_reg:x3; val_offset:194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 194*FLEN/8, x4, x1, x2) + +inst_98: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 196*FLEN/8, x4, x1, x2) + +inst_99: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x4a57d3f9bbb84 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0x7fb4a57d3f9bbb84; + valaddr_reg:x3; val_offset:198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 198*FLEN/8, x4, x1, x2) + +inst_100: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x002cf80509326 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0x3002cf80509326; + valaddr_reg:x3; val_offset:200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 200*FLEN/8, x4, x1, x2) + +inst_101: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 202*FLEN/8, x4, x1, x2) + +inst_102: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 204*FLEN/8, x4, x1, x2) + +inst_103: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xa7b6d804df453 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0x7fba7b6d804df453; + valaddr_reg:x3; val_offset:206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 206*FLEN/8, x4, x1, x2) + +inst_104: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x002cf80509326 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0x3002cf80509326; + valaddr_reg:x3; val_offset:208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 208*FLEN/8, x4, x1, x2) + +inst_105: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 210*FLEN/8, x4, x1, x2) + +inst_106: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 212*FLEN/8, x4, x1, x2) + +inst_107: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6678633536e0f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x1a406f11e5bc4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6678633536e0f; op2val:0x7fe1a406f11e5bc4; + valaddr_reg:x3; val_offset:214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 214*FLEN/8, x4, x1, x2) + +inst_108: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6678633536e0f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0x6678633536e0f; + valaddr_reg:x3; val_offset:216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 216*FLEN/8, x4, x1, x2) + +inst_109: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6678633536e0f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6678633536e0f; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 218*FLEN/8, x4, x1, x2) + +inst_110: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6678633536e0f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x6678633536e0f; + valaddr_reg:x3; val_offset:220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 220*FLEN/8, x4, x1, x2) + +inst_111: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 222*FLEN/8, x4, x1, x2) + +inst_112: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xc900ea9c600e8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0x7fbc900ea9c600e8; + valaddr_reg:x3; val_offset:224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 224*FLEN/8, x4, x1, x2) + +inst_113: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x002cf80509326 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0x3002cf80509326; + valaddr_reg:x3; val_offset:226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 226*FLEN/8, x4, x1, x2) + +inst_114: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 228*FLEN/8, x4, x1, x2) + +inst_115: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 230*FLEN/8, x4, x1, x2) + +inst_116: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x79a9d1edd4c29 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0xffb79a9d1edd4c29; + valaddr_reg:x3; val_offset:232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 232*FLEN/8, x4, x1, x2) + +inst_117: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x002cf80509326 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0x3002cf80509326; + valaddr_reg:x3; val_offset:234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 234*FLEN/8, x4, x1, x2) + +inst_118: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 236*FLEN/8, x4, x1, x2) + +inst_119: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 238*FLEN/8, x4, x1, x2) + +inst_120: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6678633536e0f and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0bc8069a0dddf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6678633536e0f; op2val:0xffd0bc8069a0dddf; + valaddr_reg:x3; val_offset:240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 240*FLEN/8, x4, x1, x2) + +inst_121: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6678633536e0f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0x6678633536e0f; + valaddr_reg:x3; val_offset:242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 242*FLEN/8, x4, x1, x2) + +inst_122: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6678633536e0f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6678633536e0f; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 244*FLEN/8, x4, x1, x2) + +inst_123: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 246*FLEN/8, x4, x1, x2) + +inst_124: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x1f930d5b2a8f5 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0xffb1f930d5b2a8f5; + valaddr_reg:x3; val_offset:248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 248*FLEN/8, x4, x1, x2) + +inst_125: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x002cf80509326 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0x3002cf80509326; + valaddr_reg:x3; val_offset:250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 250*FLEN/8, x4, x1, x2) + +inst_126: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 252*FLEN/8, x4, x1, x2) + +inst_127: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 254*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_2) + +inst_128: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6678633536e0f and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x60eeb556ce9ce and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6678633536e0f; op2val:0xffd60eeb556ce9ce; + valaddr_reg:x3; val_offset:256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 256*FLEN/8, x4, x1, x2) + +inst_129: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6678633536e0f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0x6678633536e0f; + valaddr_reg:x3; val_offset:258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 258*FLEN/8, x4, x1, x2) + +inst_130: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6678633536e0f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6678633536e0f; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 260*FLEN/8, x4, x1, x2) + +inst_131: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 262*FLEN/8, x4, x1, x2) + +inst_132: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xeb781eb40c69d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0xffbeb781eb40c69d; + valaddr_reg:x3; val_offset:264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 264*FLEN/8, x4, x1, x2) + +inst_133: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 0 and fe2 == 0x003 and fm2 == 0x002cf80509326 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0x3002cf80509326; + valaddr_reg:x3; val_offset:266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 266*FLEN/8, x4, x1, x2) + +inst_134: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x002cf80509326 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3002cf80509326; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 268*FLEN/8, x4, x1, x2) + +inst_135: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x0; + valaddr_reg:x3; val_offset:270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 270*FLEN/8, x4, x1, x2) + +inst_136: +// fs1 == 0 and fe1 == 0x402 and fm1 == 0x1d013feac5b5a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x4021d013feac5b5a; op2val:0x0; + valaddr_reg:x3; val_offset:272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 272*FLEN/8, x4, x1, x2) + +inst_137: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x402 and fm2 == 0x1d013feac5b5a and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x4021d013feac5b5a; + valaddr_reg:x3; val_offset:274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 274*FLEN/8, x4, x1, x2) + +inst_138: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xfb5355e167379 and fs2 == 0 and fe2 == 0x402 and fm2 == 0x1d013feac5b5a and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fdfb5355e167379; op2val:0x4021d013feac5b5a; + valaddr_reg:x3; val_offset:276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 276*FLEN/8, x4, x1, x2) + +inst_139: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 278*FLEN/8, x4, x1, x2) + +inst_140: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 280*FLEN/8, x4, x1, x2) + +inst_141: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe08fa3383a6f3 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x383adc274749d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee08fa3383a6f3; op2val:0x7fb383adc274749d; + valaddr_reg:x3; val_offset:282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 282*FLEN/8, x4, x1, x2) + +inst_142: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x383adc274749d and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe08fa3383a6f3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb383adc274749d; op2val:0x7fee08fa3383a6f3; + valaddr_reg:x3; val_offset:284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 284*FLEN/8, x4, x1, x2) + +inst_143: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe08fa3383a6f3 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee08fa3383a6f3; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 286*FLEN/8, x4, x1, x2) + +inst_144: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe08fa3383a6f3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x7fee08fa3383a6f3; + valaddr_reg:x3; val_offset:288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 288*FLEN/8, x4, x1, x2) + +inst_145: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 290*FLEN/8, x4, x1, x2) + +inst_146: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 292*FLEN/8, x4, x1, x2) + +inst_147: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 294*FLEN/8, x4, x1, x2) + +inst_148: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 296*FLEN/8, x4, x1, x2) + +inst_149: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 298*FLEN/8, x4, x1, x2) + +inst_150: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 300*FLEN/8, x4, x1, x2) + +inst_151: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 302*FLEN/8, x4, x1, x2) + +inst_152: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe08fa3383a6f3 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x8dfd26d2431d6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee08fa3383a6f3; op2val:0xffb8dfd26d2431d6; + valaddr_reg:x3; val_offset:304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 304*FLEN/8, x4, x1, x2) + +inst_153: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x8dfd26d2431d6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe08fa3383a6f3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb8dfd26d2431d6; op2val:0x7fee08fa3383a6f3; + valaddr_reg:x3; val_offset:306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 306*FLEN/8, x4, x1, x2) + +inst_154: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe08fa3383a6f3 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee08fa3383a6f3; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 308*FLEN/8, x4, x1, x2) + +inst_155: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 310*FLEN/8, x4, x1, x2) + +inst_156: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe08fa3383a6f3 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x98bcc3a92c611 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee08fa3383a6f3; op2val:0xffb98bcc3a92c611; + valaddr_reg:x3; val_offset:312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 312*FLEN/8, x4, x1, x2) + +inst_157: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x98bcc3a92c611 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe08fa3383a6f3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb98bcc3a92c611; op2val:0x7fee08fa3383a6f3; + valaddr_reg:x3; val_offset:314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 314*FLEN/8, x4, x1, x2) + +inst_158: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe08fa3383a6f3 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee08fa3383a6f3; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 316*FLEN/8, x4, x1, x2) + +inst_159: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 318*FLEN/8, x4, x1, x2) + +inst_160: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x338f20c7d37a6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x1836cb3e931a8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f8338f20c7d37a6; op2val:0xffe1836cb3e931a8; + valaddr_reg:x3; val_offset:320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 320*FLEN/8, x4, x1, x2) + +inst_161: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x338f20c7d37a6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe1836cb3e931a8; op2val:0x7f8338f20c7d37a6; + valaddr_reg:x3; val_offset:322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 322*FLEN/8, x4, x1, x2) + +inst_162: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x338f20c7d37a6 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f8338f20c7d37a6; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 324*FLEN/8, x4, x1, x2) + +inst_163: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x338f20c7d37a6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x7f8338f20c7d37a6; + valaddr_reg:x3; val_offset:326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 326*FLEN/8, x4, x1, x2) + +inst_164: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 328*FLEN/8, x4, x1, x2) + +inst_165: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x833777722304f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0x7fb833777722304f; + valaddr_reg:x3; val_offset:330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 330*FLEN/8, x4, x1, x2) + +inst_166: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc220f20e52329 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb833777722304f; op2val:0xc220f20e52329; + valaddr_reg:x3; val_offset:332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 332*FLEN/8, x4, x1, x2) + +inst_167: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 334*FLEN/8, x4, x1, x2) + +inst_168: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc220f20e52329 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0xc220f20e52329; + valaddr_reg:x3; val_offset:336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 336*FLEN/8, x4, x1, x2) + +inst_169: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 338*FLEN/8, x4, x1, x2) + +inst_170: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x4a57d3f9bbb84 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0x7fb4a57d3f9bbb84; + valaddr_reg:x3; val_offset:340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 340*FLEN/8, x4, x1, x2) + +inst_171: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc220f20e52329 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0xc220f20e52329; + valaddr_reg:x3; val_offset:342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 342*FLEN/8, x4, x1, x2) + +inst_172: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 344*FLEN/8, x4, x1, x2) + +inst_173: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 346*FLEN/8, x4, x1, x2) + +inst_174: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xa7b6d804df453 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0x7fba7b6d804df453; + valaddr_reg:x3; val_offset:348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 348*FLEN/8, x4, x1, x2) + +inst_175: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc220f20e52329 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0xc220f20e52329; + valaddr_reg:x3; val_offset:350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 350*FLEN/8, x4, x1, x2) + +inst_176: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 352*FLEN/8, x4, x1, x2) + +inst_177: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 354*FLEN/8, x4, x1, x2) + +inst_178: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1369b1ce3b6b7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x1a406f11e5bc4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1369b1ce3b6b7; op2val:0x7fe1a406f11e5bc4; + valaddr_reg:x3; val_offset:356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 356*FLEN/8, x4, x1, x2) + +inst_179: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1369b1ce3b6b7 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0x1369b1ce3b6b7; + valaddr_reg:x3; val_offset:358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 358*FLEN/8, x4, x1, x2) + +inst_180: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1369b1ce3b6b7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1369b1ce3b6b7; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 360*FLEN/8, x4, x1, x2) + +inst_181: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1369b1ce3b6b7 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x1369b1ce3b6b7; + valaddr_reg:x3; val_offset:362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 362*FLEN/8, x4, x1, x2) + +inst_182: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 364*FLEN/8, x4, x1, x2) + +inst_183: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xc900ea9c600e8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0x7fbc900ea9c600e8; + valaddr_reg:x3; val_offset:366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 366*FLEN/8, x4, x1, x2) + +inst_184: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc220f20e52329 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0xc220f20e52329; + valaddr_reg:x3; val_offset:368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 368*FLEN/8, x4, x1, x2) + +inst_185: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 370*FLEN/8, x4, x1, x2) + +inst_186: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 372*FLEN/8, x4, x1, x2) + +inst_187: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x79a9d1edd4c29 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0xffb79a9d1edd4c29; + valaddr_reg:x3; val_offset:374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 374*FLEN/8, x4, x1, x2) + +inst_188: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc220f20e52329 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0xc220f20e52329; + valaddr_reg:x3; val_offset:376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 376*FLEN/8, x4, x1, x2) + +inst_189: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 378*FLEN/8, x4, x1, x2) + +inst_190: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 380*FLEN/8, x4, x1, x2) + +inst_191: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1369b1ce3b6b7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0bc8069a0dddf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1369b1ce3b6b7; op2val:0xffd0bc8069a0dddf; + valaddr_reg:x3; val_offset:382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 382*FLEN/8, x4, x1, x2) + +inst_192: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1369b1ce3b6b7 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0x1369b1ce3b6b7; + valaddr_reg:x3; val_offset:384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 384*FLEN/8, x4, x1, x2) + +inst_193: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1369b1ce3b6b7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1369b1ce3b6b7; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 386*FLEN/8, x4, x1, x2) + +inst_194: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 388*FLEN/8, x4, x1, x2) + +inst_195: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x1f930d5b2a8f5 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0xffb1f930d5b2a8f5; + valaddr_reg:x3; val_offset:390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 390*FLEN/8, x4, x1, x2) + +inst_196: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc220f20e52329 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0xc220f20e52329; + valaddr_reg:x3; val_offset:392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 392*FLEN/8, x4, x1, x2) + +inst_197: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 394*FLEN/8, x4, x1, x2) + +inst_198: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 396*FLEN/8, x4, x1, x2) + +inst_199: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1369b1ce3b6b7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x60eeb556ce9ce and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1369b1ce3b6b7; op2val:0xffd60eeb556ce9ce; + valaddr_reg:x3; val_offset:398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 398*FLEN/8, x4, x1, x2) + +inst_200: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1369b1ce3b6b7 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0x1369b1ce3b6b7; + valaddr_reg:x3; val_offset:400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 400*FLEN/8, x4, x1, x2) + +inst_201: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1369b1ce3b6b7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1369b1ce3b6b7; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 402*FLEN/8, x4, x1, x2) + +inst_202: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 404*FLEN/8, x4, x1, x2) + +inst_203: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xeb781eb40c69d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0xffbeb781eb40c69d; + valaddr_reg:x3; val_offset:406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 406*FLEN/8, x4, x1, x2) + +inst_204: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc220f20e52329 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0xc220f20e52329; + valaddr_reg:x3; val_offset:408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 408*FLEN/8, x4, x1, x2) + +inst_205: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc220f20e52329 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc220f20e52329; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 410*FLEN/8, x4, x1, x2) + +inst_206: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x0; + valaddr_reg:x3; val_offset:412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 412*FLEN/8, x4, x1, x2) + +inst_207: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0xaff35fd55192c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ffaff35fd55192c; op2val:0x0; + valaddr_reg:x3; val_offset:414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 414*FLEN/8, x4, x1, x2) + +inst_208: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xaff35fd55192c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x3ffaff35fd55192c; + valaddr_reg:x3; val_offset:416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 416*FLEN/8, x4, x1, x2) + +inst_209: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xaff35fd55192c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x3ffaff35fd55192c; + valaddr_reg:x3; val_offset:418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 418*FLEN/8, x4, x1, x2) + +inst_210: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 420*FLEN/8, x4, x1, x2) + +inst_211: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x383adc274749d and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb383adc274749d; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 422*FLEN/8, x4, x1, x2) + +inst_212: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x383adc274749d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x7fb383adc274749d; + valaddr_reg:x3; val_offset:424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 424*FLEN/8, x4, x1, x2) + +inst_213: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 426*FLEN/8, x4, x1, x2) + +inst_214: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 428*FLEN/8, x4, x1, x2) + +inst_215: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x383adc274749d and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb383adc274749d; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 430*FLEN/8, x4, x1, x2) + +inst_216: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 432*FLEN/8, x4, x1, x2) + +inst_217: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x383adc274749d and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb383adc274749d; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 434*FLEN/8, x4, x1, x2) + +inst_218: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 436*FLEN/8, x4, x1, x2) + +inst_219: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x383adc274749d and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb383adc274749d; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 438*FLEN/8, x4, x1, x2) + +inst_220: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 440*FLEN/8, x4, x1, x2) + +inst_221: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x383adc274749d and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb383adc274749d; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 442*FLEN/8, x4, x1, x2) + +inst_222: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x383adc274749d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7fb383adc274749d; + valaddr_reg:x3; val_offset:444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 444*FLEN/8, x4, x1, x2) + +inst_223: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x383adc274749d and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb383adc274749d; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 446*FLEN/8, x4, x1, x2) + +inst_224: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 448*FLEN/8, x4, x1, x2) + +inst_225: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x383adc274749d and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb383adc274749d; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 450*FLEN/8, x4, x1, x2) + +inst_226: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 452*FLEN/8, x4, x1, x2) + +inst_227: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 454*FLEN/8, x4, x1, x2) + +inst_228: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 456*FLEN/8, x4, x1, x2) + +inst_229: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 458*FLEN/8, x4, x1, x2) + +inst_230: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 460*FLEN/8, x4, x1, x2) + +inst_231: +// fs1 == 0 and fe1 == 0x7f7 and fm1 == 0xf391603ed8761 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f7f391603ed8761; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 462*FLEN/8, x4, x1, x2) + +inst_232: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7f7 and fm2 == 0xf391603ed8761 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7f7f391603ed8761; + valaddr_reg:x3; val_offset:464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 464*FLEN/8, x4, x1, x2) + +inst_233: +// fs1 == 0 and fe1 == 0x7f7 and fm1 == 0xf391603ed8761 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f7f391603ed8761; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 466*FLEN/8, x4, x1, x2) + +inst_234: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x7f7 and fm2 == 0xf391603ed8761 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x7f7f391603ed8761; + valaddr_reg:x3; val_offset:468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 468*FLEN/8, x4, x1, x2) + +inst_235: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 470*FLEN/8, x4, x1, x2) + +inst_236: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe405554eabc62 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0x7fee405554eabc62; + valaddr_reg:x3; val_offset:472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 472*FLEN/8, x4, x1, x2) + +inst_237: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe405554eabc62 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9da958592a6de and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee405554eabc62; op2val:0x9da958592a6de; + valaddr_reg:x3; val_offset:474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 474*FLEN/8, x4, x1, x2) + +inst_238: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 476*FLEN/8, x4, x1, x2) + +inst_239: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9da958592a6de and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x9da958592a6de; + valaddr_reg:x3; val_offset:478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 478*FLEN/8, x4, x1, x2) + +inst_240: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 480*FLEN/8, x4, x1, x2) + +inst_241: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x9cedc8f82aa65 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0x7fe9cedc8f82aa65; + valaddr_reg:x3; val_offset:482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 482*FLEN/8, x4, x1, x2) + +inst_242: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x9cedc8f82aa65 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9da958592a6de and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe9cedc8f82aa65; op2val:0x9da958592a6de; + valaddr_reg:x3; val_offset:484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 484*FLEN/8, x4, x1, x2) + +inst_243: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 486*FLEN/8, x4, x1, x2) + +inst_244: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 488*FLEN/8, x4, x1, x2) + +inst_245: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 490*FLEN/8, x4, x1, x2) + +inst_246: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9da958592a6de and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x9da958592a6de; + valaddr_reg:x3; val_offset:492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 492*FLEN/8, x4, x1, x2) + +inst_247: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 494*FLEN/8, x4, x1, x2) + +inst_248: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 496*FLEN/8, x4, x1, x2) + +inst_249: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0fc4226f510b0 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfc4226f510b0; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 498*FLEN/8, x4, x1, x2) + +inst_250: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0fc4226f510b0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xfc4226f510b0; + valaddr_reg:x3; val_offset:500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 500*FLEN/8, x4, x1, x2) + +inst_251: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0fc4226f510b0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfc4226f510b0; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 502*FLEN/8, x4, x1, x2) + +inst_252: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0fc4226f510b0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0xfc4226f510b0; + valaddr_reg:x3; val_offset:504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 504*FLEN/8, x4, x1, x2) + +inst_253: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 506*FLEN/8, x4, x1, x2) + +inst_254: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 508*FLEN/8, x4, x1, x2) + +inst_255: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 510*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_3) + +inst_256: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xd814466949f33 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0xffed814466949f33; + valaddr_reg:x3; val_offset:512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 512*FLEN/8, x4, x1, x2) + +inst_257: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd814466949f33 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9da958592a6de and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed814466949f33; op2val:0x9da958592a6de; + valaddr_reg:x3; val_offset:514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 514*FLEN/8, x4, x1, x2) + +inst_258: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 516*FLEN/8, x4, x1, x2) + +inst_259: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 518*FLEN/8, x4, x1, x2) + +inst_260: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0fc4226f510b0 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfc4226f510b0; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 520*FLEN/8, x4, x1, x2) + +inst_261: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0fc4226f510b0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xfc4226f510b0; + valaddr_reg:x3; val_offset:522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 522*FLEN/8, x4, x1, x2) + +inst_262: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0fc4226f510b0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfc4226f510b0; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 524*FLEN/8, x4, x1, x2) + +inst_263: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 526*FLEN/8, x4, x1, x2) + +inst_264: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x6777d0b1f5332 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0xffe6777d0b1f5332; + valaddr_reg:x3; val_offset:528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 528*FLEN/8, x4, x1, x2) + +inst_265: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x6777d0b1f5332 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9da958592a6de and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe6777d0b1f5332; op2val:0x9da958592a6de; + valaddr_reg:x3; val_offset:530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 530*FLEN/8, x4, x1, x2) + +inst_266: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 532*FLEN/8, x4, x1, x2) + +inst_267: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 534*FLEN/8, x4, x1, x2) + +inst_268: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0fc4226f510b0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfc4226f510b0; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 536*FLEN/8, x4, x1, x2) + +inst_269: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 538*FLEN/8, x4, x1, x2) + +inst_270: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 540*FLEN/8, x4, x1, x2) + +inst_271: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9da958592a6de and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x9da958592a6de; + valaddr_reg:x3; val_offset:542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 542*FLEN/8, x4, x1, x2) + +inst_272: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9da958592a6de and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9da958592a6de; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 544*FLEN/8, x4, x1, x2) + +inst_273: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x0; + valaddr_reg:x3; val_offset:546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 546*FLEN/8, x4, x1, x2) + +inst_274: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x5ecef9517d94f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff5ecef9517d94f; op2val:0x0; + valaddr_reg:x3; val_offset:548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 548*FLEN/8, x4, x1, x2) + +inst_275: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x5ecef9517d94f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x3ff5ecef9517d94f; + valaddr_reg:x3; val_offset:550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 550*FLEN/8, x4, x1, x2) + +inst_276: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x86499331191c4 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x5ecef9517d94f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe86499331191c4; op2val:0x3ff5ecef9517d94f; + valaddr_reg:x3; val_offset:552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 552*FLEN/8, x4, x1, x2) + +inst_277: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 554*FLEN/8, x4, x1, x2) + +inst_278: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 556*FLEN/8, x4, x1, x2) + +inst_279: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 558*FLEN/8, x4, x1, x2) + +inst_280: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 560*FLEN/8, x4, x1, x2) + +inst_281: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 562*FLEN/8, x4, x1, x2) + +inst_282: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 564*FLEN/8, x4, x1, x2) + +inst_283: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 566*FLEN/8, x4, x1, x2) + +inst_284: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 568*FLEN/8, x4, x1, x2) + +inst_285: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 570*FLEN/8, x4, x1, x2) + +inst_286: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 572*FLEN/8, x4, x1, x2) + +inst_287: +// fs1 == 0 and fe1 == 0x7f9 and fm1 == 0x76cdd4791176f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x1836cb3e931a8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f976cdd4791176f; op2val:0xffe1836cb3e931a8; + valaddr_reg:x3; val_offset:574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 574*FLEN/8, x4, x1, x2) + +inst_288: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 0 and fe2 == 0x7f9 and fm2 == 0x76cdd4791176f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe1836cb3e931a8; op2val:0x7f976cdd4791176f; + valaddr_reg:x3; val_offset:576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 576*FLEN/8, x4, x1, x2) + +inst_289: +// fs1 == 0 and fe1 == 0x7f9 and fm1 == 0x76cdd4791176f and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f976cdd4791176f; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 578*FLEN/8, x4, x1, x2) + +inst_290: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x7f9 and fm2 == 0x76cdd4791176f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x7f976cdd4791176f; + valaddr_reg:x3; val_offset:580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 580*FLEN/8, x4, x1, x2) + +inst_291: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 582*FLEN/8, x4, x1, x2) + +inst_292: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x833777722304f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0x7fb833777722304f; + valaddr_reg:x3; val_offset:584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 584*FLEN/8, x4, x1, x2) + +inst_293: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 0 and fe2 == 0x001 and fm2 == 0xd9257060a8fa0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb833777722304f; op2val:0x1d9257060a8fa0; + valaddr_reg:x3; val_offset:586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 586*FLEN/8, x4, x1, x2) + +inst_294: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 588*FLEN/8, x4, x1, x2) + +inst_295: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x001 and fm2 == 0xd9257060a8fa0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x1d9257060a8fa0; + valaddr_reg:x3; val_offset:590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 590*FLEN/8, x4, x1, x2) + +inst_296: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 592*FLEN/8, x4, x1, x2) + +inst_297: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x4a57d3f9bbb84 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0x7fb4a57d3f9bbb84; + valaddr_reg:x3; val_offset:594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 594*FLEN/8, x4, x1, x2) + +inst_298: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 0 and fe2 == 0x001 and fm2 == 0xd9257060a8fa0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0x1d9257060a8fa0; + valaddr_reg:x3; val_offset:596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 596*FLEN/8, x4, x1, x2) + +inst_299: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 598*FLEN/8, x4, x1, x2) + +inst_300: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 600*FLEN/8, x4, x1, x2) + +inst_301: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xa7b6d804df453 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0x7fba7b6d804df453; + valaddr_reg:x3; val_offset:602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 602*FLEN/8, x4, x1, x2) + +inst_302: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 0 and fe2 == 0x001 and fm2 == 0xd9257060a8fa0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0x1d9257060a8fa0; + valaddr_reg:x3; val_offset:604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 604*FLEN/8, x4, x1, x2) + +inst_303: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 606*FLEN/8, x4, x1, x2) + +inst_304: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 608*FLEN/8, x4, x1, x2) + +inst_305: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x2f508b3cddb2a and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x1a406f11e5bc4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2f508b3cddb2a; op2val:0x7fe1a406f11e5bc4; + valaddr_reg:x3; val_offset:610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 610*FLEN/8, x4, x1, x2) + +inst_306: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x2f508b3cddb2a and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0x2f508b3cddb2a; + valaddr_reg:x3; val_offset:612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 612*FLEN/8, x4, x1, x2) + +inst_307: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x2f508b3cddb2a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2f508b3cddb2a; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 614*FLEN/8, x4, x1, x2) + +inst_308: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x2f508b3cddb2a and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x2f508b3cddb2a; + valaddr_reg:x3; val_offset:616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 616*FLEN/8, x4, x1, x2) + +inst_309: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 618*FLEN/8, x4, x1, x2) + +inst_310: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xc900ea9c600e8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0x7fbc900ea9c600e8; + valaddr_reg:x3; val_offset:620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 620*FLEN/8, x4, x1, x2) + +inst_311: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 0 and fe2 == 0x001 and fm2 == 0xd9257060a8fa0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0x1d9257060a8fa0; + valaddr_reg:x3; val_offset:622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 622*FLEN/8, x4, x1, x2) + +inst_312: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 624*FLEN/8, x4, x1, x2) + +inst_313: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 626*FLEN/8, x4, x1, x2) + +inst_314: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x79a9d1edd4c29 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0xffb79a9d1edd4c29; + valaddr_reg:x3; val_offset:628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 628*FLEN/8, x4, x1, x2) + +inst_315: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 0 and fe2 == 0x001 and fm2 == 0xd9257060a8fa0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0x1d9257060a8fa0; + valaddr_reg:x3; val_offset:630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 630*FLEN/8, x4, x1, x2) + +inst_316: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 632*FLEN/8, x4, x1, x2) + +inst_317: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 634*FLEN/8, x4, x1, x2) + +inst_318: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x2f508b3cddb2a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0bc8069a0dddf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2f508b3cddb2a; op2val:0xffd0bc8069a0dddf; + valaddr_reg:x3; val_offset:636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 636*FLEN/8, x4, x1, x2) + +inst_319: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 0 and fe2 == 0x000 and fm2 == 0x2f508b3cddb2a and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0x2f508b3cddb2a; + valaddr_reg:x3; val_offset:638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 638*FLEN/8, x4, x1, x2) + +inst_320: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x2f508b3cddb2a and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2f508b3cddb2a; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 640*FLEN/8, x4, x1, x2) + +inst_321: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 642*FLEN/8, x4, x1, x2) + +inst_322: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x1f930d5b2a8f5 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0xffb1f930d5b2a8f5; + valaddr_reg:x3; val_offset:644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 644*FLEN/8, x4, x1, x2) + +inst_323: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 0 and fe2 == 0x001 and fm2 == 0xd9257060a8fa0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0x1d9257060a8fa0; + valaddr_reg:x3; val_offset:646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 646*FLEN/8, x4, x1, x2) + +inst_324: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 648*FLEN/8, x4, x1, x2) + +inst_325: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 650*FLEN/8, x4, x1, x2) + +inst_326: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x2f508b3cddb2a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x60eeb556ce9ce and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2f508b3cddb2a; op2val:0xffd60eeb556ce9ce; + valaddr_reg:x3; val_offset:652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 652*FLEN/8, x4, x1, x2) + +inst_327: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 0 and fe2 == 0x000 and fm2 == 0x2f508b3cddb2a and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0x2f508b3cddb2a; + valaddr_reg:x3; val_offset:654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 654*FLEN/8, x4, x1, x2) + +inst_328: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x2f508b3cddb2a and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2f508b3cddb2a; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 656*FLEN/8, x4, x1, x2) + +inst_329: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 658*FLEN/8, x4, x1, x2) + +inst_330: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xeb781eb40c69d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0xffbeb781eb40c69d; + valaddr_reg:x3; val_offset:660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 660*FLEN/8, x4, x1, x2) + +inst_331: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 0 and fe2 == 0x001 and fm2 == 0xd9257060a8fa0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0x1d9257060a8fa0; + valaddr_reg:x3; val_offset:662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 662*FLEN/8, x4, x1, x2) + +inst_332: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0xd9257060a8fa0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1d9257060a8fa0; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 664*FLEN/8, x4, x1, x2) + +inst_333: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x0; + valaddr_reg:x3; val_offset:666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 666*FLEN/8, x4, x1, x2) + +inst_334: +// fs1 == 0 and fe1 == 0x401 and fm1 == 0x0732431031347 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x4010732431031347; op2val:0x0; + valaddr_reg:x3; val_offset:668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 668*FLEN/8, x4, x1, x2) + +inst_335: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x401 and fm2 == 0x0732431031347 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x4010732431031347; + valaddr_reg:x3; val_offset:670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 670*FLEN/8, x4, x1, x2) + +inst_336: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0xd481499755d4b and fs2 == 0 and fe2 == 0x401 and fm2 == 0x0732431031347 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fcd481499755d4b; op2val:0x4010732431031347; + valaddr_reg:x3; val_offset:672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 672*FLEN/8, x4, x1, x2) + +inst_337: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 674*FLEN/8, x4, x1, x2) + +inst_338: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 676*FLEN/8, x4, x1, x2) + +inst_339: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 678*FLEN/8, x4, x1, x2) + +inst_340: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 680*FLEN/8, x4, x1, x2) + +inst_341: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 682*FLEN/8, x4, x1, x2) + +inst_342: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 684*FLEN/8, x4, x1, x2) + +inst_343: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 686*FLEN/8, x4, x1, x2) + +inst_344: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x8dfd26d2431d6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xffb8dfd26d2431d6; + valaddr_reg:x3; val_offset:688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 688*FLEN/8, x4, x1, x2) + +inst_345: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x8dfd26d2431d6 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb8dfd26d2431d6; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 690*FLEN/8, x4, x1, x2) + +inst_346: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 692*FLEN/8, x4, x1, x2) + +inst_347: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 694*FLEN/8, x4, x1, x2) + +inst_348: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x98bcc3a92c611 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xffb98bcc3a92c611; + valaddr_reg:x3; val_offset:696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 696*FLEN/8, x4, x1, x2) + +inst_349: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x98bcc3a92c611 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb98bcc3a92c611; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 698*FLEN/8, x4, x1, x2) + +inst_350: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 700*FLEN/8, x4, x1, x2) + +inst_351: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 702*FLEN/8, x4, x1, x2) + +inst_352: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0xf3eddb8431366 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x1836cb3e931a8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff8f3eddb8431366; op2val:0xffe1836cb3e931a8; + valaddr_reg:x3; val_offset:704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 704*FLEN/8, x4, x1, x2) + +inst_353: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0xf3eddb8431366 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe1836cb3e931a8; op2val:0xff8f3eddb8431366; + valaddr_reg:x3; val_offset:706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 706*FLEN/8, x4, x1, x2) + +inst_354: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0xf3eddb8431366 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff8f3eddb8431366; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 708*FLEN/8, x4, x1, x2) + +inst_355: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0xf3eddb8431366 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xff8f3eddb8431366; + valaddr_reg:x3; val_offset:710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 710*FLEN/8, x4, x1, x2) + +inst_356: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 712*FLEN/8, x4, x1, x2) + +inst_357: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x833777722304f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0x7fb833777722304f; + valaddr_reg:x3; val_offset:714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 714*FLEN/8, x4, x1, x2) + +inst_358: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 1 and fe2 == 0x001 and fm2 == 0x3b8d1053b23ab and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb833777722304f; op2val:0x8013b8d1053b23ab; + valaddr_reg:x3; val_offset:716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 716*FLEN/8, x4, x1, x2) + +inst_359: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 718*FLEN/8, x4, x1, x2) + +inst_360: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x3b8d1053b23ab and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x8013b8d1053b23ab; + valaddr_reg:x3; val_offset:720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 720*FLEN/8, x4, x1, x2) + +inst_361: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 722*FLEN/8, x4, x1, x2) + +inst_362: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x4a57d3f9bbb84 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0x7fb4a57d3f9bbb84; + valaddr_reg:x3; val_offset:724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 724*FLEN/8, x4, x1, x2) + +inst_363: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x3b8d1053b23ab and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0x8013b8d1053b23ab; + valaddr_reg:x3; val_offset:726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 726*FLEN/8, x4, x1, x2) + +inst_364: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 728*FLEN/8, x4, x1, x2) + +inst_365: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 730*FLEN/8, x4, x1, x2) + +inst_366: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xa7b6d804df453 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0x7fba7b6d804df453; + valaddr_reg:x3; val_offset:732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 732*FLEN/8, x4, x1, x2) + +inst_367: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x3b8d1053b23ab and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0x8013b8d1053b23ab; + valaddr_reg:x3; val_offset:734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 734*FLEN/8, x4, x1, x2) + +inst_368: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 736*FLEN/8, x4, x1, x2) + +inst_369: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 738*FLEN/8, x4, x1, x2) + +inst_370: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1f8e1b3b91d2b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x1a406f11e5bc4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001f8e1b3b91d2b; op2val:0x7fe1a406f11e5bc4; + valaddr_reg:x3; val_offset:740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 740*FLEN/8, x4, x1, x2) + +inst_371: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1f8e1b3b91d2b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0x8001f8e1b3b91d2b; + valaddr_reg:x3; val_offset:742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 742*FLEN/8, x4, x1, x2) + +inst_372: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1f8e1b3b91d2b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001f8e1b3b91d2b; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 744*FLEN/8, x4, x1, x2) + +inst_373: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1f8e1b3b91d2b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x8001f8e1b3b91d2b; + valaddr_reg:x3; val_offset:746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 746*FLEN/8, x4, x1, x2) + +inst_374: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 748*FLEN/8, x4, x1, x2) + +inst_375: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xc900ea9c600e8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0x7fbc900ea9c600e8; + valaddr_reg:x3; val_offset:750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 750*FLEN/8, x4, x1, x2) + +inst_376: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x3b8d1053b23ab and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0x8013b8d1053b23ab; + valaddr_reg:x3; val_offset:752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 752*FLEN/8, x4, x1, x2) + +inst_377: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 754*FLEN/8, x4, x1, x2) + +inst_378: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 756*FLEN/8, x4, x1, x2) + +inst_379: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x79a9d1edd4c29 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0xffb79a9d1edd4c29; + valaddr_reg:x3; val_offset:758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 758*FLEN/8, x4, x1, x2) + +inst_380: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x3b8d1053b23ab and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0x8013b8d1053b23ab; + valaddr_reg:x3; val_offset:760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 760*FLEN/8, x4, x1, x2) + +inst_381: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 762*FLEN/8, x4, x1, x2) + +inst_382: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 764*FLEN/8, x4, x1, x2) + +inst_383: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1f8e1b3b91d2b and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0bc8069a0dddf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001f8e1b3b91d2b; op2val:0xffd0bc8069a0dddf; + valaddr_reg:x3; val_offset:766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 766*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_4) + +inst_384: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1f8e1b3b91d2b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0x8001f8e1b3b91d2b; + valaddr_reg:x3; val_offset:768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 768*FLEN/8, x4, x1, x2) + +inst_385: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1f8e1b3b91d2b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001f8e1b3b91d2b; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 770*FLEN/8, x4, x1, x2) + +inst_386: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 772*FLEN/8, x4, x1, x2) + +inst_387: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x1f930d5b2a8f5 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0xffb1f930d5b2a8f5; + valaddr_reg:x3; val_offset:774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 774*FLEN/8, x4, x1, x2) + +inst_388: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x3b8d1053b23ab and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0x8013b8d1053b23ab; + valaddr_reg:x3; val_offset:776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 776*FLEN/8, x4, x1, x2) + +inst_389: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 778*FLEN/8, x4, x1, x2) + +inst_390: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 780*FLEN/8, x4, x1, x2) + +inst_391: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1f8e1b3b91d2b and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x60eeb556ce9ce and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001f8e1b3b91d2b; op2val:0xffd60eeb556ce9ce; + valaddr_reg:x3; val_offset:782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 782*FLEN/8, x4, x1, x2) + +inst_392: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1f8e1b3b91d2b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0x8001f8e1b3b91d2b; + valaddr_reg:x3; val_offset:784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 784*FLEN/8, x4, x1, x2) + +inst_393: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1f8e1b3b91d2b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001f8e1b3b91d2b; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 786*FLEN/8, x4, x1, x2) + +inst_394: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 788*FLEN/8, x4, x1, x2) + +inst_395: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xeb781eb40c69d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0xffbeb781eb40c69d; + valaddr_reg:x3; val_offset:790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 790*FLEN/8, x4, x1, x2) + +inst_396: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 1 and fe2 == 0x001 and fm2 == 0x3b8d1053b23ab and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0x8013b8d1053b23ab; + valaddr_reg:x3; val_offset:792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 792*FLEN/8, x4, x1, x2) + +inst_397: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x3b8d1053b23ab and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8013b8d1053b23ab; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 794*FLEN/8, x4, x1, x2) + +inst_398: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0x0; + valaddr_reg:x3; val_offset:796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 796*FLEN/8, x4, x1, x2) + +inst_399: +// fs1 == 1 and fe1 == 0x400 and fm1 == 0x5f0feaa8af2a4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc005f0feaa8af2a4; op2val:0x0; + valaddr_reg:x3; val_offset:798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 798*FLEN/8, x4, x1, x2) + +inst_400: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x400 and fm2 == 0x5f0feaa8af2a4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc005f0feaa8af2a4; + valaddr_reg:x3; val_offset:800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 800*FLEN/8, x4, x1, x2) + +inst_401: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x3874a9329ec20 and fs2 == 1 and fe2 == 0x400 and fm2 == 0x5f0feaa8af2a4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc3874a9329ec20; op2val:0xc005f0feaa8af2a4; + valaddr_reg:x3; val_offset:802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 802*FLEN/8, x4, x1, x2) + +inst_402: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 804*FLEN/8, x4, x1, x2) + +inst_403: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 806*FLEN/8, x4, x1, x2) + +inst_404: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 808*FLEN/8, x4, x1, x2) + +inst_405: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 810*FLEN/8, x4, x1, x2) + +inst_406: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 812*FLEN/8, x4, x1, x2) + +inst_407: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 814*FLEN/8, x4, x1, x2) + +inst_408: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc2fa17693df96 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x1836cb3e931a8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac2fa17693df96; op2val:0xffe1836cb3e931a8; + valaddr_reg:x3; val_offset:816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 816*FLEN/8, x4, x1, x2) + +inst_409: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc2fa17693df96 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe1836cb3e931a8; op2val:0xffac2fa17693df96; + valaddr_reg:x3; val_offset:818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 818*FLEN/8, x4, x1, x2) + +inst_410: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc2fa17693df96 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac2fa17693df96; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 820*FLEN/8, x4, x1, x2) + +inst_411: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc2fa17693df96 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xffac2fa17693df96; + valaddr_reg:x3; val_offset:822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 822*FLEN/8, x4, x1, x2) + +inst_412: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 824*FLEN/8, x4, x1, x2) + +inst_413: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x833777722304f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0x7fb833777722304f; + valaddr_reg:x3; val_offset:826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 826*FLEN/8, x4, x1, x2) + +inst_414: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1ca71e8813e1f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb833777722304f; op2val:0x8031ca71e8813e1f; + valaddr_reg:x3; val_offset:828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 828*FLEN/8, x4, x1, x2) + +inst_415: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 830*FLEN/8, x4, x1, x2) + +inst_416: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1ca71e8813e1f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x8031ca71e8813e1f; + valaddr_reg:x3; val_offset:832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 832*FLEN/8, x4, x1, x2) + +inst_417: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 834*FLEN/8, x4, x1, x2) + +inst_418: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x4a57d3f9bbb84 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0x7fb4a57d3f9bbb84; + valaddr_reg:x3; val_offset:836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 836*FLEN/8, x4, x1, x2) + +inst_419: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1ca71e8813e1f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0x8031ca71e8813e1f; + valaddr_reg:x3; val_offset:838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 838*FLEN/8, x4, x1, x2) + +inst_420: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 840*FLEN/8, x4, x1, x2) + +inst_421: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 842*FLEN/8, x4, x1, x2) + +inst_422: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xa7b6d804df453 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0x7fba7b6d804df453; + valaddr_reg:x3; val_offset:844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 844*FLEN/8, x4, x1, x2) + +inst_423: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1ca71e8813e1f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0x8031ca71e8813e1f; + valaddr_reg:x3; val_offset:846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 846*FLEN/8, x4, x1, x2) + +inst_424: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 848*FLEN/8, x4, x1, x2) + +inst_425: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 850*FLEN/8, x4, x1, x2) + +inst_426: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71dc729cd4c0d and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x1a406f11e5bc4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071dc729cd4c0d; op2val:0x7fe1a406f11e5bc4; + valaddr_reg:x3; val_offset:852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 852*FLEN/8, x4, x1, x2) + +inst_427: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x71dc729cd4c0d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0x80071dc729cd4c0d; + valaddr_reg:x3; val_offset:854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 854*FLEN/8, x4, x1, x2) + +inst_428: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71dc729cd4c0d and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071dc729cd4c0d; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 856*FLEN/8, x4, x1, x2) + +inst_429: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x000 and fm2 == 0x71dc729cd4c0d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x80071dc729cd4c0d; + valaddr_reg:x3; val_offset:858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 858*FLEN/8, x4, x1, x2) + +inst_430: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 860*FLEN/8, x4, x1, x2) + +inst_431: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xc900ea9c600e8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0x7fbc900ea9c600e8; + valaddr_reg:x3; val_offset:862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 862*FLEN/8, x4, x1, x2) + +inst_432: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1ca71e8813e1f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0x8031ca71e8813e1f; + valaddr_reg:x3; val_offset:864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 864*FLEN/8, x4, x1, x2) + +inst_433: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 866*FLEN/8, x4, x1, x2) + +inst_434: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 868*FLEN/8, x4, x1, x2) + +inst_435: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x79a9d1edd4c29 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0xffb79a9d1edd4c29; + valaddr_reg:x3; val_offset:870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 870*FLEN/8, x4, x1, x2) + +inst_436: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1ca71e8813e1f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0x8031ca71e8813e1f; + valaddr_reg:x3; val_offset:872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 872*FLEN/8, x4, x1, x2) + +inst_437: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 874*FLEN/8, x4, x1, x2) + +inst_438: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 876*FLEN/8, x4, x1, x2) + +inst_439: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71dc729cd4c0d and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0bc8069a0dddf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071dc729cd4c0d; op2val:0xffd0bc8069a0dddf; + valaddr_reg:x3; val_offset:878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 878*FLEN/8, x4, x1, x2) + +inst_440: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 1 and fe2 == 0x000 and fm2 == 0x71dc729cd4c0d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0x80071dc729cd4c0d; + valaddr_reg:x3; val_offset:880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 880*FLEN/8, x4, x1, x2) + +inst_441: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71dc729cd4c0d and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071dc729cd4c0d; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 882*FLEN/8, x4, x1, x2) + +inst_442: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 884*FLEN/8, x4, x1, x2) + +inst_443: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x1f930d5b2a8f5 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0xffb1f930d5b2a8f5; + valaddr_reg:x3; val_offset:886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 886*FLEN/8, x4, x1, x2) + +inst_444: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1ca71e8813e1f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0x8031ca71e8813e1f; + valaddr_reg:x3; val_offset:888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 888*FLEN/8, x4, x1, x2) + +inst_445: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 890*FLEN/8, x4, x1, x2) + +inst_446: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 892*FLEN/8, x4, x1, x2) + +inst_447: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71dc729cd4c0d and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x60eeb556ce9ce and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071dc729cd4c0d; op2val:0xffd60eeb556ce9ce; + valaddr_reg:x3; val_offset:894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 894*FLEN/8, x4, x1, x2) + +inst_448: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 1 and fe2 == 0x000 and fm2 == 0x71dc729cd4c0d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0x80071dc729cd4c0d; + valaddr_reg:x3; val_offset:896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 896*FLEN/8, x4, x1, x2) + +inst_449: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71dc729cd4c0d and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071dc729cd4c0d; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 898*FLEN/8, x4, x1, x2) + +inst_450: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 900*FLEN/8, x4, x1, x2) + +inst_451: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xeb781eb40c69d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0xffbeb781eb40c69d; + valaddr_reg:x3; val_offset:902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 902*FLEN/8, x4, x1, x2) + +inst_452: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1ca71e8813e1f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0x8031ca71e8813e1f; + valaddr_reg:x3; val_offset:904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 904*FLEN/8, x4, x1, x2) + +inst_453: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1ca71e8813e1f and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031ca71e8813e1f; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 906*FLEN/8, x4, x1, x2) + +inst_454: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0x0; + valaddr_reg:x3; val_offset:908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 908*FLEN/8, x4, x1, x2) + +inst_455: +// fs1 == 1 and fe1 == 0x402 and fm1 == 0x3cafcfae8bc5f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc023cafcfae8bc5f; op2val:0x0; + valaddr_reg:x3; val_offset:910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 910*FLEN/8, x4, x1, x2) + +inst_456: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x402 and fm2 == 0x3cafcfae8bc5f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc023cafcfae8bc5f; + valaddr_reg:x3; val_offset:912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 912*FLEN/8, x4, x1, x2) + +inst_457: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x19dc4ea1c6bbe and fs2 == 1 and fe2 == 0x402 and fm2 == 0x3cafcfae8bc5f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe19dc4ea1c6bbe; op2val:0xc023cafcfae8bc5f; + valaddr_reg:x3; val_offset:914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 914*FLEN/8, x4, x1, x2) + +inst_458: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:916*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 916*FLEN/8, x4, x1, x2) + +inst_459: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x8dfd26d2431d6 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb8dfd26d2431d6; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:918*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 918*FLEN/8, x4, x1, x2) + +inst_460: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x8dfd26d2431d6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xffb8dfd26d2431d6; + valaddr_reg:x3; val_offset:920*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 920*FLEN/8, x4, x1, x2) + +inst_461: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:922*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 922*FLEN/8, x4, x1, x2) + +inst_462: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:924*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 924*FLEN/8, x4, x1, x2) + +inst_463: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x8dfd26d2431d6 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb8dfd26d2431d6; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:926*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 926*FLEN/8, x4, x1, x2) + +inst_464: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:928*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 928*FLEN/8, x4, x1, x2) + +inst_465: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x8dfd26d2431d6 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb8dfd26d2431d6; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:930*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 930*FLEN/8, x4, x1, x2) + +inst_466: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:932*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 932*FLEN/8, x4, x1, x2) + +inst_467: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x8dfd26d2431d6 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb8dfd26d2431d6; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:934*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 934*FLEN/8, x4, x1, x2) + +inst_468: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:936*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 936*FLEN/8, x4, x1, x2) + +inst_469: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x8dfd26d2431d6 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb8dfd26d2431d6; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:938*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 938*FLEN/8, x4, x1, x2) + +inst_470: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:940*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 940*FLEN/8, x4, x1, x2) + +inst_471: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x8dfd26d2431d6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb8dfd26d2431d6; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:942*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 942*FLEN/8, x4, x1, x2) + +inst_472: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:944*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 944*FLEN/8, x4, x1, x2) + +inst_473: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:946*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 946*FLEN/8, x4, x1, x2) + +inst_474: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:948*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 948*FLEN/8, x4, x1, x2) + +inst_475: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x3e641f0e9c178 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff83e641f0e9c178; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:950*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 950*FLEN/8, x4, x1, x2) + +inst_476: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x3e641f0e9c178 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xff83e641f0e9c178; + valaddr_reg:x3; val_offset:952*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 952*FLEN/8, x4, x1, x2) + +inst_477: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x3e641f0e9c178 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff83e641f0e9c178; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:954*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 954*FLEN/8, x4, x1, x2) + +inst_478: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x3e641f0e9c178 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xff83e641f0e9c178; + valaddr_reg:x3; val_offset:956*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 956*FLEN/8, x4, x1, x2) + +inst_479: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:958*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 958*FLEN/8, x4, x1, x2) + +inst_480: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe405554eabc62 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0x7fee405554eabc62; + valaddr_reg:x3; val_offset:960*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 960*FLEN/8, x4, x1, x2) + +inst_481: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe405554eabc62 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc8f73c41dbdb6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee405554eabc62; op2val:0x800c8f73c41dbdb6; + valaddr_reg:x3; val_offset:962*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 962*FLEN/8, x4, x1, x2) + +inst_482: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:964*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 964*FLEN/8, x4, x1, x2) + +inst_483: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc8f73c41dbdb6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x800c8f73c41dbdb6; + valaddr_reg:x3; val_offset:966*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 966*FLEN/8, x4, x1, x2) + +inst_484: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:968*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 968*FLEN/8, x4, x1, x2) + +inst_485: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x9cedc8f82aa65 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0x7fe9cedc8f82aa65; + valaddr_reg:x3; val_offset:970*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 970*FLEN/8, x4, x1, x2) + +inst_486: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x9cedc8f82aa65 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc8f73c41dbdb6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe9cedc8f82aa65; op2val:0x800c8f73c41dbdb6; + valaddr_reg:x3; val_offset:972*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 972*FLEN/8, x4, x1, x2) + +inst_487: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:974*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 974*FLEN/8, x4, x1, x2) + +inst_488: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:976*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 976*FLEN/8, x4, x1, x2) + +inst_489: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:978*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 978*FLEN/8, x4, x1, x2) + +inst_490: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc8f73c41dbdb6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x800c8f73c41dbdb6; + valaddr_reg:x3; val_offset:980*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 980*FLEN/8, x4, x1, x2) + +inst_491: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:982*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 982*FLEN/8, x4, x1, x2) + +inst_492: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:984*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 984*FLEN/8, x4, x1, x2) + +inst_493: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1418b939c92f9 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001418b939c92f9; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:986*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 986*FLEN/8, x4, x1, x2) + +inst_494: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1418b939c92f9 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8001418b939c92f9; + valaddr_reg:x3; val_offset:988*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 988*FLEN/8, x4, x1, x2) + +inst_495: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1418b939c92f9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001418b939c92f9; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:990*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 990*FLEN/8, x4, x1, x2) + +inst_496: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1418b939c92f9 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x8001418b939c92f9; + valaddr_reg:x3; val_offset:992*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 992*FLEN/8, x4, x1, x2) + +inst_497: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:994*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 994*FLEN/8, x4, x1, x2) + +inst_498: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:996*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 996*FLEN/8, x4, x1, x2) + +inst_499: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:998*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 998*FLEN/8, x4, x1, x2) + +inst_500: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xd814466949f33 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0xffed814466949f33; + valaddr_reg:x3; val_offset:1000*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1000*FLEN/8, x4, x1, x2) + +inst_501: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd814466949f33 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc8f73c41dbdb6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed814466949f33; op2val:0x800c8f73c41dbdb6; + valaddr_reg:x3; val_offset:1002*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1002*FLEN/8, x4, x1, x2) + +inst_502: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1004*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1004*FLEN/8, x4, x1, x2) + +inst_503: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1006*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1006*FLEN/8, x4, x1, x2) + +inst_504: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1418b939c92f9 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001418b939c92f9; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1008*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1008*FLEN/8, x4, x1, x2) + +inst_505: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1418b939c92f9 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8001418b939c92f9; + valaddr_reg:x3; val_offset:1010*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1010*FLEN/8, x4, x1, x2) + +inst_506: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1418b939c92f9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001418b939c92f9; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1012*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1012*FLEN/8, x4, x1, x2) + +inst_507: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1014*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1014*FLEN/8, x4, x1, x2) + +inst_508: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x6777d0b1f5332 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0xffe6777d0b1f5332; + valaddr_reg:x3; val_offset:1016*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1016*FLEN/8, x4, x1, x2) + +inst_509: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x6777d0b1f5332 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc8f73c41dbdb6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe6777d0b1f5332; op2val:0x800c8f73c41dbdb6; + valaddr_reg:x3; val_offset:1018*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1018*FLEN/8, x4, x1, x2) + +inst_510: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1020*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1020*FLEN/8, x4, x1, x2) + +inst_511: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1022*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1022*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_5) + +inst_512: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1418b939c92f9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001418b939c92f9; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1024*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1024*FLEN/8, x4, x1, x2) + +inst_513: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1026*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1026*FLEN/8, x4, x1, x2) + +inst_514: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1028*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1028*FLEN/8, x4, x1, x2) + +inst_515: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xc8f73c41dbdb6 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x800c8f73c41dbdb6; + valaddr_reg:x3; val_offset:1030*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1030*FLEN/8, x4, x1, x2) + +inst_516: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xc8f73c41dbdb6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800c8f73c41dbdb6; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1032*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1032*FLEN/8, x4, x1, x2) + +inst_517: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0x0; + valaddr_reg:x3; val_offset:1034*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1034*FLEN/8, x4, x1, x2) + +inst_518: +// fs1 == 1 and fe1 == 0x3ff and fm1 == 0xbf29e6067a411 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbffbf29e6067a411; op2val:0x0; + valaddr_reg:x3; val_offset:1036*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1036*FLEN/8, x4, x1, x2) + +inst_519: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xbf29e6067a411 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xbffbf29e6067a411; + valaddr_reg:x3; val_offset:1038*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1038*FLEN/8, x4, x1, x2) + +inst_520: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xf17c7086d3e4c and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xbf29e6067a411 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffef17c7086d3e4c; op2val:0xbffbf29e6067a411; + valaddr_reg:x3; val_offset:1040*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1040*FLEN/8, x4, x1, x2) + +inst_521: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1042*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1042*FLEN/8, x4, x1, x2) + +inst_522: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x98bcc3a92c611 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb98bcc3a92c611; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1044*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1044*FLEN/8, x4, x1, x2) + +inst_523: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x98bcc3a92c611 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xffb98bcc3a92c611; + valaddr_reg:x3; val_offset:1046*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1046*FLEN/8, x4, x1, x2) + +inst_524: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1048*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1048*FLEN/8, x4, x1, x2) + +inst_525: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1050*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1050*FLEN/8, x4, x1, x2) + +inst_526: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x98bcc3a92c611 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb98bcc3a92c611; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1052*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1052*FLEN/8, x4, x1, x2) + +inst_527: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1054*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1054*FLEN/8, x4, x1, x2) + +inst_528: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x98bcc3a92c611 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb98bcc3a92c611; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1056*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1056*FLEN/8, x4, x1, x2) + +inst_529: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1058*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1058*FLEN/8, x4, x1, x2) + +inst_530: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x98bcc3a92c611 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb98bcc3a92c611; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1060*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1060*FLEN/8, x4, x1, x2) + +inst_531: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1062*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1062*FLEN/8, x4, x1, x2) + +inst_532: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x98bcc3a92c611 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb98bcc3a92c611; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1064*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1064*FLEN/8, x4, x1, x2) + +inst_533: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1066*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1066*FLEN/8, x4, x1, x2) + +inst_534: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x98bcc3a92c611 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb98bcc3a92c611; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1068*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1068*FLEN/8, x4, x1, x2) + +inst_535: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1070*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1070*FLEN/8, x4, x1, x2) + +inst_536: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x46fd69542380e and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff846fd69542380e; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1072*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1072*FLEN/8, x4, x1, x2) + +inst_537: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x46fd69542380e and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xff846fd69542380e; + valaddr_reg:x3; val_offset:1074*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1074*FLEN/8, x4, x1, x2) + +inst_538: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x46fd69542380e and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff846fd69542380e; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1076*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1076*FLEN/8, x4, x1, x2) + +inst_539: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x46fd69542380e and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xff846fd69542380e; + valaddr_reg:x3; val_offset:1078*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1078*FLEN/8, x4, x1, x2) + +inst_540: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1080*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1080*FLEN/8, x4, x1, x2) + +inst_541: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe405554eabc62 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0x7fee405554eabc62; + valaddr_reg:x3; val_offset:1082*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1082*FLEN/8, x4, x1, x2) + +inst_542: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe405554eabc62 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xce64abc9e6d7c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee405554eabc62; op2val:0x800ce64abc9e6d7c; + valaddr_reg:x3; val_offset:1084*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1084*FLEN/8, x4, x1, x2) + +inst_543: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1086*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1086*FLEN/8, x4, x1, x2) + +inst_544: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xce64abc9e6d7c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x800ce64abc9e6d7c; + valaddr_reg:x3; val_offset:1088*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1088*FLEN/8, x4, x1, x2) + +inst_545: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1090*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1090*FLEN/8, x4, x1, x2) + +inst_546: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x9cedc8f82aa65 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0x7fe9cedc8f82aa65; + valaddr_reg:x3; val_offset:1092*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1092*FLEN/8, x4, x1, x2) + +inst_547: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x9cedc8f82aa65 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xce64abc9e6d7c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe9cedc8f82aa65; op2val:0x800ce64abc9e6d7c; + valaddr_reg:x3; val_offset:1094*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1094*FLEN/8, x4, x1, x2) + +inst_548: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1096*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1096*FLEN/8, x4, x1, x2) + +inst_549: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1098*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1098*FLEN/8, x4, x1, x2) + +inst_550: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1100*FLEN/8, x4, x1, x2) + +inst_551: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xce64abc9e6d7c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x800ce64abc9e6d7c; + valaddr_reg:x3; val_offset:1102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1102*FLEN/8, x4, x1, x2) + +inst_552: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1104*FLEN/8, x4, x1, x2) + +inst_553: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1106*FLEN/8, x4, x1, x2) + +inst_554: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x14a3aac763e26 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80014a3aac763e26; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1108*FLEN/8, x4, x1, x2) + +inst_555: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x14a3aac763e26 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x80014a3aac763e26; + valaddr_reg:x3; val_offset:1110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1110*FLEN/8, x4, x1, x2) + +inst_556: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x14a3aac763e26 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80014a3aac763e26; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1112*FLEN/8, x4, x1, x2) + +inst_557: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x14a3aac763e26 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x80014a3aac763e26; + valaddr_reg:x3; val_offset:1114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1114*FLEN/8, x4, x1, x2) + +inst_558: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1116*FLEN/8, x4, x1, x2) + +inst_559: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1118*FLEN/8, x4, x1, x2) + +inst_560: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1120*FLEN/8, x4, x1, x2) + +inst_561: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xd814466949f33 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0xffed814466949f33; + valaddr_reg:x3; val_offset:1122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1122*FLEN/8, x4, x1, x2) + +inst_562: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd814466949f33 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xce64abc9e6d7c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed814466949f33; op2val:0x800ce64abc9e6d7c; + valaddr_reg:x3; val_offset:1124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1124*FLEN/8, x4, x1, x2) + +inst_563: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1126*FLEN/8, x4, x1, x2) + +inst_564: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1128*FLEN/8, x4, x1, x2) + +inst_565: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x14a3aac763e26 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80014a3aac763e26; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1130*FLEN/8, x4, x1, x2) + +inst_566: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x14a3aac763e26 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x80014a3aac763e26; + valaddr_reg:x3; val_offset:1132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1132*FLEN/8, x4, x1, x2) + +inst_567: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x14a3aac763e26 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80014a3aac763e26; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1134*FLEN/8, x4, x1, x2) + +inst_568: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1136*FLEN/8, x4, x1, x2) + +inst_569: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x6777d0b1f5332 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0xffe6777d0b1f5332; + valaddr_reg:x3; val_offset:1138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1138*FLEN/8, x4, x1, x2) + +inst_570: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x6777d0b1f5332 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xce64abc9e6d7c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe6777d0b1f5332; op2val:0x800ce64abc9e6d7c; + valaddr_reg:x3; val_offset:1140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1140*FLEN/8, x4, x1, x2) + +inst_571: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1142*FLEN/8, x4, x1, x2) + +inst_572: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1144*FLEN/8, x4, x1, x2) + +inst_573: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x14a3aac763e26 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80014a3aac763e26; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1146*FLEN/8, x4, x1, x2) + +inst_574: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1148*FLEN/8, x4, x1, x2) + +inst_575: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1150*FLEN/8, x4, x1, x2) + +inst_576: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xce64abc9e6d7c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x800ce64abc9e6d7c; + valaddr_reg:x3; val_offset:1152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1152*FLEN/8, x4, x1, x2) + +inst_577: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xce64abc9e6d7c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800ce64abc9e6d7c; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1154*FLEN/8, x4, x1, x2) + +inst_578: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0x0; + valaddr_reg:x3; val_offset:1156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1156*FLEN/8, x4, x1, x2) + +inst_579: +// fs1 == 1 and fe1 == 0x3ff and fm1 == 0xcb3d7eda95caf and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbffcb3d7eda95caf; op2val:0x0; + valaddr_reg:x3; val_offset:1158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1158*FLEN/8, x4, x1, x2) + +inst_580: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xcb3d7eda95caf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xbffcb3d7eda95caf; + valaddr_reg:x3; val_offset:1160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1160*FLEN/8, x4, x1, x2) + +inst_581: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfeebf49377796 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xcb3d7eda95caf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefeebf49377796; op2val:0xbffcb3d7eda95caf; + valaddr_reg:x3; val_offset:1162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1162*FLEN/8, x4, x1, x2) + +inst_582: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1164*FLEN/8, x4, x1, x2) + +inst_583: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe1836cb3e931a8; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1166*FLEN/8, x4, x1, x2) + +inst_584: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x1836cb3e931a8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xffe1836cb3e931a8; + valaddr_reg:x3; val_offset:1168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1168*FLEN/8, x4, x1, x2) + +inst_585: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1170*FLEN/8, x4, x1, x2) + +inst_586: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1172*FLEN/8, x4, x1, x2) + +inst_587: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe1836cb3e931a8; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1174*FLEN/8, x4, x1, x2) + +inst_588: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1176*FLEN/8, x4, x1, x2) + +inst_589: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe1836cb3e931a8; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1178*FLEN/8, x4, x1, x2) + +inst_590: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1180*FLEN/8, x4, x1, x2) + +inst_591: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1182*FLEN/8, x4, x1, x2) + +inst_592: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1184*FLEN/8, x4, x1, x2) + +inst_593: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe1836cb3e931a8; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1186*FLEN/8, x4, x1, x2) + +inst_594: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1188*FLEN/8, x4, x1, x2) + +inst_595: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe1836cb3e931a8; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1190*FLEN/8, x4, x1, x2) + +inst_596: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1192*FLEN/8, x4, x1, x2) + +inst_597: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x1836cb3e931a8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe1836cb3e931a8; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1194*FLEN/8, x4, x1, x2) + +inst_598: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1196*FLEN/8, x4, x1, x2) + +inst_599: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1198*FLEN/8, x4, x1, x2) + +inst_600: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1200*FLEN/8, x4, x1, x2) + +inst_601: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x35c5f9281c03f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0x7f835c5f9281c03f; + valaddr_reg:x3; val_offset:1202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1202*FLEN/8, x4, x1, x2) + +inst_602: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x35c5f9281c03f and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1afd6e2a800a2 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f835c5f9281c03f; op2val:0x8031afd6e2a800a2; + valaddr_reg:x3; val_offset:1204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1204*FLEN/8, x4, x1, x2) + +inst_603: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1206*FLEN/8, x4, x1, x2) + +inst_604: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1afd6e2a800a2 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x8031afd6e2a800a2; + valaddr_reg:x3; val_offset:1208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1208*FLEN/8, x4, x1, x2) + +inst_605: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1210*FLEN/8, x4, x1, x2) + +inst_606: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x0846432e2fc69 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0x7f80846432e2fc69; + valaddr_reg:x3; val_offset:1212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1212*FLEN/8, x4, x1, x2) + +inst_607: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x0846432e2fc69 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1afd6e2a800a2 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f80846432e2fc69; op2val:0x8031afd6e2a800a2; + valaddr_reg:x3; val_offset:1214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1214*FLEN/8, x4, x1, x2) + +inst_608: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1216*FLEN/8, x4, x1, x2) + +inst_609: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1218*FLEN/8, x4, x1, x2) + +inst_610: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x52f8acd0b29dc and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0x7f852f8acd0b29dc; + valaddr_reg:x3; val_offset:1220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1220*FLEN/8, x4, x1, x2) + +inst_611: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x52f8acd0b29dc and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1afd6e2a800a2 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f852f8acd0b29dc; op2val:0x8031afd6e2a800a2; + valaddr_reg:x3; val_offset:1222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1222*FLEN/8, x4, x1, x2) + +inst_612: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1224*FLEN/8, x4, x1, x2) + +inst_613: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1226*FLEN/8, x4, x1, x2) + +inst_614: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71322c1100041 and fs2 == 0 and fe2 == 0x7fa and fm2 == 0xc39a4b4fd5fa0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071322c1100041; op2val:0x7fac39a4b4fd5fa0; + valaddr_reg:x3; val_offset:1228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1228*FLEN/8, x4, x1, x2) + +inst_615: +// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xc39a4b4fd5fa0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x71322c1100041 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fac39a4b4fd5fa0; op2val:0x80071322c1100041; + valaddr_reg:x3; val_offset:1230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1230*FLEN/8, x4, x1, x2) + +inst_616: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71322c1100041 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071322c1100041; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1232*FLEN/8, x4, x1, x2) + +inst_617: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x71322c1100041 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x80071322c1100041; + valaddr_reg:x3; val_offset:1234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1234*FLEN/8, x4, x1, x2) + +inst_618: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1236*FLEN/8, x4, x1, x2) + +inst_619: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x6d9a5549e6720 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0x7f86d9a5549e6720; + valaddr_reg:x3; val_offset:1238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1238*FLEN/8, x4, x1, x2) + +inst_620: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x6d9a5549e6720 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1afd6e2a800a2 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f86d9a5549e6720; op2val:0x8031afd6e2a800a2; + valaddr_reg:x3; val_offset:1240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1240*FLEN/8, x4, x1, x2) + +inst_621: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1242*FLEN/8, x4, x1, x2) + +inst_622: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1244*FLEN/8, x4, x1, x2) + +inst_623: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x2e2174be43ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0xff82e2174be43ced; + valaddr_reg:x3; val_offset:1246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1246*FLEN/8, x4, x1, x2) + +inst_624: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x2e2174be43ced and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1afd6e2a800a2 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff82e2174be43ced; op2val:0x8031afd6e2a800a2; + valaddr_reg:x3; val_offset:1248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1248*FLEN/8, x4, x1, x2) + +inst_625: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1250*FLEN/8, x4, x1, x2) + +inst_626: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1252*FLEN/8, x4, x1, x2) + +inst_627: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71322c1100041 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0xac733dc349632 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071322c1100041; op2val:0xff9ac733dc349632; + valaddr_reg:x3; val_offset:1254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1254*FLEN/8, x4, x1, x2) + +inst_628: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0xac733dc349632 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x71322c1100041 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff9ac733dc349632; op2val:0x80071322c1100041; + valaddr_reg:x3; val_offset:1256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1256*FLEN/8, x4, x1, x2) + +inst_629: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71322c1100041 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071322c1100041; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1258*FLEN/8, x4, x1, x2) + +inst_630: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1260*FLEN/8, x4, x1, x2) + +inst_631: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 1 and fe2 == 0x7f7 and fm2 == 0xcc1e7bc510e55 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0xff7cc1e7bc510e55; + valaddr_reg:x3; val_offset:1262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1262*FLEN/8, x4, x1, x2) + +inst_632: +// fs1 == 1 and fe1 == 0x7f7 and fm1 == 0xcc1e7bc510e55 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1afd6e2a800a2 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff7cc1e7bc510e55; op2val:0x8031afd6e2a800a2; + valaddr_reg:x3; val_offset:1264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1264*FLEN/8, x4, x1, x2) + +inst_633: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1266*FLEN/8, x4, x1, x2) + +inst_634: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1268*FLEN/8, x4, x1, x2) + +inst_635: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71322c1100041 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0x1a5891123ee3f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071322c1100041; op2val:0xffa1a5891123ee3f; + valaddr_reg:x3; val_offset:1270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1270*FLEN/8, x4, x1, x2) + +inst_636: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0x1a5891123ee3f and fs2 == 1 and fe2 == 0x000 and fm2 == 0x71322c1100041 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffa1a5891123ee3f; op2val:0x80071322c1100041; + valaddr_reg:x3; val_offset:1272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1272*FLEN/8, x4, x1, x2) + +inst_637: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x71322c1100041 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80071322c1100041; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1274*FLEN/8, x4, x1, x2) + +inst_638: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1276*FLEN/8, x4, x1, x2) + +inst_639: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x892ce55cd6bb0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0xff8892ce55cd6bb0; + valaddr_reg:x3; val_offset:1278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1278*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_6) + +inst_640: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x892ce55cd6bb0 and fs2 == 1 and fe2 == 0x003 and fm2 == 0x1afd6e2a800a2 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff8892ce55cd6bb0; op2val:0x8031afd6e2a800a2; + valaddr_reg:x3; val_offset:1280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1280*FLEN/8, x4, x1, x2) + +inst_641: +// fs1 == 1 and fe1 == 0x003 and fm1 == 0x1afd6e2a800a2 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8031afd6e2a800a2; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1282*FLEN/8, x4, x1, x2) + +inst_642: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0x0; + valaddr_reg:x3; val_offset:1284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1284*FLEN/8, x4, x1, x2) + +inst_643: +// fs1 == 1 and fe1 == 0x402 and fm1 == 0x3ad6377363fb3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc023ad6377363fb3; op2val:0x0; + valaddr_reg:x3; val_offset:1286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1286*FLEN/8, x4, x1, x2) + +inst_644: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x402 and fm2 == 0x3ad6377363fb3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc023ad6377363fb3; + valaddr_reg:x3; val_offset:1288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1288*FLEN/8, x4, x1, x2) + +inst_645: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xc057ab9751c40 and fs2 == 1 and fe2 == 0x402 and fm2 == 0x3ad6377363fb3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffac057ab9751c40; op2val:0xc023ad6377363fb3; + valaddr_reg:x3; val_offset:1290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1290*FLEN/8, x4, x1, x2) + +inst_646: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1292*FLEN/8, x4, x1, x2) + +inst_647: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb833777722304f; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1294*FLEN/8, x4, x1, x2) + +inst_648: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x833777722304f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x7fb833777722304f; + valaddr_reg:x3; val_offset:1296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1296*FLEN/8, x4, x1, x2) + +inst_649: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1298*FLEN/8, x4, x1, x2) + +inst_650: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1300*FLEN/8, x4, x1, x2) + +inst_651: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb833777722304f; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1302*FLEN/8, x4, x1, x2) + +inst_652: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1304*FLEN/8, x4, x1, x2) + +inst_653: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb833777722304f; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1306*FLEN/8, x4, x1, x2) + +inst_654: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1308*FLEN/8, x4, x1, x2) + +inst_655: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe405554eabc62 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee405554eabc62; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1310*FLEN/8, x4, x1, x2) + +inst_656: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xe405554eabc62 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x7fee405554eabc62; + valaddr_reg:x3; val_offset:1312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1312*FLEN/8, x4, x1, x2) + +inst_657: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1314*FLEN/8, x4, x1, x2) + +inst_658: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb833777722304f; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1316*FLEN/8, x4, x1, x2) + +inst_659: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1318*FLEN/8, x4, x1, x2) + +inst_660: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb833777722304f; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1320*FLEN/8, x4, x1, x2) + +inst_661: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1322*FLEN/8, x4, x1, x2) + +inst_662: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x833777722304f and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb833777722304f; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1324*FLEN/8, x4, x1, x2) + +inst_663: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1326*FLEN/8, x4, x1, x2) + +inst_664: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe405554eabc62 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee405554eabc62; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1328*FLEN/8, x4, x1, x2) + +inst_665: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1330*FLEN/8, x4, x1, x2) + +inst_666: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xe405554eabc62 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fee405554eabc62; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1332*FLEN/8, x4, x1, x2) + +inst_667: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1334*FLEN/8, x4, x1, x2) + +inst_668: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x35c5f9281c03f and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f835c5f9281c03f; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1336*FLEN/8, x4, x1, x2) + +inst_669: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x35c5f9281c03f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x7f835c5f9281c03f; + valaddr_reg:x3; val_offset:1338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1338*FLEN/8, x4, x1, x2) + +inst_670: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1340*FLEN/8, x4, x1, x2) + +inst_671: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1342*FLEN/8, x4, x1, x2) + +inst_672: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1344*FLEN/8, x4, x1, x2) + +inst_673: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1346*FLEN/8, x4, x1, x2) + +inst_674: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1348*FLEN/8, x4, x1, x2) + +inst_675: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x138d792d007f4 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1d0c3ce54e734 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x138d792d007f4; op2val:0x31d0c3ce54e734; + valaddr_reg:x3; val_offset:1350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1350*FLEN/8, x4, x1, x2) + +inst_676: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x138d792d007f4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0x138d792d007f4; + valaddr_reg:x3; val_offset:1352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1352*FLEN/8, x4, x1, x2) + +inst_677: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x138d792d007f4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x138d792d007f4; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1354*FLEN/8, x4, x1, x2) + +inst_678: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x138d792d007f4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x138d792d007f4; + valaddr_reg:x3; val_offset:1356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1356*FLEN/8, x4, x1, x2) + +inst_679: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1358*FLEN/8, x4, x1, x2) + +inst_680: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1360*FLEN/8, x4, x1, x2) + +inst_681: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1362*FLEN/8, x4, x1, x2) + +inst_682: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1364*FLEN/8, x4, x1, x2) + +inst_683: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1366*FLEN/8, x4, x1, x2) + +inst_684: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x138d792d007f4 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x0e6f21de6840b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x138d792d007f4; op2val:0x8020e6f21de6840b; + valaddr_reg:x3; val_offset:1368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1368*FLEN/8, x4, x1, x2) + +inst_685: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x138d792d007f4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0x138d792d007f4; + valaddr_reg:x3; val_offset:1370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1370*FLEN/8, x4, x1, x2) + +inst_686: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x138d792d007f4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x138d792d007f4; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1372*FLEN/8, x4, x1, x2) + +inst_687: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1374*FLEN/8, x4, x1, x2) + +inst_688: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1376*FLEN/8, x4, x1, x2) + +inst_689: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1378*FLEN/8, x4, x1, x2) + +inst_690: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x138d792d007f4 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x646dc31fb5314 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x138d792d007f4; op2val:0x802646dc31fb5314; + valaddr_reg:x3; val_offset:1380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1380*FLEN/8, x4, x1, x2) + +inst_691: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x138d792d007f4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0x138d792d007f4; + valaddr_reg:x3; val_offset:1382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1382*FLEN/8, x4, x1, x2) + +inst_692: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x138d792d007f4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x138d792d007f4; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1384*FLEN/8, x4, x1, x2) + +inst_693: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1386*FLEN/8, x4, x1, x2) + +inst_694: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1388*FLEN/8, x4, x1, x2) + +inst_695: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x0; + valaddr_reg:x3; val_offset:1390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1390*FLEN/8, x4, x1, x2) + +inst_696: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0xb30f7a95c7e30 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ffb30f7a95c7e30; op2val:0x0; + valaddr_reg:x3; val_offset:1392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1392*FLEN/8, x4, x1, x2) + +inst_697: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xb30f7a95c7e30 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x3ffb30f7a95c7e30; + valaddr_reg:x3; val_offset:1394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1394*FLEN/8, x4, x1, x2) + +inst_698: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc386bbc204f89 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xb30f7a95c7e30 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc386bbc204f89; op2val:0x3ffb30f7a95c7e30; + valaddr_reg:x3; val_offset:1396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1396*FLEN/8, x4, x1, x2) + +inst_699: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1398*FLEN/8, x4, x1, x2) + +inst_700: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1400*FLEN/8, x4, x1, x2) + +inst_701: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x4a57d3f9bbb84 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x7fb4a57d3f9bbb84; + valaddr_reg:x3; val_offset:1402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1402*FLEN/8, x4, x1, x2) + +inst_702: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1404*FLEN/8, x4, x1, x2) + +inst_703: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1406*FLEN/8, x4, x1, x2) + +inst_704: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1408*FLEN/8, x4, x1, x2) + +inst_705: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1410*FLEN/8, x4, x1, x2) + +inst_706: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1412*FLEN/8, x4, x1, x2) + +inst_707: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1414*FLEN/8, x4, x1, x2) + +inst_708: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x9cedc8f82aa65 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe9cedc8f82aa65; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1416*FLEN/8, x4, x1, x2) + +inst_709: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x9cedc8f82aa65 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x7fe9cedc8f82aa65; + valaddr_reg:x3; val_offset:1418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1418*FLEN/8, x4, x1, x2) + +inst_710: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1420*FLEN/8, x4, x1, x2) + +inst_711: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1422*FLEN/8, x4, x1, x2) + +inst_712: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1424*FLEN/8, x4, x1, x2) + +inst_713: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1426*FLEN/8, x4, x1, x2) + +inst_714: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1428*FLEN/8, x4, x1, x2) + +inst_715: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x4a57d3f9bbb84 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb4a57d3f9bbb84; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1430*FLEN/8, x4, x1, x2) + +inst_716: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1432*FLEN/8, x4, x1, x2) + +inst_717: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x9cedc8f82aa65 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe9cedc8f82aa65; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1434*FLEN/8, x4, x1, x2) + +inst_718: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1436*FLEN/8, x4, x1, x2) + +inst_719: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x9cedc8f82aa65 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe9cedc8f82aa65; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1438*FLEN/8, x4, x1, x2) + +inst_720: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1440*FLEN/8, x4, x1, x2) + +inst_721: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x0846432e2fc69 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f80846432e2fc69; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1442*FLEN/8, x4, x1, x2) + +inst_722: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x0846432e2fc69 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x7f80846432e2fc69; + valaddr_reg:x3; val_offset:1444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1444*FLEN/8, x4, x1, x2) + +inst_723: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1446*FLEN/8, x4, x1, x2) + +inst_724: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1448*FLEN/8, x4, x1, x2) + +inst_725: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1450*FLEN/8, x4, x1, x2) + +inst_726: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x10ae479ad094b and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1d0c3ce54e734 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10ae479ad094b; op2val:0x31d0c3ce54e734; + valaddr_reg:x3; val_offset:1452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1452*FLEN/8, x4, x1, x2) + +inst_727: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x10ae479ad094b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0x10ae479ad094b; + valaddr_reg:x3; val_offset:1454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1454*FLEN/8, x4, x1, x2) + +inst_728: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x10ae479ad094b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10ae479ad094b; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1456*FLEN/8, x4, x1, x2) + +inst_729: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x000 and fm2 == 0x10ae479ad094b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x10ae479ad094b; + valaddr_reg:x3; val_offset:1458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1458*FLEN/8, x4, x1, x2) + +inst_730: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1460*FLEN/8, x4, x1, x2) + +inst_731: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1462*FLEN/8, x4, x1, x2) + +inst_732: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1464*FLEN/8, x4, x1, x2) + +inst_733: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1466*FLEN/8, x4, x1, x2) + +inst_734: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1468*FLEN/8, x4, x1, x2) + +inst_735: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x10ae479ad094b and fs2 == 1 and fe2 == 0x002 and fm2 == 0x0e6f21de6840b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10ae479ad094b; op2val:0x8020e6f21de6840b; + valaddr_reg:x3; val_offset:1470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1470*FLEN/8, x4, x1, x2) + +inst_736: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x10ae479ad094b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0x10ae479ad094b; + valaddr_reg:x3; val_offset:1472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1472*FLEN/8, x4, x1, x2) + +inst_737: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x10ae479ad094b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10ae479ad094b; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1474*FLEN/8, x4, x1, x2) + +inst_738: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1476*FLEN/8, x4, x1, x2) + +inst_739: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1478*FLEN/8, x4, x1, x2) + +inst_740: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1480*FLEN/8, x4, x1, x2) + +inst_741: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x10ae479ad094b and fs2 == 1 and fe2 == 0x002 and fm2 == 0x646dc31fb5314 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10ae479ad094b; op2val:0x802646dc31fb5314; + valaddr_reg:x3; val_offset:1482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1482*FLEN/8, x4, x1, x2) + +inst_742: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x10ae479ad094b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0x10ae479ad094b; + valaddr_reg:x3; val_offset:1484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1484*FLEN/8, x4, x1, x2) + +inst_743: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x10ae479ad094b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10ae479ad094b; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1486*FLEN/8, x4, x1, x2) + +inst_744: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1488*FLEN/8, x4, x1, x2) + +inst_745: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1490*FLEN/8, x4, x1, x2) + +inst_746: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x0; + valaddr_reg:x3; val_offset:1492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1492*FLEN/8, x4, x1, x2) + +inst_747: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x7328e09ede5ed and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff7328e09ede5ed; op2val:0x0; + valaddr_reg:x3; val_offset:1494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1494*FLEN/8, x4, x1, x2) + +inst_748: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x7328e09ede5ed and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x3ff7328e09ede5ed; + valaddr_reg:x3; val_offset:1496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1496*FLEN/8, x4, x1, x2) + +inst_749: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xa6cecc0c25ced and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x7328e09ede5ed and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xa6cecc0c25ced; op2val:0x3ff7328e09ede5ed; + valaddr_reg:x3; val_offset:1498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1498*FLEN/8, x4, x1, x2) + +inst_750: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1500*FLEN/8, x4, x1, x2) + +inst_751: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1502*FLEN/8, x4, x1, x2) + +inst_752: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xa7b6d804df453 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x7fba7b6d804df453; + valaddr_reg:x3; val_offset:1504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1504*FLEN/8, x4, x1, x2) + +inst_753: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1506*FLEN/8, x4, x1, x2) + +inst_754: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1508*FLEN/8, x4, x1, x2) + +inst_755: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1510*FLEN/8, x4, x1, x2) + +inst_756: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1512*FLEN/8, x4, x1, x2) + +inst_757: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1514*FLEN/8, x4, x1, x2) + +inst_758: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1516*FLEN/8, x4, x1, x2) + +inst_759: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1518*FLEN/8, x4, x1, x2) + +inst_760: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1520*FLEN/8, x4, x1, x2) + +inst_761: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1522*FLEN/8, x4, x1, x2) + +inst_762: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1524*FLEN/8, x4, x1, x2) + +inst_763: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1526*FLEN/8, x4, x1, x2) + +inst_764: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1528*FLEN/8, x4, x1, x2) + +inst_765: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xa7b6d804df453 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fba7b6d804df453; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1530*FLEN/8, x4, x1, x2) + +inst_766: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1532*FLEN/8, x4, x1, x2) + +inst_767: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1534*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_7) + +inst_768: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1536*FLEN/8, x4, x1, x2) + +inst_769: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x52f8acd0b29dc and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f852f8acd0b29dc; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1538*FLEN/8, x4, x1, x2) + +inst_770: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x52f8acd0b29dc and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x7f852f8acd0b29dc; + valaddr_reg:x3; val_offset:1540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1540*FLEN/8, x4, x1, x2) + +inst_771: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1542*FLEN/8, x4, x1, x2) + +inst_772: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1565452ad8ee7 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1d0c3ce54e734 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1565452ad8ee7; op2val:0x31d0c3ce54e734; + valaddr_reg:x3; val_offset:1544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1544*FLEN/8, x4, x1, x2) + +inst_773: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1565452ad8ee7 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0x1565452ad8ee7; + valaddr_reg:x3; val_offset:1546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1546*FLEN/8, x4, x1, x2) + +inst_774: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1565452ad8ee7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1565452ad8ee7; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1548*FLEN/8, x4, x1, x2) + +inst_775: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1565452ad8ee7 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x1565452ad8ee7; + valaddr_reg:x3; val_offset:1550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1550*FLEN/8, x4, x1, x2) + +inst_776: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1552*FLEN/8, x4, x1, x2) + +inst_777: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1554*FLEN/8, x4, x1, x2) + +inst_778: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1556*FLEN/8, x4, x1, x2) + +inst_779: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1558*FLEN/8, x4, x1, x2) + +inst_780: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1560*FLEN/8, x4, x1, x2) + +inst_781: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1565452ad8ee7 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x0e6f21de6840b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1565452ad8ee7; op2val:0x8020e6f21de6840b; + valaddr_reg:x3; val_offset:1562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1562*FLEN/8, x4, x1, x2) + +inst_782: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1565452ad8ee7 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0x1565452ad8ee7; + valaddr_reg:x3; val_offset:1564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1564*FLEN/8, x4, x1, x2) + +inst_783: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1565452ad8ee7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1565452ad8ee7; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1566*FLEN/8, x4, x1, x2) + +inst_784: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1568*FLEN/8, x4, x1, x2) + +inst_785: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1570*FLEN/8, x4, x1, x2) + +inst_786: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1572*FLEN/8, x4, x1, x2) + +inst_787: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1565452ad8ee7 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x646dc31fb5314 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1565452ad8ee7; op2val:0x802646dc31fb5314; + valaddr_reg:x3; val_offset:1574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1574*FLEN/8, x4, x1, x2) + +inst_788: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1565452ad8ee7 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0x1565452ad8ee7; + valaddr_reg:x3; val_offset:1576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1576*FLEN/8, x4, x1, x2) + +inst_789: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1565452ad8ee7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1565452ad8ee7; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1578*FLEN/8, x4, x1, x2) + +inst_790: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1580*FLEN/8, x4, x1, x2) + +inst_791: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1582*FLEN/8, x4, x1, x2) + +inst_792: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x0; + valaddr_reg:x3; val_offset:1584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1584*FLEN/8, x4, x1, x2) + +inst_793: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0xdc114e9aa78bb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ffdc114e9aa78bb; op2val:0x0; + valaddr_reg:x3; val_offset:1586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1586*FLEN/8, x4, x1, x2) + +inst_794: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xdc114e9aa78bb and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x3ffdc114e9aa78bb; + valaddr_reg:x3; val_offset:1588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1588*FLEN/8, x4, x1, x2) + +inst_795: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xd5f4b3ac79504 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xdc114e9aa78bb and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xd5f4b3ac79504; op2val:0x3ffdc114e9aa78bb; + valaddr_reg:x3; val_offset:1590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1590*FLEN/8, x4, x1, x2) + +inst_796: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1592*FLEN/8, x4, x1, x2) + +inst_797: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1594*FLEN/8, x4, x1, x2) + +inst_798: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x1a406f11e5bc4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x7fe1a406f11e5bc4; + valaddr_reg:x3; val_offset:1596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1596*FLEN/8, x4, x1, x2) + +inst_799: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1598*FLEN/8, x4, x1, x2) + +inst_800: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1600*FLEN/8, x4, x1, x2) + +inst_801: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1602*FLEN/8, x4, x1, x2) + +inst_802: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1604*FLEN/8, x4, x1, x2) + +inst_803: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1606*FLEN/8, x4, x1, x2) + +inst_804: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1608*FLEN/8, x4, x1, x2) + +inst_805: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1610*FLEN/8, x4, x1, x2) + +inst_806: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1612*FLEN/8, x4, x1, x2) + +inst_807: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1614*FLEN/8, x4, x1, x2) + +inst_808: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1616*FLEN/8, x4, x1, x2) + +inst_809: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1618*FLEN/8, x4, x1, x2) + +inst_810: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1620*FLEN/8, x4, x1, x2) + +inst_811: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x1a406f11e5bc4 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe1a406f11e5bc4; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1622*FLEN/8, x4, x1, x2) + +inst_812: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1624*FLEN/8, x4, x1, x2) + +inst_813: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1626*FLEN/8, x4, x1, x2) + +inst_814: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1628*FLEN/8, x4, x1, x2) + +inst_815: +// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xc39a4b4fd5fa0 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fac39a4b4fd5fa0; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1630*FLEN/8, x4, x1, x2) + +inst_816: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x7fa and fm2 == 0xc39a4b4fd5fa0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x7fac39a4b4fd5fa0; + valaddr_reg:x3; val_offset:1632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1632*FLEN/8, x4, x1, x2) + +inst_817: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1634*FLEN/8, x4, x1, x2) + +inst_818: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1636*FLEN/8, x4, x1, x2) + +inst_819: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1d0c3ce54e734 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x31d0c3ce54e734; + valaddr_reg:x3; val_offset:1638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1638*FLEN/8, x4, x1, x2) + +inst_820: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1640*FLEN/8, x4, x1, x2) + +inst_821: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1642*FLEN/8, x4, x1, x2) + +inst_822: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1644*FLEN/8, x4, x1, x2) + +inst_823: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1646*FLEN/8, x4, x1, x2) + +inst_824: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1648*FLEN/8, x4, x1, x2) + +inst_825: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x171398510409d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0x171398510409d; + valaddr_reg:x3; val_offset:1650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1650*FLEN/8, x4, x1, x2) + +inst_826: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x171398510409d and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1d0c3ce54e734 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x171398510409d; op2val:0x31d0c3ce54e734; + valaddr_reg:x3; val_offset:1652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1652*FLEN/8, x4, x1, x2) + +inst_827: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1654*FLEN/8, x4, x1, x2) + +inst_828: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1656*FLEN/8, x4, x1, x2) + +inst_829: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1311fac939004 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0x8001311fac939004; + valaddr_reg:x3; val_offset:1658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1658*FLEN/8, x4, x1, x2) + +inst_830: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1311fac939004 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1d0c3ce54e734 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001311fac939004; op2val:0x31d0c3ce54e734; + valaddr_reg:x3; val_offset:1660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1660*FLEN/8, x4, x1, x2) + +inst_831: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1662*FLEN/8, x4, x1, x2) + +inst_832: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1664*FLEN/8, x4, x1, x2) + +inst_833: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1666*FLEN/8, x4, x1, x2) + +inst_834: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1668*FLEN/8, x4, x1, x2) + +inst_835: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0e856af141964 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0x8000e856af141964; + valaddr_reg:x3; val_offset:1670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1670*FLEN/8, x4, x1, x2) + +inst_836: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0e856af141964 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1d0c3ce54e734 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000e856af141964; op2val:0x31d0c3ce54e734; + valaddr_reg:x3; val_offset:1672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1672*FLEN/8, x4, x1, x2) + +inst_837: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1674*FLEN/8, x4, x1, x2) + +inst_838: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1676*FLEN/8, x4, x1, x2) + +inst_839: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1678*FLEN/8, x4, x1, x2) + +inst_840: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1680*FLEN/8, x4, x1, x2) + +inst_841: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x18d1201fedb6b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0x80018d1201fedb6b; + valaddr_reg:x3; val_offset:1682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1682*FLEN/8, x4, x1, x2) + +inst_842: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x18d1201fedb6b and fs2 == 0 and fe2 == 0x003 and fm2 == 0x1d0c3ce54e734 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80018d1201fedb6b; op2val:0x31d0c3ce54e734; + valaddr_reg:x3; val_offset:1684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1684*FLEN/8, x4, x1, x2) + +inst_843: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x1d0c3ce54e734 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x31d0c3ce54e734; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1686*FLEN/8, x4, x1, x2) + +inst_844: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x0; + valaddr_reg:x3; val_offset:1688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1688*FLEN/8, x4, x1, x2) + +inst_845: +// fs1 == 0 and fe1 == 0x402 and fm1 == 0x3d204f37ca317 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x4023d204f37ca317; op2val:0x0; + valaddr_reg:x3; val_offset:1690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1690*FLEN/8, x4, x1, x2) + +inst_846: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x402 and fm2 == 0x3d204f37ca317 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x4023d204f37ca317; + valaddr_reg:x3; val_offset:1692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1692*FLEN/8, x4, x1, x2) + +inst_847: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x7204e52885c7b and fs2 == 0 and fe2 == 0x402 and fm2 == 0x3d204f37ca317 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7204e52885c7b; op2val:0x4023d204f37ca317; + valaddr_reg:x3; val_offset:1694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1694*FLEN/8, x4, x1, x2) + +inst_848: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1696*FLEN/8, x4, x1, x2) + +inst_849: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1698*FLEN/8, x4, x1, x2) + +inst_850: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xc900ea9c600e8 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x7fbc900ea9c600e8; + valaddr_reg:x3; val_offset:1700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1700*FLEN/8, x4, x1, x2) + +inst_851: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1702*FLEN/8, x4, x1, x2) + +inst_852: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1704*FLEN/8, x4, x1, x2) + +inst_853: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1706*FLEN/8, x4, x1, x2) + +inst_854: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1708*FLEN/8, x4, x1, x2) + +inst_855: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1710*FLEN/8, x4, x1, x2) + +inst_856: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1712*FLEN/8, x4, x1, x2) + +inst_857: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1714*FLEN/8, x4, x1, x2) + +inst_858: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1716*FLEN/8, x4, x1, x2) + +inst_859: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1718*FLEN/8, x4, x1, x2) + +inst_860: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1720*FLEN/8, x4, x1, x2) + +inst_861: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1722*FLEN/8, x4, x1, x2) + +inst_862: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1724*FLEN/8, x4, x1, x2) + +inst_863: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xc900ea9c600e8 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbc900ea9c600e8; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1726*FLEN/8, x4, x1, x2) + +inst_864: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1728*FLEN/8, x4, x1, x2) + +inst_865: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1730*FLEN/8, x4, x1, x2) + +inst_866: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1732*FLEN/8, x4, x1, x2) + +inst_867: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x6d9a5549e6720 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f86d9a5549e6720; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1734*FLEN/8, x4, x1, x2) + +inst_868: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x6d9a5549e6720 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x7f86d9a5549e6720; + valaddr_reg:x3; val_offset:1736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1736*FLEN/8, x4, x1, x2) + +inst_869: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1738*FLEN/8, x4, x1, x2) + +inst_870: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x171398510409d and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x171398510409d; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1740*FLEN/8, x4, x1, x2) + +inst_871: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x171398510409d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x171398510409d; + valaddr_reg:x3; val_offset:1742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1742*FLEN/8, x4, x1, x2) + +inst_872: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1744*FLEN/8, x4, x1, x2) + +inst_873: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1746*FLEN/8, x4, x1, x2) + +inst_874: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1748*FLEN/8, x4, x1, x2) + +inst_875: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x171398510409d and fs2 == 1 and fe2 == 0x002 and fm2 == 0x0e6f21de6840b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x171398510409d; op2val:0x8020e6f21de6840b; + valaddr_reg:x3; val_offset:1750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1750*FLEN/8, x4, x1, x2) + +inst_876: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x171398510409d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0x171398510409d; + valaddr_reg:x3; val_offset:1752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1752*FLEN/8, x4, x1, x2) + +inst_877: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x171398510409d and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x171398510409d; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1754*FLEN/8, x4, x1, x2) + +inst_878: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1756*FLEN/8, x4, x1, x2) + +inst_879: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1758*FLEN/8, x4, x1, x2) + +inst_880: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1760*FLEN/8, x4, x1, x2) + +inst_881: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x171398510409d and fs2 == 1 and fe2 == 0x002 and fm2 == 0x646dc31fb5314 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x171398510409d; op2val:0x802646dc31fb5314; + valaddr_reg:x3; val_offset:1762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1762*FLEN/8, x4, x1, x2) + +inst_882: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x171398510409d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0x171398510409d; + valaddr_reg:x3; val_offset:1764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1764*FLEN/8, x4, x1, x2) + +inst_883: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x171398510409d and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x171398510409d; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1766*FLEN/8, x4, x1, x2) + +inst_884: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1768*FLEN/8, x4, x1, x2) + +inst_885: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1770*FLEN/8, x4, x1, x2) + +inst_886: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x0; + valaddr_reg:x3; val_offset:1772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1772*FLEN/8, x4, x1, x2) + +inst_887: +// fs1 == 0 and fe1 == 0x400 and fm1 == 0x00bc2d04a6fc5 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x40000bc2d04a6fc5; op2val:0x0; + valaddr_reg:x3; val_offset:1774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1774*FLEN/8, x4, x1, x2) + +inst_888: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x400 and fm2 == 0x00bc2d04a6fc5 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x40000bc2d04a6fc5; + valaddr_reg:x3; val_offset:1776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1776*FLEN/8, x4, x1, x2) + +inst_889: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe6c3f32a28622 and fs2 == 0 and fe2 == 0x400 and fm2 == 0x00bc2d04a6fc5 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe6c3f32a28622; op2val:0x40000bc2d04a6fc5; + valaddr_reg:x3; val_offset:1778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1778*FLEN/8, x4, x1, x2) + +inst_890: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1780*FLEN/8, x4, x1, x2) + +inst_891: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1782*FLEN/8, x4, x1, x2) + +inst_892: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x79a9d1edd4c29 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xffb79a9d1edd4c29; + valaddr_reg:x3; val_offset:1784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1784*FLEN/8, x4, x1, x2) + +inst_893: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1786*FLEN/8, x4, x1, x2) + +inst_894: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1788*FLEN/8, x4, x1, x2) + +inst_895: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1790*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_8) + +inst_896: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1792*FLEN/8, x4, x1, x2) + +inst_897: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1794*FLEN/8, x4, x1, x2) + +inst_898: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1796*FLEN/8, x4, x1, x2) + +inst_899: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd814466949f33 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed814466949f33; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1798*FLEN/8, x4, x1, x2) + +inst_900: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xd814466949f33 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xffed814466949f33; + valaddr_reg:x3; val_offset:1800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1800*FLEN/8, x4, x1, x2) + +inst_901: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1802*FLEN/8, x4, x1, x2) + +inst_902: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1804*FLEN/8, x4, x1, x2) + +inst_903: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1806*FLEN/8, x4, x1, x2) + +inst_904: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1808*FLEN/8, x4, x1, x2) + +inst_905: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1810*FLEN/8, x4, x1, x2) + +inst_906: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x79a9d1edd4c29 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb79a9d1edd4c29; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1812*FLEN/8, x4, x1, x2) + +inst_907: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1814*FLEN/8, x4, x1, x2) + +inst_908: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd814466949f33 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed814466949f33; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1816*FLEN/8, x4, x1, x2) + +inst_909: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1818*FLEN/8, x4, x1, x2) + +inst_910: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd814466949f33 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed814466949f33; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1820*FLEN/8, x4, x1, x2) + +inst_911: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1822*FLEN/8, x4, x1, x2) + +inst_912: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x2e2174be43ced and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff82e2174be43ced; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1824*FLEN/8, x4, x1, x2) + +inst_913: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x2e2174be43ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xff82e2174be43ced; + valaddr_reg:x3; val_offset:1826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1826*FLEN/8, x4, x1, x2) + +inst_914: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1828*FLEN/8, x4, x1, x2) + +inst_915: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1311fac939004 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001311fac939004; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:1830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1830*FLEN/8, x4, x1, x2) + +inst_916: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1311fac939004 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x8001311fac939004; + valaddr_reg:x3; val_offset:1832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1832*FLEN/8, x4, x1, x2) + +inst_917: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1834*FLEN/8, x4, x1, x2) + +inst_918: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1311fac939004 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x0e6f21de6840b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001311fac939004; op2val:0x8020e6f21de6840b; + valaddr_reg:x3; val_offset:1836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1836*FLEN/8, x4, x1, x2) + +inst_919: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1311fac939004 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0x8001311fac939004; + valaddr_reg:x3; val_offset:1838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1838*FLEN/8, x4, x1, x2) + +inst_920: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1311fac939004 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001311fac939004; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1840*FLEN/8, x4, x1, x2) + +inst_921: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1842*FLEN/8, x4, x1, x2) + +inst_922: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1844*FLEN/8, x4, x1, x2) + +inst_923: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1846*FLEN/8, x4, x1, x2) + +inst_924: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1311fac939004 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x646dc31fb5314 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001311fac939004; op2val:0x802646dc31fb5314; + valaddr_reg:x3; val_offset:1848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1848*FLEN/8, x4, x1, x2) + +inst_925: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x1311fac939004 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0x8001311fac939004; + valaddr_reg:x3; val_offset:1850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1850*FLEN/8, x4, x1, x2) + +inst_926: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x1311fac939004 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8001311fac939004; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1852*FLEN/8, x4, x1, x2) + +inst_927: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1854*FLEN/8, x4, x1, x2) + +inst_928: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1856*FLEN/8, x4, x1, x2) + +inst_929: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0x0; + valaddr_reg:x3; val_offset:1858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1858*FLEN/8, x4, x1, x2) + +inst_930: +// fs1 == 1 and fe1 == 0x3ff and fm1 == 0xa853a7101cfb4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbffa853a7101cfb4; op2val:0x0; + valaddr_reg:x3; val_offset:1860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1860*FLEN/8, x4, x1, x2) + +inst_931: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xa853a7101cfb4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xbffa853a7101cfb4; + valaddr_reg:x3; val_offset:1862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1862*FLEN/8, x4, x1, x2) + +inst_932: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbeb3cbdc3a029 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xa853a7101cfb4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800beb3cbdc3a029; op2val:0xbffa853a7101cfb4; + valaddr_reg:x3; val_offset:1864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1864*FLEN/8, x4, x1, x2) + +inst_933: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1866*FLEN/8, x4, x1, x2) + +inst_934: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1868*FLEN/8, x4, x1, x2) + +inst_935: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x0bc8069a0dddf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xffd0bc8069a0dddf; + valaddr_reg:x3; val_offset:1870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1870*FLEN/8, x4, x1, x2) + +inst_936: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1872*FLEN/8, x4, x1, x2) + +inst_937: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1874*FLEN/8, x4, x1, x2) + +inst_938: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1876*FLEN/8, x4, x1, x2) + +inst_939: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1878*FLEN/8, x4, x1, x2) + +inst_940: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1880*FLEN/8, x4, x1, x2) + +inst_941: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1882*FLEN/8, x4, x1, x2) + +inst_942: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1884*FLEN/8, x4, x1, x2) + +inst_943: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1886*FLEN/8, x4, x1, x2) + +inst_944: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1888*FLEN/8, x4, x1, x2) + +inst_945: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1890*FLEN/8, x4, x1, x2) + +inst_946: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1892*FLEN/8, x4, x1, x2) + +inst_947: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1894*FLEN/8, x4, x1, x2) + +inst_948: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x0bc8069a0dddf and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd0bc8069a0dddf; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1896*FLEN/8, x4, x1, x2) + +inst_949: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1898*FLEN/8, x4, x1, x2) + +inst_950: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1900*FLEN/8, x4, x1, x2) + +inst_951: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1902*FLEN/8, x4, x1, x2) + +inst_952: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0xac733dc349632 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff9ac733dc349632; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:1904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1904*FLEN/8, x4, x1, x2) + +inst_953: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0xac733dc349632 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xff9ac733dc349632; + valaddr_reg:x3; val_offset:1906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1906*FLEN/8, x4, x1, x2) + +inst_954: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1908*FLEN/8, x4, x1, x2) + +inst_955: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:1910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1910*FLEN/8, x4, x1, x2) + +inst_956: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x002 and fm2 == 0x0e6f21de6840b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x8020e6f21de6840b; + valaddr_reg:x3; val_offset:1912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1912*FLEN/8, x4, x1, x2) + +inst_957: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1914*FLEN/8, x4, x1, x2) + +inst_958: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:1916*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1916*FLEN/8, x4, x1, x2) + +inst_959: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1918*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1918*FLEN/8, x4, x1, x2) + +inst_960: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:1920*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1920*FLEN/8, x4, x1, x2) + +inst_961: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1922*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1922*FLEN/8, x4, x1, x2) + +inst_962: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:1924*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1924*FLEN/8, x4, x1, x2) + +inst_963: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1926*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1926*FLEN/8, x4, x1, x2) + +inst_964: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:1928*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1928*FLEN/8, x4, x1, x2) + +inst_965: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1930*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1930*FLEN/8, x4, x1, x2) + +inst_966: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0e856af141964 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0x8000e856af141964; + valaddr_reg:x3; val_offset:1932*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1932*FLEN/8, x4, x1, x2) + +inst_967: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0e856af141964 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x0e6f21de6840b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000e856af141964; op2val:0x8020e6f21de6840b; + valaddr_reg:x3; val_offset:1934*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1934*FLEN/8, x4, x1, x2) + +inst_968: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1936*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1936*FLEN/8, x4, x1, x2) + +inst_969: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:1938*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1938*FLEN/8, x4, x1, x2) + +inst_970: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:1940*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1940*FLEN/8, x4, x1, x2) + +inst_971: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1942*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1942*FLEN/8, x4, x1, x2) + +inst_972: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x18d1201fedb6b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0x80018d1201fedb6b; + valaddr_reg:x3; val_offset:1944*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1944*FLEN/8, x4, x1, x2) + +inst_973: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x18d1201fedb6b and fs2 == 1 and fe2 == 0x002 and fm2 == 0x0e6f21de6840b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80018d1201fedb6b; op2val:0x8020e6f21de6840b; + valaddr_reg:x3; val_offset:1946*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1946*FLEN/8, x4, x1, x2) + +inst_974: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x0e6f21de6840b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8020e6f21de6840b; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:1948*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1948*FLEN/8, x4, x1, x2) + +inst_975: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0x0; + valaddr_reg:x3; val_offset:1950*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1950*FLEN/8, x4, x1, x2) + +inst_976: +// fs1 == 1 and fe1 == 0x401 and fm1 == 0x2cde30fb81e08 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc012cde30fb81e08; op2val:0x0; + valaddr_reg:x3; val_offset:1952*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1952*FLEN/8, x4, x1, x2) + +inst_977: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x401 and fm2 == 0x2cde30fb81e08 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc012cde30fb81e08; + valaddr_reg:x3; val_offset:1954*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1954*FLEN/8, x4, x1, x2) + +inst_978: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x361639f9480cf and fs2 == 1 and fe2 == 0x401 and fm2 == 0x2cde30fb81e08 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800361639f9480cf; op2val:0xc012cde30fb81e08; + valaddr_reg:x3; val_offset:1956*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1956*FLEN/8, x4, x1, x2) + +inst_979: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1958*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1958*FLEN/8, x4, x1, x2) + +inst_980: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:1960*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1960*FLEN/8, x4, x1, x2) + +inst_981: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x1f930d5b2a8f5 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xffb1f930d5b2a8f5; + valaddr_reg:x3; val_offset:1962*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1962*FLEN/8, x4, x1, x2) + +inst_982: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:1964*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1964*FLEN/8, x4, x1, x2) + +inst_983: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1966*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1966*FLEN/8, x4, x1, x2) + +inst_984: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:1968*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1968*FLEN/8, x4, x1, x2) + +inst_985: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1970*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1970*FLEN/8, x4, x1, x2) + +inst_986: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:1972*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1972*FLEN/8, x4, x1, x2) + +inst_987: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1974*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1974*FLEN/8, x4, x1, x2) + +inst_988: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x6777d0b1f5332 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe6777d0b1f5332; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:1976*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1976*FLEN/8, x4, x1, x2) + +inst_989: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x6777d0b1f5332 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xffe6777d0b1f5332; + valaddr_reg:x3; val_offset:1978*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1978*FLEN/8, x4, x1, x2) + +inst_990: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1980*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1980*FLEN/8, x4, x1, x2) + +inst_991: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:1982*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1982*FLEN/8, x4, x1, x2) + +inst_992: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1984*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1984*FLEN/8, x4, x1, x2) + +inst_993: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:1986*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1986*FLEN/8, x4, x1, x2) + +inst_994: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1988*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1988*FLEN/8, x4, x1, x2) + +inst_995: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x1f930d5b2a8f5 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb1f930d5b2a8f5; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:1990*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1990*FLEN/8, x4, x1, x2) + +inst_996: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1992*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1992*FLEN/8, x4, x1, x2) + +inst_997: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x6777d0b1f5332 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe6777d0b1f5332; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:1994*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1994*FLEN/8, x4, x1, x2) + +inst_998: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1996*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1996*FLEN/8, x4, x1, x2) + +inst_999: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x6777d0b1f5332 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe6777d0b1f5332; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:1998*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 1998*FLEN/8, x4, x1, x2) + +inst_1000: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:2000*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2000*FLEN/8, x4, x1, x2) + +inst_1001: +// fs1 == 1 and fe1 == 0x7f7 and fm1 == 0xcc1e7bc510e55 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff7cc1e7bc510e55; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:2002*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2002*FLEN/8, x4, x1, x2) + +inst_1002: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x7f7 and fm2 == 0xcc1e7bc510e55 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xff7cc1e7bc510e55; + valaddr_reg:x3; val_offset:2004*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2004*FLEN/8, x4, x1, x2) + +inst_1003: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:2006*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2006*FLEN/8, x4, x1, x2) + +inst_1004: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0e856af141964 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000e856af141964; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:2008*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2008*FLEN/8, x4, x1, x2) + +inst_1005: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0e856af141964 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x8000e856af141964; + valaddr_reg:x3; val_offset:2010*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2010*FLEN/8, x4, x1, x2) + +inst_1006: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:2012*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2012*FLEN/8, x4, x1, x2) + +inst_1007: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0e856af141964 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000e856af141964; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:2014*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2014*FLEN/8, x4, x1, x2) + +inst_1008: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:2016*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2016*FLEN/8, x4, x1, x2) + +inst_1009: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0e856af141964 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x646dc31fb5314 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000e856af141964; op2val:0x802646dc31fb5314; + valaddr_reg:x3; val_offset:2018*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2018*FLEN/8, x4, x1, x2) + +inst_1010: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0e856af141964 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0x8000e856af141964; + valaddr_reg:x3; val_offset:2020*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2020*FLEN/8, x4, x1, x2) + +inst_1011: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0e856af141964 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000e856af141964; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:2022*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2022*FLEN/8, x4, x1, x2) + +inst_1012: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:2024*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2024*FLEN/8, x4, x1, x2) + +inst_1013: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:2026*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2026*FLEN/8, x4, x1, x2) + +inst_1014: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0x0; + valaddr_reg:x3; val_offset:2028*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2028*FLEN/8, x4, x1, x2) + +inst_1015: +// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x431b4a598252a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbff431b4a598252a; op2val:0x0; + valaddr_reg:x3; val_offset:2030*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2030*FLEN/8, x4, x1, x2) + +inst_1016: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x431b4a598252a and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xbff431b4a598252a; + valaddr_reg:x3; val_offset:2032*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2032*FLEN/8, x4, x1, x2) + +inst_1017: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x91362d6c8fde3 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x431b4a598252a and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80091362d6c8fde3; op2val:0xbff431b4a598252a; + valaddr_reg:x3; val_offset:2034*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2034*FLEN/8, x4, x1, x2) + +inst_1018: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:2036*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2036*FLEN/8, x4, x1, x2) + +inst_1019: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:2038*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2038*FLEN/8, x4, x1, x2) + +inst_1020: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0x60eeb556ce9ce and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xffd60eeb556ce9ce; + valaddr_reg:x3; val_offset:2040*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2040*FLEN/8, x4, x1, x2) + +inst_1021: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:2042*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2042*FLEN/8, x4, x1, x2) + +inst_1022: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:2044*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2044*FLEN/8, x4, x1, x2) + +inst_1023: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:2046*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2046*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_9) + +inst_1024: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:2048*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2048*FLEN/8, x4, x1, x2) + +inst_1025: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:2050*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2050*FLEN/8, x4, x1, x2) + +inst_1026: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:2052*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2052*FLEN/8, x4, x1, x2) + +inst_1027: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:2054*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2054*FLEN/8, x4, x1, x2) + +inst_1028: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:2056*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2056*FLEN/8, x4, x1, x2) + +inst_1029: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:2058*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2058*FLEN/8, x4, x1, x2) + +inst_1030: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:2060*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2060*FLEN/8, x4, x1, x2) + +inst_1031: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:2062*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2062*FLEN/8, x4, x1, x2) + +inst_1032: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:2064*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2064*FLEN/8, x4, x1, x2) + +inst_1033: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0x60eeb556ce9ce and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffd60eeb556ce9ce; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:2066*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2066*FLEN/8, x4, x1, x2) + +inst_1034: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:2068*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2068*FLEN/8, x4, x1, x2) + +inst_1035: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:2070*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2070*FLEN/8, x4, x1, x2) + +inst_1036: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:2072*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2072*FLEN/8, x4, x1, x2) + +inst_1037: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0x1a5891123ee3f and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffa1a5891123ee3f; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:2074*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2074*FLEN/8, x4, x1, x2) + +inst_1038: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0x1a5891123ee3f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xffa1a5891123ee3f; + valaddr_reg:x3; val_offset:2076*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2076*FLEN/8, x4, x1, x2) + +inst_1039: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:2078*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2078*FLEN/8, x4, x1, x2) + +inst_1040: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:2080*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2080*FLEN/8, x4, x1, x2) + +inst_1041: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x002 and fm2 == 0x646dc31fb5314 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x802646dc31fb5314; + valaddr_reg:x3; val_offset:2082*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2082*FLEN/8, x4, x1, x2) + +inst_1042: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:2084*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2084*FLEN/8, x4, x1, x2) + +inst_1043: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:2086*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2086*FLEN/8, x4, x1, x2) + +inst_1044: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:2088*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2088*FLEN/8, x4, x1, x2) + +inst_1045: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:2090*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2090*FLEN/8, x4, x1, x2) + +inst_1046: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:2092*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2092*FLEN/8, x4, x1, x2) + +inst_1047: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:2094*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2094*FLEN/8, x4, x1, x2) + +inst_1048: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:2096*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2096*FLEN/8, x4, x1, x2) + +inst_1049: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:2098*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2098*FLEN/8, x4, x1, x2) + +inst_1050: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:2100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2100*FLEN/8, x4, x1, x2) + +inst_1051: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:2102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2102*FLEN/8, x4, x1, x2) + +inst_1052: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:2104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2104*FLEN/8, x4, x1, x2) + +inst_1053: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x18d1201fedb6b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0x80018d1201fedb6b; + valaddr_reg:x3; val_offset:2106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2106*FLEN/8, x4, x1, x2) + +inst_1054: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x18d1201fedb6b and fs2 == 1 and fe2 == 0x002 and fm2 == 0x646dc31fb5314 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80018d1201fedb6b; op2val:0x802646dc31fb5314; + valaddr_reg:x3; val_offset:2108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2108*FLEN/8, x4, x1, x2) + +inst_1055: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0x646dc31fb5314 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802646dc31fb5314; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:2110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2110*FLEN/8, x4, x1, x2) + +inst_1056: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0x0; + valaddr_reg:x3; val_offset:2112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2112*FLEN/8, x4, x1, x2) + +inst_1057: +// fs1 == 1 and fe1 == 0x401 and fm1 == 0x8c8a47b3dd237 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc018c8a47b3dd237; op2val:0x0; + valaddr_reg:x3; val_offset:2114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2114*FLEN/8, x4, x1, x2) + +inst_1058: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x401 and fm2 == 0x8c8a47b3dd237 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc018c8a47b3dd237; + valaddr_reg:x3; val_offset:2116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2116*FLEN/8, x4, x1, x2) + +inst_1059: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x4749270657704 and fs2 == 1 and fe2 == 0x401 and fm2 == 0x8c8a47b3dd237 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8004749270657704; op2val:0xc018c8a47b3dd237; + valaddr_reg:x3; val_offset:2118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2118*FLEN/8, x4, x1, x2) + +inst_1060: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:2120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2120*FLEN/8, x4, x1, x2) + +inst_1061: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:2122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2122*FLEN/8, x4, x1, x2) + +inst_1062: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xeb781eb40c69d and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xffbeb781eb40c69d; + valaddr_reg:x3; val_offset:2124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2124*FLEN/8, x4, x1, x2) + +inst_1063: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:2126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2126*FLEN/8, x4, x1, x2) + +inst_1064: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:2128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2128*FLEN/8, x4, x1, x2) + +inst_1065: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:2130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2130*FLEN/8, x4, x1, x2) + +inst_1066: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:2132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2132*FLEN/8, x4, x1, x2) + +inst_1067: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:2134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2134*FLEN/8, x4, x1, x2) + +inst_1068: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:2136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2136*FLEN/8, x4, x1, x2) + +inst_1069: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:2138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2138*FLEN/8, x4, x1, x2) + +inst_1070: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:2140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2140*FLEN/8, x4, x1, x2) + +inst_1071: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:2142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2142*FLEN/8, x4, x1, x2) + +inst_1072: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:2144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2144*FLEN/8, x4, x1, x2) + +inst_1073: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:2146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2146*FLEN/8, x4, x1, x2) + +inst_1074: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:2148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2148*FLEN/8, x4, x1, x2) + +inst_1075: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xeb781eb40c69d and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbeb781eb40c69d; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:2150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2150*FLEN/8, x4, x1, x2) + +inst_1076: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:2152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2152*FLEN/8, x4, x1, x2) + +inst_1077: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:2154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2154*FLEN/8, x4, x1, x2) + +inst_1078: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:2156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2156*FLEN/8, x4, x1, x2) + +inst_1079: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x892ce55cd6bb0 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff8892ce55cd6bb0; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:2158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2158*FLEN/8, x4, x1, x2) + +inst_1080: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x892ce55cd6bb0 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xff8892ce55cd6bb0; + valaddr_reg:x3; val_offset:2160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2160*FLEN/8, x4, x1, x2) + +inst_1081: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:2162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2162*FLEN/8, x4, x1, x2) + +inst_1082: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x18d1201fedb6b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80018d1201fedb6b; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:2164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2164*FLEN/8, x4, x1, x2) + +inst_1083: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x18d1201fedb6b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x80018d1201fedb6b; + valaddr_reg:x3; val_offset:2166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2166*FLEN/8, x4, x1, x2) + +inst_1084: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:2168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2168*FLEN/8, x4, x1, x2) + +inst_1085: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x18d1201fedb6b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80018d1201fedb6b; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:2170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2170*FLEN/8, x4, x1, x2) + +inst_1086: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:2172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2172*FLEN/8, x4, x1, x2) + +inst_1087: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x18d1201fedb6b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80018d1201fedb6b; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:2174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2174*FLEN/8, x4, x1, x2) + +inst_1088: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0x0; + valaddr_reg:x3; val_offset:2176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2176*FLEN/8, x4, x1, x2) + +inst_1089: +// fs1 == 1 and fe1 == 0x400 and fm1 == 0x1418de01443c7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc001418de01443c7; op2val:0x0; + valaddr_reg:x3; val_offset:2178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2178*FLEN/8, x4, x1, x2) + +inst_1090: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x400 and fm2 == 0x1418de01443c7 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc001418de01443c7; + valaddr_reg:x3; val_offset:2180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2180*FLEN/8, x4, x1, x2) + +inst_1091: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xf82b413f49232 and fs2 == 1 and fe2 == 0x400 and fm2 == 0x1418de01443c7 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800f82b413f49232; op2val:0xc001418de01443c7; + valaddr_reg:x3; val_offset:2182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2182*FLEN/8, x4, x1, x2) + +inst_1092: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:2184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2184*FLEN/8, x4, x1, x2) + +inst_1093: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:2186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2186*FLEN/8, x4, x1, x2) + +inst_1094: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xfb5355e167379 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x7fdfb5355e167379; + valaddr_reg:x3; val_offset:2188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2188*FLEN/8, x4, x1, x2) + +inst_1095: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:2190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2190*FLEN/8, x4, x1, x2) + +inst_1096: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x86499331191c4 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x7fe86499331191c4; + valaddr_reg:x3; val_offset:2192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2192*FLEN/8, x4, x1, x2) + +inst_1097: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fc and fm2 == 0xd481499755d4b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x7fcd481499755d4b; + valaddr_reg:x3; val_offset:2194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2194*FLEN/8, x4, x1, x2) + +inst_1098: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x3874a9329ec20 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xffc3874a9329ec20; + valaddr_reg:x3; val_offset:2196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2196*FLEN/8, x4, x1, x2) + +inst_1099: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x19dc4ea1c6bbe and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xffe19dc4ea1c6bbe; + valaddr_reg:x3; val_offset:2198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2198*FLEN/8, x4, x1, x2) + +inst_1100: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xf17c7086d3e4c and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xffef17c7086d3e4c; + valaddr_reg:x3; val_offset:2200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2200*FLEN/8, x4, x1, x2) + +inst_1101: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfeebf49377796 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xffefeebf49377796; + valaddr_reg:x3; val_offset:2202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2202*FLEN/8, x4, x1, x2) + +inst_1102: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xc057ab9751c40 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xffac057ab9751c40; + valaddr_reg:x3; val_offset:2204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2204*FLEN/8, x4, x1, x2) + +inst_1103: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc386bbc204f89 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc386bbc204f89; + valaddr_reg:x3; val_offset:2206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2206*FLEN/8, x4, x1, x2) + +inst_1104: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xa6cecc0c25ced and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xa6cecc0c25ced; + valaddr_reg:x3; val_offset:2208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2208*FLEN/8, x4, x1, x2) + +inst_1105: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xd5f4b3ac79504 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xd5f4b3ac79504; + valaddr_reg:x3; val_offset:2210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2210*FLEN/8, x4, x1, x2) + +inst_1106: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x7204e52885c7b and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x7204e52885c7b; + valaddr_reg:x3; val_offset:2212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2212*FLEN/8, x4, x1, x2) + +inst_1107: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe6c3f32a28622 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xe6c3f32a28622; + valaddr_reg:x3; val_offset:2214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2214*FLEN/8, x4, x1, x2) + +inst_1108: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbeb3cbdc3a029 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x800beb3cbdc3a029; + valaddr_reg:x3; val_offset:2216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2216*FLEN/8, x4, x1, x2) + +inst_1109: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x361639f9480cf and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x800361639f9480cf; + valaddr_reg:x3; val_offset:2218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2218*FLEN/8, x4, x1, x2) + +inst_1110: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x91362d6c8fde3 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x80091362d6c8fde3; + valaddr_reg:x3; val_offset:2220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2220*FLEN/8, x4, x1, x2) + +inst_1111: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x4749270657704 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x8004749270657704; + valaddr_reg:x3; val_offset:2222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2222*FLEN/8, x4, x1, x2) + +inst_1112: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xf82b413f49232 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x800f82b413f49232; + valaddr_reg:x3; val_offset:2224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2224*FLEN/8, x4, x1, x2) + +inst_1113: +// fs1 == 0 and fe1 == 0x7fc and fm1 == 0x132d8f91b7583 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8072e8f9c858f and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fc132d8f91b7583; op2val:0x7fb8072e8f9c858f; + valaddr_reg:x3; val_offset:2226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2226*FLEN/8, x4, x1, x2) + +inst_1114: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8072e8f9c858f and fs2 == 0 and fe2 == 0x7fc and fm2 == 0x132d8f91b7583 and fcsr == 0 +/* opcode: fmaxm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8072e8f9c858f; op2val:0x7fc132d8f91b7583; + valaddr_reg:x3; val_offset:2228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.d, f31, f30, f29, 0, 0, x3, 2228*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9190585211532812907,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(9190585211532812907,64,FLEN) +NAN_BOXED(9190585211532812907,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9190585211532812907,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(488893897491188,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(488893897491188,64,FLEN) +NAN_BOXED(488893897491188,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(488893897491188,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(488893897491188,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(488893897491188,64,FLEN) +NAN_BOXED(488893897491188,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(488893897491188,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(488893897491188,64,FLEN) +NAN_BOXED(488893897491188,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(4888938974911880,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4612621543954474117,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4612621543954474117,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(4612621543954474117,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9198986811538745645,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(9198986811538745645,64,FLEN) +NAN_BOXED(9198986811538745645,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9198986811538745645,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(1802675944648207,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(1802675944648207,64,FLEN) +NAN_BOXED(1802675944648207,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(1802675944648207,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(1802675944648207,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(1802675944648207,64,FLEN) +NAN_BOXED(1802675944648207,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(1802675944648207,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(1802675944648207,64,FLEN) +NAN_BOXED(1802675944648207,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(13513889116361510,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621203476954504026,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621203476954504026,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(4621203476954504026,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9218315357973096179,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(9218315357973096179,64,FLEN) +NAN_BOXED(9218315357973096179,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9218315357973096179,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9218315357973096179,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(9218315357973096179,64,FLEN) +NAN_BOXED(9218315357973096179,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9218315357973096179,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(9218315357973096179,64,FLEN) +NAN_BOXED(9218315357973096179,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9188250277008717734,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(9188250277008717734,64,FLEN) +NAN_BOXED(9188250277008717734,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9188250277008717734,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(341514809226935,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(341514809226935,64,FLEN) +NAN_BOXED(341514809226935,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(341514809226935,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(341514809226935,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(341514809226935,64,FLEN) +NAN_BOXED(341514809226935,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(341514809226935,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(341514809226935,64,FLEN) +NAN_BOXED(341514809226935,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(3415148092269353,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610277775915686188,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610277775915686188,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(4610277775915686188,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9201843245963048093,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9187124531577063265,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9187124531577063265,64,FLEN) +NAN_BOXED(9187124531577063265,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9187124531577063265,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(9218376222515051618,64,FLEN) +NAN_BOXED(9218376222515051618,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(9217125559086262885,64,FLEN) +NAN_BOXED(9217125559086262885,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(277361051635888,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(277361051635888,64,FLEN) +NAN_BOXED(277361051635888,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(277361051635888,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(18441538179930824499,64,FLEN) +NAN_BOXED(18441538179930824499,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(277361051635888,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(277361051635888,64,FLEN) +NAN_BOXED(277361051635888,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(18439557103256294194,64,FLEN) +NAN_BOXED(18439557103256294194,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(277361051635888,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(2773610516358878,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4608850307426277711,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4608850307426277711,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(4608850307426277711,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9193936861944420207,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(9193936861944420207,64,FLEN) +NAN_BOXED(9193936861944420207,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9193936861944420207,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(832367678577450,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(832367678577450,64,FLEN) +NAN_BOXED(832367678577450,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(832367678577450,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(832367678577450,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(832367678577450,64,FLEN) +NAN_BOXED(832367678577450,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(832367678577450,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(832367678577450,64,FLEN) +NAN_BOXED(832367678577450,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(8323676785774496,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4616316217333060423,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4616316217333060423,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(4616316217333060423,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18415006523341345638,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(18415006523341345638,64,FLEN) +NAN_BOXED(18415006523341345638,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18415006523341345638,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9223927160098069803,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9223927160098069803,64,FLEN) +NAN_BOXED(9223927160098069803,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9223927160098069803,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9223927160098069803,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(9223927160098069803,64,FLEN) +NAN_BOXED(9223927160098069803,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9223927160098069803,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(9223927160098069803,64,FLEN) +NAN_BOXED(9223927160098069803,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9228923269287715755,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13836730406739309220,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13836730406739309220,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(13836730406739309220,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18423152546191499158,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(18423152546191499158,64,FLEN) +NAN_BOXED(18423152546191499158,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18423152546191499158,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9225375102928768013,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9225375102928768013,64,FLEN) +NAN_BOXED(9225375102928768013,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9225375102928768013,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9225375102928768013,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(9225375102928768013,64,FLEN) +NAN_BOXED(9225375102928768013,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9225375102928768013,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(9225375102928768013,64,FLEN) +NAN_BOXED(9225375102928768013,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9237386901294497311,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13845132867357162591,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13845132867357162591,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(13845132867357162591,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18426723970253599190,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18411812872509833592,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18411812872509833592,64,FLEN) +NAN_BOXED(18411812872509833592,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18411812872509833592,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9218376222515051618,64,FLEN) +NAN_BOXED(9218376222515051618,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9217125559086262885,64,FLEN) +NAN_BOXED(9217125559086262885,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9223725579564258041,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223725579564258041,64,FLEN) +NAN_BOXED(9223725579564258041,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9223725579564258041,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(18441538179930824499,64,FLEN) +NAN_BOXED(18441538179930824499,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9223725579564258041,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223725579564258041,64,FLEN) +NAN_BOXED(9223725579564258041,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(18439557103256294194,64,FLEN) +NAN_BOXED(18439557103256294194,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9223725579564258041,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9226907463949598134,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833917442434769937,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833917442434769937,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(13833917442434769937,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18426913059635381777,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18411964144015259662,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18411964144015259662,64,FLEN) +NAN_BOXED(18411964144015259662,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18411964144015259662,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9218376222515051618,64,FLEN) +NAN_BOXED(9218376222515051618,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9217125559086262885,64,FLEN) +NAN_BOXED(9217125559086262885,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9223735127693475366,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223735127693475366,64,FLEN) +NAN_BOXED(9223735127693475366,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9223735127693475366,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(18441538179930824499,64,FLEN) +NAN_BOXED(18441538179930824499,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9223735127693475366,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223735127693475366,64,FLEN) +NAN_BOXED(9223735127693475366,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(18439557103256294194,64,FLEN) +NAN_BOXED(18439557103256294194,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9223735127693475366,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9227002945241771388,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13834129895361961135,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13834129895361961135,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(13834129895361961135,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18438162852329632168,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9188289230315569215,64,FLEN) +NAN_BOXED(9188289230315569215,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9187488805721144425,64,FLEN) +NAN_BOXED(9187488805721144425,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9188802887911418332,64,FLEN) +NAN_BOXED(9188802887911418332,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9225363401680617537,64,FLEN) +NAN_BOXED(9199791518385004448,64,FLEN) +NAN_BOXED(9199791518385004448,64,FLEN) +NAN_BOXED(9225363401680617537,64,FLEN) +NAN_BOXED(9225363401680617537,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9225363401680617537,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9189271393808574240,64,FLEN) +NAN_BOXED(9189271393808574240,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(18411526816329383149,64,FLEN) +NAN_BOXED(18411526816329383149,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9225363401680617537,64,FLEN) +NAN_BOXED(18418252651636758066,64,FLEN) +NAN_BOXED(18418252651636758066,64,FLEN) +NAN_BOXED(9225363401680617537,64,FLEN) +NAN_BOXED(9225363401680617537,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(18409802577824779861,64,FLEN) +NAN_BOXED(18409802577824779861,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9225363401680617537,64,FLEN) +NAN_BOXED(18420185959038709311,64,FLEN) +NAN_BOXED(18420185959038709311,64,FLEN) +NAN_BOXED(9225363401680617537,64,FLEN) +NAN_BOXED(9225363401680617537,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(18413128491404716976,64,FLEN) +NAN_BOXED(18413128491404716976,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9237357648174121122,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13845100322180448179,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13845100322180448179,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(13845100322180448179,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9218376222515051618,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9218376222515051618,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9203162426724462671,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9218376222515051618,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9218376222515051618,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9188289230315569215,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9188289230315569215,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(343973508941812,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(343973508941812,64,FLEN) +NAN_BOXED(343973508941812,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(343973508941812,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(343973508941812,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(343973508941812,64,FLEN) +NAN_BOXED(343973508941812,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(343973508941812,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(343973508941812,64,FLEN) +NAN_BOXED(343973508941812,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610332483800301104,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610332483800301104,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(4610332483800301104,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9217125559086262885,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9217125559086262885,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9202161895981431684,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9217125559086262885,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9217125559086262885,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9187488805721144425,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9187488805721144425,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(293451386915147,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(293451386915147,64,FLEN) +NAN_BOXED(293451386915147,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(293451386915147,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(293451386915147,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(293451386915147,64,FLEN) +NAN_BOXED(293451386915147,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(293451386915147,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(293451386915147,64,FLEN) +NAN_BOXED(293451386915147,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609208329270322669,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609208329270322669,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(4609208329270322669,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9203804498719274067,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9188802887911418332,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9188802887911418332,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(376395141058279,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(376395141058279,64,FLEN) +NAN_BOXED(376395141058279,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(376395141058279,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(376395141058279,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(376395141058279,64,FLEN) +NAN_BOXED(376395141058279,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(376395141058279,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(376395141058279,64,FLEN) +NAN_BOXED(376395141058279,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4611053889061025979,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4611053889061025979,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(4611053889061025979,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9214826662298803140,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9199791518385004448,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9199791518385004448,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(405966836220061,64,FLEN) +NAN_BOXED(405966836220061,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(9223707523940585476,64,FLEN) +NAN_BOXED(9223707523940585476,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(9223627495856937316,64,FLEN) +NAN_BOXED(9223627495856937316,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(9223808620313893739,64,FLEN) +NAN_BOXED(9223808620313893739,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(14021813257692980,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621768561318994711,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621768561318994711,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(4621768561318994711,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9204390131090718952,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9189271393808574240,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9189271393808574240,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(405966836220061,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(405966836220061,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(405966836220061,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(405966836220061,64,FLEN) +NAN_BOXED(405966836220061,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(405966836220061,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(405966836220061,64,FLEN) +NAN_BOXED(405966836220061,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4611698949773488069,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4611698949773488069,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(4611698949773488069,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(18441538179930824499,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18441538179930824499,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18426366400028036137,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18441538179930824499,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18441538179930824499,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18411526816329383149,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(18411526816329383149,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9223707523940585476,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9223707523940585476,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9223707523940585476,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9223707523940585476,64,FLEN) +NAN_BOXED(9223707523940585476,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9223707523940585476,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9223707523940585476,64,FLEN) +NAN_BOXED(9223707523940585476,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833515691472441268,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833515691472441268,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(13833515691472441268,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18433440534541426143,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18418252651636758066,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(18418252651636758066,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9223627495856937316,64,FLEN) +NAN_BOXED(9223627495856937316,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9223808620313893739,64,FLEN) +NAN_BOXED(9223808620313893739,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9232633163667637259,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13840350979967950344,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13840350979967950344,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(13840350979967950344,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(18439557103256294194,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18439557103256294194,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18424781538688411893,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(18439557103256294194,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(18439557103256294194,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18409802577824779861,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(18409802577824779861,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9223627495856937316,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9223627495856937316,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9223627495856937316,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9223627495856937316,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9223627495856937316,64,FLEN) +NAN_BOXED(9223627495856937316,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13831735007503721770,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13831735007503721770,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(13831735007503721770,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18434938528601008590,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18420185959038709311,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(18420185959038709311,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9223808620313893739,64,FLEN) +NAN_BOXED(9223808620313893739,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9234145997515084564,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13842034063491060279,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13842034063491060279,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(13842034063491060279,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(18428368493872203421,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(18413128491404716976,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(18413128491404716976,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9223808620313893739,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9223808620313893739,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9223808620313893739,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9223808620313893739,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13835411607864492999,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13835411607864492999,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(13835411607864492999,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9214282603439747961,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9216727246563283396,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9209096066353683787,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18429722854496529440,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18438191818498403262,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18441985142712778316,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442221504440006550,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18423106200321465408,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(3439735089418121,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2934513869151469,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(3763951410582788,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2005845601180795,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4059668362200610,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9226726907712872489,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9224323542291873999,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9225926626876390883,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9224626109061363460,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227737871445955122,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9203113735090898319,64,FLEN) +NAN_BOXED(9205695020795655555,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_5: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_6: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_7: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_8: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_9: + .fill 182*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fmaxm_b1-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fmaxm_b1-01.S new file mode 100644 index 000000000..4c0815a28 --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fmaxm_b1-01.S @@ -0,0 +1,429 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:34:03 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fmaxm.s.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fmaxm.s instruction of the RISC-V RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fmaxm_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fmaxm_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f30, rs2==f29, rd==f31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs2 == rd != rs1, rs1==f31, rs2==f30, rd==f30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f31; op2:f30; dest:f30; op1val:0x0; op2val:0x80000000; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f30, f31, f30, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs1 == rd != rs2, rs1==f29, rs2==f31, rd==f29,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f29; op2:f31; dest:f29; op1val:0x0; op2val:0x1; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f29, f29, f31, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs1 == rs2 != rd, rs1==f27, rs2==f27, rd==f28,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f27; op2:f27; dest:f28; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f28, f27, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs1 == rs2 == rd, rs1==f26, rs2==f26, rd==f26,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f26; op2:f26; dest:f26; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f26, f26, f26, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f28, rs2==f25, rd==f27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f28; op2:f25; dest:f27; op1val:0x0; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f27, f28, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f24, rs2==f28, rd==f25,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f24; op2:f28; dest:f25; op1val:0x0; op2val:0x7fffff; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f25, f24, f28, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f25, rs2==f23, rd==f24,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f25; op2:f23; dest:f24; op1val:0x0; op2val:0x807fffff; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f24, f25, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f22, rs2==f24, rd==f23,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f22; op2:f24; dest:f23; op1val:0x0; op2val:0x800000; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f23, f22, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f23, rs2==f21, rd==f22,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f23; op2:f21; dest:f22; op1val:0x0; op2val:0x80800000; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f22, f23, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f20, rs2==f22, rd==f21,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f20; op2:f22; dest:f21; op1val:0x0; op2val:0x800001; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f21, f20, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f21, rs2==f19, rd==f20,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f21; op2:f19; dest:f20; op1val:0x0; op2val:0x80855555; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f20, f21, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f18, rs2==f20, rd==f19,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f18; op2:f20; dest:f19; op1val:0x0; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f19, f18, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f19, rs2==f17, rd==f18,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f19; op2:f17; dest:f18; op1val:0x0; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f18, f19, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f16, rs2==f18, rd==f17,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f16; op2:f18; dest:f17; op1val:0x0; op2val:0x7f800000; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f17, f16, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f17, rs2==f15, rd==f16,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f17; op2:f15; dest:f16; op1val:0x0; op2val:0xff800000; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f16, f17, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f14, rs2==f16, rd==f15,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f14; op2:f16; dest:f15; op1val:0x0; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f15, f14, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f15, rs2==f13, rd==f14,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f15; op2:f13; dest:f14; op1val:0x0; op2val:0xffc00000; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f14, f15, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f12, rs2==f14, rd==f13,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f12; op2:f14; dest:f13; op1val:0x0; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f13, f12, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f13, rs2==f11, rd==f12,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f13; op2:f11; dest:f12; op1val:0x0; op2val:0xffc55555; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f12, f13, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f10, rs2==f12, rd==f11,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f10; op2:f12; dest:f11; op1val:0x0; op2val:0x7f800001; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f11, f10, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f11, rs2==f9, rd==f10,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f11; op2:f9; dest:f10; op1val:0x0; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f10, f11, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f8, rs2==f10, rd==f9,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f8; op2:f10; dest:f9; op1val:0x0; op2val:0x3f800000; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f9, f8, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f9, rs2==f7, rd==f8,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f9; op2:f7; dest:f8; op1val:0x0; op2val:0xbf800000; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f8, f9, f7, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f6, rs2==f8, rd==f7,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f6; op2:f8; dest:f7; op1val:0x80000000; op2val:0x0; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f7, f6, f8, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f7, rs2==f5, rd==f6,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f7; op2:f5; dest:f6; op1val:0x80000000; op2val:0x80000000; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f6, f7, f5, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f4, rs2==f6, rd==f5,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f4; op2:f6; dest:f5; op1val:0x80000000; op2val:0x1; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f5, f4, f6, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f5, rs2==f3, rd==f4,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f5; op2:f3; dest:f4; op1val:0x80000000; op2val:0x80000001; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f4, f5, f3, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f2, rs2==f4, rd==f3,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f2; op2:f4; dest:f3; op1val:0x80000000; op2val:0x2; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f3, f2, f4, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f3, rs2==f1, rd==f2,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f3; op2:f1; dest:f2; op1val:0x80000000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f2, f3, f1, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f0, rs2==f2, rd==f1,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f0; op2:f2; dest:f1; op1val:0x80000000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f1, f0, f2, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f1,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f1; op2:f30; dest:f31; op1val:0x80000000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f1, f30, 0, 0, x3, 62*FLEN/8, x4, x1, x2) + +inst_32: +// rs2==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f30; op2:f0; dest:f31; op1val:0x80000000; op2val:0x800000; + valaddr_reg:x3; val_offset:64*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f0, 0, 0, x3, 64*FLEN/8, x4, x1, x2) + +inst_33: +// rd==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f31; op2:f30; dest:f0; op1val:0x80000000; op2val:0x80800000; + valaddr_reg:x3; val_offset:66*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f0, f31, f30, 0, 0, x3, 66*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 68*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fmaxm_b19-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fmaxm_b19-01.S new file mode 100644 index 000000000..652944315 --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fmaxm_b19-01.S @@ -0,0 +1,429 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:34:03 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fmaxm.s.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fmaxm.s instruction of the RISC-V RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fmaxm_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fmaxm_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f30, rs2==f29, rd==f31,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs2 == rd != rs1, rs1==f31, rs2==f30, rd==f30,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f31; op2:f30; dest:f30; op1val:0x7dce622b; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f30, f31, f30, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs1 == rd != rs2, rs1==f29, rs2==f31, rd==f29,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x183299 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f29; op2:f31; dest:f29; op1val:0x7f7fffff; op2val:0x7d183299; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f29, f29, f31, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs1 == rs2 != rd, rs1==f27, rs2==f27, rd==f28,fs1 == 0 and fe1 == 0xfa and fm1 == 0x183299 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f27; op2:f27; dest:f28; op1val:0x7d183299; op2val:0x7d183299; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f28, f27, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs1 == rs2 == rd, rs1==f26, rs2==f26, rd==f26,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f26; op2:f26; dest:f26; op1val:0x7f7fffff; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f26, f26, f26, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f28, rs2==f25, rd==f27,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f28; op2:f25; dest:f27; op1val:0x7dce622b; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f27, f28, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f24, rs2==f28, rd==f25,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f24; op2:f28; dest:f25; op1val:0x7dce622b; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f25, f24, f28, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f25, rs2==f23, rd==f24,fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f25; op2:f23; dest:f24; op1val:0x7d902b16; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f24, f25, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f22, rs2==f24, rd==f23,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f22; op2:f24; dest:f23; op1val:0x7dce622b; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f23, f22, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f23, rs2==f21, rd==f22,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x6a2c24 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f23; op2:f21; dest:f22; op1val:0x7f7fffff; op2val:0x7d6a2c24; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f22, f23, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f20, rs2==f22, rd==f21,fs1 == 0 and fe1 == 0xfa and fm1 == 0x6a2c24 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f20; op2:f22; dest:f21; op1val:0x7d6a2c24; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f21, f20, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f21, rs2==f19, rd==f20,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f21; op2:f19; dest:f20; op1val:0x7f7fffff; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f20, f21, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f18, rs2==f20, rd==f19,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f18; op2:f20; dest:f19; op1val:0x7dce622b; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f19, f18, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f19, rs2==f17, rd==f18,fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f19; op2:f17; dest:f18; op1val:0x7e2fb07b; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f18, f19, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f16, rs2==f18, rd==f17,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f16; op2:f18; dest:f17; op1val:0x7dce622b; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f17, f16, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f17, rs2==f15, rd==f16,fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f17; op2:f15; dest:f16; op1val:0xfdea577e; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f16, f17, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f14, rs2==f16, rd==f15,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f14; op2:f16; dest:f15; op1val:0x7dce622b; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f15, f14, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f15, rs2==f13, rd==f14,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x291dc8 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f15; op2:f13; dest:f14; op1val:0x7f7fffff; op2val:0xfd291dc8; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f14, f15, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f12, rs2==f14, rd==f13,fs1 == 1 and fe1 == 0xfa and fm1 == 0x291dc8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f12; op2:f14; dest:f13; op1val:0xfd291dc8; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f13, f12, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f13, rs2==f11, rd==f12,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f13; op2:f11; dest:f12; op1val:0x7f7fffff; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f12, f13, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f10, rs2==f12, rd==f11,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f10; op2:f12; dest:f11; op1val:0x7dce622b; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f11, f10, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f11, rs2==f9, rd==f10,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfb and fm2 == 0x153eee and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f11; op2:f9; dest:f10; op1val:0x7f7fffff; op2val:0xfd953eee; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f10, f11, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f8, rs2==f10, rd==f9,fs1 == 1 and fe1 == 0xfb and fm1 == 0x153eee and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f8; op2:f10; dest:f9; op1val:0xfd953eee; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f9, f8, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f9, rs2==f7, rd==f8,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f9; op2:f7; dest:f8; op1val:0x7f7fffff; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f8, f9, f7, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f6, rs2==f8, rd==f7,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f6; op2:f8; dest:f7; op1val:0x7dce622b; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f7, f6, f8, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f7, rs2==f5, rd==f6,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfb and fm2 == 0x1946c8 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f7; op2:f5; dest:f6; op1val:0x7f7fffff; op2val:0xfd9946c8; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f6, f7, f5, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f4, rs2==f6, rd==f5,fs1 == 1 and fe1 == 0xfb and fm1 == 0x1946c8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f4; op2:f6; dest:f5; op1val:0xfd9946c8; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f5, f4, f6, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f5, rs2==f3, rd==f4,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f5; op2:f3; dest:f4; op1val:0x7f7fffff; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f4, f5, f3, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f2, rs2==f4, rd==f3,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f2; op2:f4; dest:f3; op1val:0x7dce622b; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f3, f2, f4, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f3, rs2==f1, rd==f2,fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f3; op2:f1; dest:f2; op1val:0xfd2820df; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f2, f3, f1, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f0, rs2==f2, rd==f1,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f0; op2:f2; dest:f1; op1val:0x7dce622b; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f1, f0, f2, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f1,fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x07167c and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f1; op2:f30; dest:f31; op1val:0x255707; op2val:0x7e07167c; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f1, f30, 0, 0, x3, 62*FLEN/8, x4, x1, x2) + +inst_32: +// rs2==f0,fs1 == 0 and fe1 == 0xfc and fm1 == 0x07167c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f30; op2:f0; dest:f31; op1val:0x7e07167c; op2val:0x255707; + valaddr_reg:x3; val_offset:64*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f0, 0, 0, x3, 64*FLEN/8, x4, x1, x2) + +inst_33: +// rd==f0,fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fmaxm.s ; op1:f31; op2:f30; dest:f0; op1val:0x255707; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:66*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f0, f31, f30, 0, 0, x3, 66*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 68*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fminm.d_b1-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fminm.d_b1-01.S new file mode 100644 index 000000000..c53082c2d --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fminm.d_b1-01.S @@ -0,0 +1,5889 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:38:19 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fminm.d.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fminm.d instruction of the RISC-V RV64FD_Zicsr_Zfa extension for the fminm.d_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fminm.d_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs2 == rd != rs1, rs1==f30, rs2==f31, rd==f31,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f31; dest:f31; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rs2 == rd, rs1==f29, rs2==f29, rd==f29,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f29; op2:f29; dest:f29; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f29, f29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs1 == rs2 != rd, rs1==f28, rs2==f28, rd==f30,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f28; op2:f28; dest:f30; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f30, f28, f28, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f31, rs2==f30, rd==f28,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f31; op2:f30; dest:f28; op1val:0x0; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f28, f31, f30, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs1 == rd != rs2, rs1==f27, rs2==f26, rd==f27,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f27; op2:f26; dest:f27; op1val:0x0; op2val:0x2; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f27, f27, f26, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rs2==f27, rd==f26,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f25; op2:f27; dest:f26; op1val:0x0; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f26, f25, f27, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f26, rs2==f24, rd==f25,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f26; op2:f24; dest:f25; op1val:0x0; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f25, f26, f24, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f23, rs2==f25, rd==f24,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f23; op2:f25; dest:f24; op1val:0x0; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f24, f23, f25, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f24, rs2==f22, rd==f23,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f24; op2:f22; dest:f23; op1val:0x0; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f23, f24, f22, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rs2==f23, rd==f22,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f21; op2:f23; dest:f22; op1val:0x0; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f22, f21, f23, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f22, rs2==f20, rd==f21,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f22; op2:f20; dest:f21; op1val:0x0; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f21, f22, f20, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rs2==f21, rd==f20,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f19; op2:f21; dest:f20; op1val:0x0; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f20, f19, f21, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f20, rs2==f18, rd==f19,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f20; op2:f18; dest:f19; op1val:0x0; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f19, f20, f18, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f17, rs2==f19, rd==f18,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f17; op2:f19; dest:f18; op1val:0x0; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f18, f17, f19, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f18, rs2==f16, rd==f17,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f18; op2:f16; dest:f17; op1val:0x0; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f17, f18, f16, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rs2==f17, rd==f16,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f15; op2:f17; dest:f16; op1val:0x0; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f16, f15, f17, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f16, rs2==f14, rd==f15,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f16; op2:f14; dest:f15; op1val:0x0; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f15, f16, f14, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rs2==f15, rd==f14,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f13; op2:f15; dest:f14; op1val:0x0; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f14, f13, f15, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f14, rs2==f12, rd==f13,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f14; op2:f12; dest:f13; op1val:0x0; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f13, f14, f12, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f11, rs2==f13, rd==f12,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f11; op2:f13; dest:f12; op1val:0x0; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f12, f11, f13, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f12, rs2==f10, rd==f11,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f12; op2:f10; dest:f11; op1val:0x0; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f11, f12, f10, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rs2==f11, rd==f10,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f9; op2:f11; dest:f10; op1val:0x0; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f10, f9, f11, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f10, rs2==f8, rd==f9,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f10; op2:f8; dest:f9; op1val:0x0; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f9, f10, f8, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rs2==f9, rd==f8,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f7; op2:f9; dest:f8; op1val:0x0; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f8, f7, f9, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f8, rs2==f6, rd==f7,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f8; op2:f6; dest:f7; op1val:0x8000000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f7, f8, f6, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f5, rs2==f7, rd==f6,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f5; op2:f7; dest:f6; op1val:0x8000000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f6, f5, f7, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f6, rs2==f4, rd==f5,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f6; op2:f4; dest:f5; op1val:0x8000000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f5, f6, f4, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rs2==f5, rd==f4,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f3; op2:f5; dest:f4; op1val:0x8000000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f4, f3, f5, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f4, rs2==f2, rd==f3,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f4; op2:f2; dest:f3; op1val:0x8000000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f3, f4, f2, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rs2==f3, rd==f2,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f1; op2:f3; dest:f2; op1val:0x8000000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f2, f1, f3, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f2, rs2==f0, rd==f1,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f2; op2:f0; dest:f1; op1val:0x8000000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f1, f2, f0, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f0,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f0; op2:f30; dest:f31; op1val:0x8000000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f0, f30, 0, 0, x3, 62*FLEN/8, x4, x1, x2) + +inst_32: +// rs2==f1,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f1; dest:f31; op1val:0x8000000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:64*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f1, 0, 0, x3, 64*FLEN/8, x4, x1, x2) + +inst_33: +// rd==f0,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f31; op2:f30; dest:f0; op1val:0x8000000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:66*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f0, f31, f30, 0, 0, x3, 66*FLEN/8, x4, x1, x2) + +inst_34: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:68*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 68*FLEN/8, x4, x1, x2) + +inst_35: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:70*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 70*FLEN/8, x4, x1, x2) + +inst_36: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:72*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 72*FLEN/8, x4, x1, x2) + +inst_37: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:74*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 74*FLEN/8, x4, x1, x2) + +inst_38: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:76*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 76*FLEN/8, x4, x1, x2) + +inst_39: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:78*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 78*FLEN/8, x4, x1, x2) + +inst_40: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:80*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 80*FLEN/8, x4, x1, x2) + +inst_41: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:82*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 82*FLEN/8, x4, x1, x2) + +inst_42: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:84*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 84*FLEN/8, x4, x1, x2) + +inst_43: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:86*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 86*FLEN/8, x4, x1, x2) + +inst_44: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:88*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 88*FLEN/8, x4, x1, x2) + +inst_45: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:90*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 90*FLEN/8, x4, x1, x2) + +inst_46: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:92*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 92*FLEN/8, x4, x1, x2) + +inst_47: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:94*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 94*FLEN/8, x4, x1, x2) + +inst_48: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x0; + valaddr_reg:x3; val_offset:96*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 96*FLEN/8, x4, x1, x2) + +inst_49: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:98*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 98*FLEN/8, x4, x1, x2) + +inst_50: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x1; + valaddr_reg:x3; val_offset:100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 100*FLEN/8, x4, x1, x2) + +inst_51: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 102*FLEN/8, x4, x1, x2) + +inst_52: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x2; + valaddr_reg:x3; val_offset:104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 104*FLEN/8, x4, x1, x2) + +inst_53: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 106*FLEN/8, x4, x1, x2) + +inst_54: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 108*FLEN/8, x4, x1, x2) + +inst_55: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 110*FLEN/8, x4, x1, x2) + +inst_56: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 112*FLEN/8, x4, x1, x2) + +inst_57: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 114*FLEN/8, x4, x1, x2) + +inst_58: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 116*FLEN/8, x4, x1, x2) + +inst_59: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 118*FLEN/8, x4, x1, x2) + +inst_60: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 120*FLEN/8, x4, x1, x2) + +inst_61: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 122*FLEN/8, x4, x1, x2) + +inst_62: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 124*FLEN/8, x4, x1, x2) + +inst_63: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 126*FLEN/8, x4, x1, x2) + +inst_64: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 128*FLEN/8, x4, x1, x2) + +inst_65: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 130*FLEN/8, x4, x1, x2) + +inst_66: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 132*FLEN/8, x4, x1, x2) + +inst_67: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 134*FLEN/8, x4, x1, x2) + +inst_68: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 136*FLEN/8, x4, x1, x2) + +inst_69: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 138*FLEN/8, x4, x1, x2) + +inst_70: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 140*FLEN/8, x4, x1, x2) + +inst_71: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 142*FLEN/8, x4, x1, x2) + +inst_72: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x0; + valaddr_reg:x3; val_offset:144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 144*FLEN/8, x4, x1, x2) + +inst_73: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 146*FLEN/8, x4, x1, x2) + +inst_74: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x1; + valaddr_reg:x3; val_offset:148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 148*FLEN/8, x4, x1, x2) + +inst_75: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 150*FLEN/8, x4, x1, x2) + +inst_76: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x2; + valaddr_reg:x3; val_offset:152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 152*FLEN/8, x4, x1, x2) + +inst_77: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 154*FLEN/8, x4, x1, x2) + +inst_78: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 156*FLEN/8, x4, x1, x2) + +inst_79: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 158*FLEN/8, x4, x1, x2) + +inst_80: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 160*FLEN/8, x4, x1, x2) + +inst_81: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 162*FLEN/8, x4, x1, x2) + +inst_82: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 164*FLEN/8, x4, x1, x2) + +inst_83: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 166*FLEN/8, x4, x1, x2) + +inst_84: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 168*FLEN/8, x4, x1, x2) + +inst_85: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 170*FLEN/8, x4, x1, x2) + +inst_86: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 172*FLEN/8, x4, x1, x2) + +inst_87: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 174*FLEN/8, x4, x1, x2) + +inst_88: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 176*FLEN/8, x4, x1, x2) + +inst_89: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 178*FLEN/8, x4, x1, x2) + +inst_90: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 180*FLEN/8, x4, x1, x2) + +inst_91: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 182*FLEN/8, x4, x1, x2) + +inst_92: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 184*FLEN/8, x4, x1, x2) + +inst_93: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 186*FLEN/8, x4, x1, x2) + +inst_94: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 188*FLEN/8, x4, x1, x2) + +inst_95: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000001; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 190*FLEN/8, x4, x1, x2) + +inst_96: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x0; + valaddr_reg:x3; val_offset:192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 192*FLEN/8, x4, x1, x2) + +inst_97: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 194*FLEN/8, x4, x1, x2) + +inst_98: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x1; + valaddr_reg:x3; val_offset:196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 196*FLEN/8, x4, x1, x2) + +inst_99: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 198*FLEN/8, x4, x1, x2) + +inst_100: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x2; + valaddr_reg:x3; val_offset:200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 200*FLEN/8, x4, x1, x2) + +inst_101: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 202*FLEN/8, x4, x1, x2) + +inst_102: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 204*FLEN/8, x4, x1, x2) + +inst_103: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 206*FLEN/8, x4, x1, x2) + +inst_104: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 208*FLEN/8, x4, x1, x2) + +inst_105: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 210*FLEN/8, x4, x1, x2) + +inst_106: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 212*FLEN/8, x4, x1, x2) + +inst_107: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 214*FLEN/8, x4, x1, x2) + +inst_108: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 216*FLEN/8, x4, x1, x2) + +inst_109: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 218*FLEN/8, x4, x1, x2) + +inst_110: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 220*FLEN/8, x4, x1, x2) + +inst_111: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 222*FLEN/8, x4, x1, x2) + +inst_112: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 224*FLEN/8, x4, x1, x2) + +inst_113: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 226*FLEN/8, x4, x1, x2) + +inst_114: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 228*FLEN/8, x4, x1, x2) + +inst_115: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 230*FLEN/8, x4, x1, x2) + +inst_116: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 232*FLEN/8, x4, x1, x2) + +inst_117: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 234*FLEN/8, x4, x1, x2) + +inst_118: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 236*FLEN/8, x4, x1, x2) + +inst_119: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 238*FLEN/8, x4, x1, x2) + +inst_120: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x0; + valaddr_reg:x3; val_offset:240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 240*FLEN/8, x4, x1, x2) + +inst_121: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 242*FLEN/8, x4, x1, x2) + +inst_122: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x1; + valaddr_reg:x3; val_offset:244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 244*FLEN/8, x4, x1, x2) + +inst_123: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 246*FLEN/8, x4, x1, x2) + +inst_124: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x2; + valaddr_reg:x3; val_offset:248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 248*FLEN/8, x4, x1, x2) + +inst_125: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 250*FLEN/8, x4, x1, x2) + +inst_126: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 252*FLEN/8, x4, x1, x2) + +inst_127: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 254*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_2) + +inst_128: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 256*FLEN/8, x4, x1, x2) + +inst_129: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 258*FLEN/8, x4, x1, x2) + +inst_130: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 260*FLEN/8, x4, x1, x2) + +inst_131: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 262*FLEN/8, x4, x1, x2) + +inst_132: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 264*FLEN/8, x4, x1, x2) + +inst_133: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 266*FLEN/8, x4, x1, x2) + +inst_134: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 268*FLEN/8, x4, x1, x2) + +inst_135: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 270*FLEN/8, x4, x1, x2) + +inst_136: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 272*FLEN/8, x4, x1, x2) + +inst_137: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 274*FLEN/8, x4, x1, x2) + +inst_138: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 276*FLEN/8, x4, x1, x2) + +inst_139: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 278*FLEN/8, x4, x1, x2) + +inst_140: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 280*FLEN/8, x4, x1, x2) + +inst_141: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 282*FLEN/8, x4, x1, x2) + +inst_142: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 284*FLEN/8, x4, x1, x2) + +inst_143: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000000000000002; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 286*FLEN/8, x4, x1, x2) + +inst_144: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x0; + valaddr_reg:x3; val_offset:288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 288*FLEN/8, x4, x1, x2) + +inst_145: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 290*FLEN/8, x4, x1, x2) + +inst_146: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x1; + valaddr_reg:x3; val_offset:292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 292*FLEN/8, x4, x1, x2) + +inst_147: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 294*FLEN/8, x4, x1, x2) + +inst_148: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x2; + valaddr_reg:x3; val_offset:296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 296*FLEN/8, x4, x1, x2) + +inst_149: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 298*FLEN/8, x4, x1, x2) + +inst_150: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 300*FLEN/8, x4, x1, x2) + +inst_151: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 302*FLEN/8, x4, x1, x2) + +inst_152: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 304*FLEN/8, x4, x1, x2) + +inst_153: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 306*FLEN/8, x4, x1, x2) + +inst_154: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 308*FLEN/8, x4, x1, x2) + +inst_155: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 310*FLEN/8, x4, x1, x2) + +inst_156: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 312*FLEN/8, x4, x1, x2) + +inst_157: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 314*FLEN/8, x4, x1, x2) + +inst_158: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 316*FLEN/8, x4, x1, x2) + +inst_159: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 318*FLEN/8, x4, x1, x2) + +inst_160: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 320*FLEN/8, x4, x1, x2) + +inst_161: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 322*FLEN/8, x4, x1, x2) + +inst_162: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 324*FLEN/8, x4, x1, x2) + +inst_163: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 326*FLEN/8, x4, x1, x2) + +inst_164: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 328*FLEN/8, x4, x1, x2) + +inst_165: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 330*FLEN/8, x4, x1, x2) + +inst_166: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 332*FLEN/8, x4, x1, x2) + +inst_167: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfffffffffffff; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 334*FLEN/8, x4, x1, x2) + +inst_168: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x0; + valaddr_reg:x3; val_offset:336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 336*FLEN/8, x4, x1, x2) + +inst_169: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 338*FLEN/8, x4, x1, x2) + +inst_170: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x1; + valaddr_reg:x3; val_offset:340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 340*FLEN/8, x4, x1, x2) + +inst_171: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 342*FLEN/8, x4, x1, x2) + +inst_172: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x2; + valaddr_reg:x3; val_offset:344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 344*FLEN/8, x4, x1, x2) + +inst_173: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 346*FLEN/8, x4, x1, x2) + +inst_174: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 348*FLEN/8, x4, x1, x2) + +inst_175: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 350*FLEN/8, x4, x1, x2) + +inst_176: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 352*FLEN/8, x4, x1, x2) + +inst_177: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 354*FLEN/8, x4, x1, x2) + +inst_178: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 356*FLEN/8, x4, x1, x2) + +inst_179: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 358*FLEN/8, x4, x1, x2) + +inst_180: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 360*FLEN/8, x4, x1, x2) + +inst_181: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 362*FLEN/8, x4, x1, x2) + +inst_182: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 364*FLEN/8, x4, x1, x2) + +inst_183: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 366*FLEN/8, x4, x1, x2) + +inst_184: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 368*FLEN/8, x4, x1, x2) + +inst_185: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 370*FLEN/8, x4, x1, x2) + +inst_186: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 372*FLEN/8, x4, x1, x2) + +inst_187: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 374*FLEN/8, x4, x1, x2) + +inst_188: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 376*FLEN/8, x4, x1, x2) + +inst_189: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 378*FLEN/8, x4, x1, x2) + +inst_190: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 380*FLEN/8, x4, x1, x2) + +inst_191: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fffffffffffff; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 382*FLEN/8, x4, x1, x2) + +inst_192: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 384*FLEN/8, x4, x1, x2) + +inst_193: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 386*FLEN/8, x4, x1, x2) + +inst_194: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 388*FLEN/8, x4, x1, x2) + +inst_195: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 390*FLEN/8, x4, x1, x2) + +inst_196: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 392*FLEN/8, x4, x1, x2) + +inst_197: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 394*FLEN/8, x4, x1, x2) + +inst_198: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 396*FLEN/8, x4, x1, x2) + +inst_199: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 398*FLEN/8, x4, x1, x2) + +inst_200: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 400*FLEN/8, x4, x1, x2) + +inst_201: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 402*FLEN/8, x4, x1, x2) + +inst_202: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 404*FLEN/8, x4, x1, x2) + +inst_203: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 406*FLEN/8, x4, x1, x2) + +inst_204: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 408*FLEN/8, x4, x1, x2) + +inst_205: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 410*FLEN/8, x4, x1, x2) + +inst_206: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 412*FLEN/8, x4, x1, x2) + +inst_207: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 414*FLEN/8, x4, x1, x2) + +inst_208: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 416*FLEN/8, x4, x1, x2) + +inst_209: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 418*FLEN/8, x4, x1, x2) + +inst_210: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 420*FLEN/8, x4, x1, x2) + +inst_211: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 422*FLEN/8, x4, x1, x2) + +inst_212: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 424*FLEN/8, x4, x1, x2) + +inst_213: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 426*FLEN/8, x4, x1, x2) + +inst_214: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 428*FLEN/8, x4, x1, x2) + +inst_215: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 430*FLEN/8, x4, x1, x2) + +inst_216: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 432*FLEN/8, x4, x1, x2) + +inst_217: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 434*FLEN/8, x4, x1, x2) + +inst_218: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 436*FLEN/8, x4, x1, x2) + +inst_219: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 438*FLEN/8, x4, x1, x2) + +inst_220: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 440*FLEN/8, x4, x1, x2) + +inst_221: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 442*FLEN/8, x4, x1, x2) + +inst_222: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 444*FLEN/8, x4, x1, x2) + +inst_223: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 446*FLEN/8, x4, x1, x2) + +inst_224: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 448*FLEN/8, x4, x1, x2) + +inst_225: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 450*FLEN/8, x4, x1, x2) + +inst_226: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 452*FLEN/8, x4, x1, x2) + +inst_227: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 454*FLEN/8, x4, x1, x2) + +inst_228: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 456*FLEN/8, x4, x1, x2) + +inst_229: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 458*FLEN/8, x4, x1, x2) + +inst_230: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 460*FLEN/8, x4, x1, x2) + +inst_231: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 462*FLEN/8, x4, x1, x2) + +inst_232: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 464*FLEN/8, x4, x1, x2) + +inst_233: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 466*FLEN/8, x4, x1, x2) + +inst_234: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 468*FLEN/8, x4, x1, x2) + +inst_235: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 470*FLEN/8, x4, x1, x2) + +inst_236: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 472*FLEN/8, x4, x1, x2) + +inst_237: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 474*FLEN/8, x4, x1, x2) + +inst_238: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 476*FLEN/8, x4, x1, x2) + +inst_239: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 478*FLEN/8, x4, x1, x2) + +inst_240: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x0; + valaddr_reg:x3; val_offset:480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 480*FLEN/8, x4, x1, x2) + +inst_241: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 482*FLEN/8, x4, x1, x2) + +inst_242: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x1; + valaddr_reg:x3; val_offset:484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 484*FLEN/8, x4, x1, x2) + +inst_243: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 486*FLEN/8, x4, x1, x2) + +inst_244: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x2; + valaddr_reg:x3; val_offset:488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 488*FLEN/8, x4, x1, x2) + +inst_245: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 490*FLEN/8, x4, x1, x2) + +inst_246: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 492*FLEN/8, x4, x1, x2) + +inst_247: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 494*FLEN/8, x4, x1, x2) + +inst_248: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 496*FLEN/8, x4, x1, x2) + +inst_249: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 498*FLEN/8, x4, x1, x2) + +inst_250: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 500*FLEN/8, x4, x1, x2) + +inst_251: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 502*FLEN/8, x4, x1, x2) + +inst_252: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 504*FLEN/8, x4, x1, x2) + +inst_253: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 506*FLEN/8, x4, x1, x2) + +inst_254: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 508*FLEN/8, x4, x1, x2) + +inst_255: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 510*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_3) + +inst_256: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 512*FLEN/8, x4, x1, x2) + +inst_257: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 514*FLEN/8, x4, x1, x2) + +inst_258: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 516*FLEN/8, x4, x1, x2) + +inst_259: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 518*FLEN/8, x4, x1, x2) + +inst_260: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 520*FLEN/8, x4, x1, x2) + +inst_261: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 522*FLEN/8, x4, x1, x2) + +inst_262: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 524*FLEN/8, x4, x1, x2) + +inst_263: +// fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x10000000000002; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 526*FLEN/8, x4, x1, x2) + +inst_264: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x0; + valaddr_reg:x3; val_offset:528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 528*FLEN/8, x4, x1, x2) + +inst_265: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 530*FLEN/8, x4, x1, x2) + +inst_266: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x1; + valaddr_reg:x3; val_offset:532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 532*FLEN/8, x4, x1, x2) + +inst_267: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 534*FLEN/8, x4, x1, x2) + +inst_268: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x2; + valaddr_reg:x3; val_offset:536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 536*FLEN/8, x4, x1, x2) + +inst_269: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 538*FLEN/8, x4, x1, x2) + +inst_270: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 540*FLEN/8, x4, x1, x2) + +inst_271: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 542*FLEN/8, x4, x1, x2) + +inst_272: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 544*FLEN/8, x4, x1, x2) + +inst_273: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 546*FLEN/8, x4, x1, x2) + +inst_274: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 548*FLEN/8, x4, x1, x2) + +inst_275: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 550*FLEN/8, x4, x1, x2) + +inst_276: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 552*FLEN/8, x4, x1, x2) + +inst_277: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 554*FLEN/8, x4, x1, x2) + +inst_278: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 556*FLEN/8, x4, x1, x2) + +inst_279: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 558*FLEN/8, x4, x1, x2) + +inst_280: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 560*FLEN/8, x4, x1, x2) + +inst_281: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 562*FLEN/8, x4, x1, x2) + +inst_282: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 564*FLEN/8, x4, x1, x2) + +inst_283: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 566*FLEN/8, x4, x1, x2) + +inst_284: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 568*FLEN/8, x4, x1, x2) + +inst_285: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 570*FLEN/8, x4, x1, x2) + +inst_286: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 572*FLEN/8, x4, x1, x2) + +inst_287: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8010000000000002; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 574*FLEN/8, x4, x1, x2) + +inst_288: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x0; + valaddr_reg:x3; val_offset:576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 576*FLEN/8, x4, x1, x2) + +inst_289: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 578*FLEN/8, x4, x1, x2) + +inst_290: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x1; + valaddr_reg:x3; val_offset:580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 580*FLEN/8, x4, x1, x2) + +inst_291: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 582*FLEN/8, x4, x1, x2) + +inst_292: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x2; + valaddr_reg:x3; val_offset:584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 584*FLEN/8, x4, x1, x2) + +inst_293: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 586*FLEN/8, x4, x1, x2) + +inst_294: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 588*FLEN/8, x4, x1, x2) + +inst_295: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 590*FLEN/8, x4, x1, x2) + +inst_296: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 592*FLEN/8, x4, x1, x2) + +inst_297: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 594*FLEN/8, x4, x1, x2) + +inst_298: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 596*FLEN/8, x4, x1, x2) + +inst_299: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 598*FLEN/8, x4, x1, x2) + +inst_300: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 600*FLEN/8, x4, x1, x2) + +inst_301: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 602*FLEN/8, x4, x1, x2) + +inst_302: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 604*FLEN/8, x4, x1, x2) + +inst_303: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 606*FLEN/8, x4, x1, x2) + +inst_304: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 608*FLEN/8, x4, x1, x2) + +inst_305: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 610*FLEN/8, x4, x1, x2) + +inst_306: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 612*FLEN/8, x4, x1, x2) + +inst_307: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 614*FLEN/8, x4, x1, x2) + +inst_308: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 616*FLEN/8, x4, x1, x2) + +inst_309: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 618*FLEN/8, x4, x1, x2) + +inst_310: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 620*FLEN/8, x4, x1, x2) + +inst_311: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fefffffffffffff; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 622*FLEN/8, x4, x1, x2) + +inst_312: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x0; + valaddr_reg:x3; val_offset:624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 624*FLEN/8, x4, x1, x2) + +inst_313: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 626*FLEN/8, x4, x1, x2) + +inst_314: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x1; + valaddr_reg:x3; val_offset:628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 628*FLEN/8, x4, x1, x2) + +inst_315: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 630*FLEN/8, x4, x1, x2) + +inst_316: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x2; + valaddr_reg:x3; val_offset:632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 632*FLEN/8, x4, x1, x2) + +inst_317: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 634*FLEN/8, x4, x1, x2) + +inst_318: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 636*FLEN/8, x4, x1, x2) + +inst_319: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 638*FLEN/8, x4, x1, x2) + +inst_320: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 640*FLEN/8, x4, x1, x2) + +inst_321: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 642*FLEN/8, x4, x1, x2) + +inst_322: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 644*FLEN/8, x4, x1, x2) + +inst_323: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 646*FLEN/8, x4, x1, x2) + +inst_324: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 648*FLEN/8, x4, x1, x2) + +inst_325: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 650*FLEN/8, x4, x1, x2) + +inst_326: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 652*FLEN/8, x4, x1, x2) + +inst_327: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 654*FLEN/8, x4, x1, x2) + +inst_328: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 656*FLEN/8, x4, x1, x2) + +inst_329: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 658*FLEN/8, x4, x1, x2) + +inst_330: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 660*FLEN/8, x4, x1, x2) + +inst_331: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 662*FLEN/8, x4, x1, x2) + +inst_332: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 664*FLEN/8, x4, x1, x2) + +inst_333: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 666*FLEN/8, x4, x1, x2) + +inst_334: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 668*FLEN/8, x4, x1, x2) + +inst_335: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffefffffffffffff; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 670*FLEN/8, x4, x1, x2) + +inst_336: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 672*FLEN/8, x4, x1, x2) + +inst_337: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 674*FLEN/8, x4, x1, x2) + +inst_338: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 676*FLEN/8, x4, x1, x2) + +inst_339: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 678*FLEN/8, x4, x1, x2) + +inst_340: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 680*FLEN/8, x4, x1, x2) + +inst_341: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 682*FLEN/8, x4, x1, x2) + +inst_342: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 684*FLEN/8, x4, x1, x2) + +inst_343: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 686*FLEN/8, x4, x1, x2) + +inst_344: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 688*FLEN/8, x4, x1, x2) + +inst_345: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 690*FLEN/8, x4, x1, x2) + +inst_346: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 692*FLEN/8, x4, x1, x2) + +inst_347: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 694*FLEN/8, x4, x1, x2) + +inst_348: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 696*FLEN/8, x4, x1, x2) + +inst_349: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 698*FLEN/8, x4, x1, x2) + +inst_350: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 700*FLEN/8, x4, x1, x2) + +inst_351: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 702*FLEN/8, x4, x1, x2) + +inst_352: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 704*FLEN/8, x4, x1, x2) + +inst_353: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 706*FLEN/8, x4, x1, x2) + +inst_354: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 708*FLEN/8, x4, x1, x2) + +inst_355: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 710*FLEN/8, x4, x1, x2) + +inst_356: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 712*FLEN/8, x4, x1, x2) + +inst_357: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 714*FLEN/8, x4, x1, x2) + +inst_358: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 716*FLEN/8, x4, x1, x2) + +inst_359: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 718*FLEN/8, x4, x1, x2) + +inst_360: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 720*FLEN/8, x4, x1, x2) + +inst_361: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 722*FLEN/8, x4, x1, x2) + +inst_362: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 724*FLEN/8, x4, x1, x2) + +inst_363: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 726*FLEN/8, x4, x1, x2) + +inst_364: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 728*FLEN/8, x4, x1, x2) + +inst_365: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 730*FLEN/8, x4, x1, x2) + +inst_366: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 732*FLEN/8, x4, x1, x2) + +inst_367: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 734*FLEN/8, x4, x1, x2) + +inst_368: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 736*FLEN/8, x4, x1, x2) + +inst_369: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 738*FLEN/8, x4, x1, x2) + +inst_370: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 740*FLEN/8, x4, x1, x2) + +inst_371: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 742*FLEN/8, x4, x1, x2) + +inst_372: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 744*FLEN/8, x4, x1, x2) + +inst_373: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 746*FLEN/8, x4, x1, x2) + +inst_374: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 748*FLEN/8, x4, x1, x2) + +inst_375: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 750*FLEN/8, x4, x1, x2) + +inst_376: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 752*FLEN/8, x4, x1, x2) + +inst_377: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 754*FLEN/8, x4, x1, x2) + +inst_378: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 756*FLEN/8, x4, x1, x2) + +inst_379: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 758*FLEN/8, x4, x1, x2) + +inst_380: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 760*FLEN/8, x4, x1, x2) + +inst_381: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 762*FLEN/8, x4, x1, x2) + +inst_382: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 764*FLEN/8, x4, x1, x2) + +inst_383: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 766*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_4) + +inst_384: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 768*FLEN/8, x4, x1, x2) + +inst_385: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 770*FLEN/8, x4, x1, x2) + +inst_386: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 772*FLEN/8, x4, x1, x2) + +inst_387: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 774*FLEN/8, x4, x1, x2) + +inst_388: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 776*FLEN/8, x4, x1, x2) + +inst_389: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 778*FLEN/8, x4, x1, x2) + +inst_390: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 780*FLEN/8, x4, x1, x2) + +inst_391: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 782*FLEN/8, x4, x1, x2) + +inst_392: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 784*FLEN/8, x4, x1, x2) + +inst_393: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 786*FLEN/8, x4, x1, x2) + +inst_394: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 788*FLEN/8, x4, x1, x2) + +inst_395: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 790*FLEN/8, x4, x1, x2) + +inst_396: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 792*FLEN/8, x4, x1, x2) + +inst_397: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 794*FLEN/8, x4, x1, x2) + +inst_398: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 796*FLEN/8, x4, x1, x2) + +inst_399: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 798*FLEN/8, x4, x1, x2) + +inst_400: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 800*FLEN/8, x4, x1, x2) + +inst_401: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 802*FLEN/8, x4, x1, x2) + +inst_402: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 804*FLEN/8, x4, x1, x2) + +inst_403: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 806*FLEN/8, x4, x1, x2) + +inst_404: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 808*FLEN/8, x4, x1, x2) + +inst_405: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 810*FLEN/8, x4, x1, x2) + +inst_406: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 812*FLEN/8, x4, x1, x2) + +inst_407: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 814*FLEN/8, x4, x1, x2) + +inst_408: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 816*FLEN/8, x4, x1, x2) + +inst_409: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 818*FLEN/8, x4, x1, x2) + +inst_410: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 820*FLEN/8, x4, x1, x2) + +inst_411: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 822*FLEN/8, x4, x1, x2) + +inst_412: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 824*FLEN/8, x4, x1, x2) + +inst_413: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 826*FLEN/8, x4, x1, x2) + +inst_414: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 828*FLEN/8, x4, x1, x2) + +inst_415: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 830*FLEN/8, x4, x1, x2) + +inst_416: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 832*FLEN/8, x4, x1, x2) + +inst_417: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 834*FLEN/8, x4, x1, x2) + +inst_418: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 836*FLEN/8, x4, x1, x2) + +inst_419: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 838*FLEN/8, x4, x1, x2) + +inst_420: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 840*FLEN/8, x4, x1, x2) + +inst_421: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 842*FLEN/8, x4, x1, x2) + +inst_422: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 844*FLEN/8, x4, x1, x2) + +inst_423: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 846*FLEN/8, x4, x1, x2) + +inst_424: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 848*FLEN/8, x4, x1, x2) + +inst_425: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 850*FLEN/8, x4, x1, x2) + +inst_426: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 852*FLEN/8, x4, x1, x2) + +inst_427: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 854*FLEN/8, x4, x1, x2) + +inst_428: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 856*FLEN/8, x4, x1, x2) + +inst_429: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 858*FLEN/8, x4, x1, x2) + +inst_430: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 860*FLEN/8, x4, x1, x2) + +inst_431: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 862*FLEN/8, x4, x1, x2) + +inst_432: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x0; + valaddr_reg:x3; val_offset:864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 864*FLEN/8, x4, x1, x2) + +inst_433: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 866*FLEN/8, x4, x1, x2) + +inst_434: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x1; + valaddr_reg:x3; val_offset:868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 868*FLEN/8, x4, x1, x2) + +inst_435: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 870*FLEN/8, x4, x1, x2) + +inst_436: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x2; + valaddr_reg:x3; val_offset:872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 872*FLEN/8, x4, x1, x2) + +inst_437: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 874*FLEN/8, x4, x1, x2) + +inst_438: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 876*FLEN/8, x4, x1, x2) + +inst_439: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 878*FLEN/8, x4, x1, x2) + +inst_440: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 880*FLEN/8, x4, x1, x2) + +inst_441: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 882*FLEN/8, x4, x1, x2) + +inst_442: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 884*FLEN/8, x4, x1, x2) + +inst_443: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 886*FLEN/8, x4, x1, x2) + +inst_444: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 888*FLEN/8, x4, x1, x2) + +inst_445: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 890*FLEN/8, x4, x1, x2) + +inst_446: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 892*FLEN/8, x4, x1, x2) + +inst_447: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 894*FLEN/8, x4, x1, x2) + +inst_448: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 896*FLEN/8, x4, x1, x2) + +inst_449: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 898*FLEN/8, x4, x1, x2) + +inst_450: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 900*FLEN/8, x4, x1, x2) + +inst_451: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 902*FLEN/8, x4, x1, x2) + +inst_452: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 904*FLEN/8, x4, x1, x2) + +inst_453: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 906*FLEN/8, x4, x1, x2) + +inst_454: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 908*FLEN/8, x4, x1, x2) + +inst_455: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff8000000000001; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 910*FLEN/8, x4, x1, x2) + +inst_456: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x0; + valaddr_reg:x3; val_offset:912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 912*FLEN/8, x4, x1, x2) + +inst_457: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 914*FLEN/8, x4, x1, x2) + +inst_458: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x1; + valaddr_reg:x3; val_offset:916*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 916*FLEN/8, x4, x1, x2) + +inst_459: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:918*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 918*FLEN/8, x4, x1, x2) + +inst_460: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x2; + valaddr_reg:x3; val_offset:920*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 920*FLEN/8, x4, x1, x2) + +inst_461: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:922*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 922*FLEN/8, x4, x1, x2) + +inst_462: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:924*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 924*FLEN/8, x4, x1, x2) + +inst_463: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:926*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 926*FLEN/8, x4, x1, x2) + +inst_464: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:928*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 928*FLEN/8, x4, x1, x2) + +inst_465: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:930*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 930*FLEN/8, x4, x1, x2) + +inst_466: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:932*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 932*FLEN/8, x4, x1, x2) + +inst_467: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:934*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 934*FLEN/8, x4, x1, x2) + +inst_468: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:936*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 936*FLEN/8, x4, x1, x2) + +inst_469: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:938*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 938*FLEN/8, x4, x1, x2) + +inst_470: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:940*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 940*FLEN/8, x4, x1, x2) + +inst_471: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:942*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 942*FLEN/8, x4, x1, x2) + +inst_472: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:944*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 944*FLEN/8, x4, x1, x2) + +inst_473: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:946*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 946*FLEN/8, x4, x1, x2) + +inst_474: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:948*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 948*FLEN/8, x4, x1, x2) + +inst_475: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:950*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 950*FLEN/8, x4, x1, x2) + +inst_476: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:952*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 952*FLEN/8, x4, x1, x2) + +inst_477: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:954*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 954*FLEN/8, x4, x1, x2) + +inst_478: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:956*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 956*FLEN/8, x4, x1, x2) + +inst_479: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff8000000000001; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:958*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 958*FLEN/8, x4, x1, x2) + +inst_480: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x0; + valaddr_reg:x3; val_offset:960*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 960*FLEN/8, x4, x1, x2) + +inst_481: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:962*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 962*FLEN/8, x4, x1, x2) + +inst_482: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x1; + valaddr_reg:x3; val_offset:964*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 964*FLEN/8, x4, x1, x2) + +inst_483: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:966*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 966*FLEN/8, x4, x1, x2) + +inst_484: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x2; + valaddr_reg:x3; val_offset:968*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 968*FLEN/8, x4, x1, x2) + +inst_485: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:970*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 970*FLEN/8, x4, x1, x2) + +inst_486: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:972*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 972*FLEN/8, x4, x1, x2) + +inst_487: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:974*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 974*FLEN/8, x4, x1, x2) + +inst_488: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:976*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 976*FLEN/8, x4, x1, x2) + +inst_489: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:978*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 978*FLEN/8, x4, x1, x2) + +inst_490: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:980*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 980*FLEN/8, x4, x1, x2) + +inst_491: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:982*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 982*FLEN/8, x4, x1, x2) + +inst_492: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:984*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 984*FLEN/8, x4, x1, x2) + +inst_493: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:986*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 986*FLEN/8, x4, x1, x2) + +inst_494: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:988*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 988*FLEN/8, x4, x1, x2) + +inst_495: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:990*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 990*FLEN/8, x4, x1, x2) + +inst_496: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:992*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 992*FLEN/8, x4, x1, x2) + +inst_497: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:994*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 994*FLEN/8, x4, x1, x2) + +inst_498: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:996*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 996*FLEN/8, x4, x1, x2) + +inst_499: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:998*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 998*FLEN/8, x4, x1, x2) + +inst_500: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:1000*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1000*FLEN/8, x4, x1, x2) + +inst_501: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:1002*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1002*FLEN/8, x4, x1, x2) + +inst_502: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:1004*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1004*FLEN/8, x4, x1, x2) + +inst_503: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000001; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:1006*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1006*FLEN/8, x4, x1, x2) + +inst_504: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x0; + valaddr_reg:x3; val_offset:1008*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1008*FLEN/8, x4, x1, x2) + +inst_505: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:1010*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1010*FLEN/8, x4, x1, x2) + +inst_506: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x1; + valaddr_reg:x3; val_offset:1012*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1012*FLEN/8, x4, x1, x2) + +inst_507: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:1014*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1014*FLEN/8, x4, x1, x2) + +inst_508: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x2; + valaddr_reg:x3; val_offset:1016*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1016*FLEN/8, x4, x1, x2) + +inst_509: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:1018*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1018*FLEN/8, x4, x1, x2) + +inst_510: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:1020*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1020*FLEN/8, x4, x1, x2) + +inst_511: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:1022*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1022*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_5) + +inst_512: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:1024*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1024*FLEN/8, x4, x1, x2) + +inst_513: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:1026*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1026*FLEN/8, x4, x1, x2) + +inst_514: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:1028*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1028*FLEN/8, x4, x1, x2) + +inst_515: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:1030*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1030*FLEN/8, x4, x1, x2) + +inst_516: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:1032*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1032*FLEN/8, x4, x1, x2) + +inst_517: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:1034*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1034*FLEN/8, x4, x1, x2) + +inst_518: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1036*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1036*FLEN/8, x4, x1, x2) + +inst_519: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1038*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1038*FLEN/8, x4, x1, x2) + +inst_520: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:1040*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1040*FLEN/8, x4, x1, x2) + +inst_521: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:1042*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1042*FLEN/8, x4, x1, x2) + +inst_522: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:1044*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1044*FLEN/8, x4, x1, x2) + +inst_523: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:1046*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1046*FLEN/8, x4, x1, x2) + +inst_524: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:1048*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1048*FLEN/8, x4, x1, x2) + +inst_525: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:1050*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1050*FLEN/8, x4, x1, x2) + +inst_526: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:1052*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1052*FLEN/8, x4, x1, x2) + +inst_527: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000001; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:1054*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1054*FLEN/8, x4, x1, x2) + +inst_528: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:1056*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1056*FLEN/8, x4, x1, x2) + +inst_529: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:1058*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1058*FLEN/8, x4, x1, x2) + +inst_530: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:1060*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1060*FLEN/8, x4, x1, x2) + +inst_531: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:1062*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1062*FLEN/8, x4, x1, x2) + +inst_532: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:1064*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1064*FLEN/8, x4, x1, x2) + +inst_533: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:1066*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1066*FLEN/8, x4, x1, x2) + +inst_534: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:1068*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1068*FLEN/8, x4, x1, x2) + +inst_535: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:1070*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1070*FLEN/8, x4, x1, x2) + +inst_536: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:1072*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1072*FLEN/8, x4, x1, x2) + +inst_537: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:1074*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1074*FLEN/8, x4, x1, x2) + +inst_538: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:1076*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1076*FLEN/8, x4, x1, x2) + +inst_539: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:1078*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1078*FLEN/8, x4, x1, x2) + +inst_540: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:1080*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1080*FLEN/8, x4, x1, x2) + +inst_541: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:1082*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1082*FLEN/8, x4, x1, x2) + +inst_542: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1084*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1084*FLEN/8, x4, x1, x2) + +inst_543: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1086*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1086*FLEN/8, x4, x1, x2) + +inst_544: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:1088*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1088*FLEN/8, x4, x1, x2) + +inst_545: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:1090*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1090*FLEN/8, x4, x1, x2) + +inst_546: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:1092*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1092*FLEN/8, x4, x1, x2) + +inst_547: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:1094*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1094*FLEN/8, x4, x1, x2) + +inst_548: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:1096*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1096*FLEN/8, x4, x1, x2) + +inst_549: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:1098*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1098*FLEN/8, x4, x1, x2) + +inst_550: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:1100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1100*FLEN/8, x4, x1, x2) + +inst_551: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff0000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:1102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1102*FLEN/8, x4, x1, x2) + +inst_552: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x0; + valaddr_reg:x3; val_offset:1104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1104*FLEN/8, x4, x1, x2) + +inst_553: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:1106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1106*FLEN/8, x4, x1, x2) + +inst_554: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x1; + valaddr_reg:x3; val_offset:1108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1108*FLEN/8, x4, x1, x2) + +inst_555: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x8000000000000001; + valaddr_reg:x3; val_offset:1110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1110*FLEN/8, x4, x1, x2) + +inst_556: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x2; + valaddr_reg:x3; val_offset:1112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1112*FLEN/8, x4, x1, x2) + +inst_557: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x8000000000000002; + valaddr_reg:x3; val_offset:1114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1114*FLEN/8, x4, x1, x2) + +inst_558: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xfffffffffffff; + valaddr_reg:x3; val_offset:1116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1116*FLEN/8, x4, x1, x2) + +inst_559: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x800fffffffffffff; + valaddr_reg:x3; val_offset:1118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1118*FLEN/8, x4, x1, x2) + +inst_560: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x10000000000000; + valaddr_reg:x3; val_offset:1120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1120*FLEN/8, x4, x1, x2) + +inst_561: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x8010000000000000; + valaddr_reg:x3; val_offset:1122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1122*FLEN/8, x4, x1, x2) + +inst_562: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x10000000000002; + valaddr_reg:x3; val_offset:1124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1124*FLEN/8, x4, x1, x2) + +inst_563: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x0000000000002 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x8010000000000002; + valaddr_reg:x3; val_offset:1126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1126*FLEN/8, x4, x1, x2) + +inst_564: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x7fefffffffffffff; + valaddr_reg:x3; val_offset:1128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1128*FLEN/8, x4, x1, x2) + +inst_565: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xfffffffffffff and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xffefffffffffffff; + valaddr_reg:x3; val_offset:1130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1130*FLEN/8, x4, x1, x2) + +inst_566: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1132*FLEN/8, x4, x1, x2) + +inst_567: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1134*FLEN/8, x4, x1, x2) + +inst_568: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x7ff8000000000000; + valaddr_reg:x3; val_offset:1136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1136*FLEN/8, x4, x1, x2) + +inst_569: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xfff8000000000000; + valaddr_reg:x3; val_offset:1138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1138*FLEN/8, x4, x1, x2) + +inst_570: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x7ff8000000000001; + valaddr_reg:x3; val_offset:1140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1140*FLEN/8, x4, x1, x2) + +inst_571: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x8000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xfff8000000000001; + valaddr_reg:x3; val_offset:1142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1142*FLEN/8, x4, x1, x2) + +inst_572: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x7ff0000000000001; + valaddr_reg:x3; val_offset:1144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1144*FLEN/8, x4, x1, x2) + +inst_573: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xfff0000000000001; + valaddr_reg:x3; val_offset:1146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1146*FLEN/8, x4, x1, x2) + +inst_574: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0x3ff0000000000000; + valaddr_reg:x3; val_offset:1148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1148*FLEN/8, x4, x1, x2) + +inst_575: +// fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3f8 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbf80000000000000; op2val:0xbf80000000000000; + valaddr_reg:x3; val_offset:1150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1150*FLEN/8, x4, x1, x2) + +inst_576: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x8000000000000000; + valaddr_reg:x3; val_offset:1152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1152*FLEN/8, x4, x1, x2) + +inst_577: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000001 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x1; + valaddr_reg:x3; val_offset:1154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1154*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(1,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_5: + .fill 132*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fminm.d_b19-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fminm.d_b19-01.S new file mode 100644 index 000000000..cd4e1a4d1 --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fminm.d_b19-01.S @@ -0,0 +1,11109 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:38:19 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fminm.d.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fminm.d instruction of the RISC-V RV64FD_Zicsr_Zfa extension for the fminm.d_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fminm.d_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs2 == rd != rs1, rs1==f30, rs2==f31, rd==f31,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f31; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rs2 == rd, rs1==f29, rs2==f29, rd==f29,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f29; op2:f29; dest:f29; op1val:0x7feb0580f98a7dbd; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f29, f29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs1 == rs2 != rd, rs1==f28, rs2==f28, rd==f30,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x59e00c7a1fe31 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f28; op2:f28; dest:f30; op1val:0x7fb59e00c7a1fe31; op2val:0x7fb59e00c7a1fe31; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f30, f28, f28, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f31, rs2==f30, rd==f28,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x59e00c7a1fe31 and fcsr == 0 +/* opcode: fminm.d ; op1:f31; op2:f30; dest:f28; op1val:0x7ff0000000000000; op2val:0x7fb59e00c7a1fe31; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f28, f31, f30, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs1 == rd != rs2, rs1==f27, rs2==f26, rd==f27,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x59e00c7a1fe31 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f27; op2:f26; dest:f27; op1val:0x7fb59e00c7a1fe31; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f27, f27, f26, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rs2==f27, rd==f26,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x59e00c7a1fe31 and fcsr == 0 +/* opcode: fminm.d ; op1:f25; op2:f27; dest:f26; op1val:0x7feb0580f98a7dbd; op2val:0x7fb59e00c7a1fe31; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f26, f25, f27, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f26, rs2==f24, rd==f25,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f26; op2:f24; dest:f25; op1val:0x7feb0580f98a7dbd; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f25, f26, f24, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f23, rs2==f25, rd==f24,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x59e00c7a1fe31 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f23; op2:f25; dest:f24; op1val:0x7fb59e00c7a1fe31; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f24, f23, f25, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f24, rs2==f22, rd==f23,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f24; op2:f22; dest:f23; op1val:0x7feb0580f98a7dbd; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f23, f24, f22, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rs2==f23, rd==f22,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x59e00c7a1fe31 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f21; op2:f23; dest:f22; op1val:0x7fb59e00c7a1fe31; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f22, f21, f23, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f22, rs2==f20, rd==f21,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f22; op2:f20; dest:f21; op1val:0x7feb0580f98a7dbd; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f21, f22, f20, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rs2==f21, rd==f20,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f19; op2:f21; dest:f20; op1val:0x7fed1ca42e21585b; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f20, f19, f21, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f20, rs2==f18, rd==f19,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f20; op2:f18; dest:f19; op1val:0x7feb0580f98a7dbd; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f19, f20, f18, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f17, rs2==f19, rd==f18,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x59e00c7a1fe31 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f17; op2:f19; dest:f18; op1val:0x7fb59e00c7a1fe31; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f18, f17, f19, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f18, rs2==f16, rd==f17,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x59e00c7a1fe31 and fcsr == 0 +/* opcode: fminm.d ; op1:f18; op2:f16; dest:f17; op1val:0xfff0000000000000; op2val:0x7fb59e00c7a1fe31; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f17, f18, f16, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rs2==f17, rd==f16,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x59e00c7a1fe31 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f15; op2:f17; dest:f16; op1val:0x7fb59e00c7a1fe31; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f16, f15, f17, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f16, rs2==f14, rd==f15,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f16; op2:f14; dest:f15; op1val:0x7feb0580f98a7dbd; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f15, f16, f14, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rs2==f15, rd==f14,fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f13; op2:f15; dest:f14; op1val:0xffe30ac79053ba62; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f14, f13, f15, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f14, rs2==f12, rd==f13,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f14; op2:f12; dest:f13; op1val:0x7feb0580f98a7dbd; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f13, f14, f12, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f11, rs2==f13, rd==f12,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x59e00c7a1fe31 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f11; op2:f13; dest:f12; op1val:0x7fb59e00c7a1fe31; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f12, f11, f13, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f12, rs2==f10, rd==f11,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f12; op2:f10; dest:f11; op1val:0x7feb0580f98a7dbd; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f11, f12, f10, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rs2==f11, rd==f10,fs1 == 0 and fe1 == 0x7fb and fm1 == 0x59e00c7a1fe31 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f9; op2:f11; dest:f10; op1val:0x7fb59e00c7a1fe31; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f10, f9, f11, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f10, rs2==f8, rd==f9,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f10; op2:f8; dest:f9; op1val:0x7feb0580f98a7dbd; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f9, f10, f8, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rs2==f9, rd==f8,fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x14b33d2e7fe8d and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f7; op2:f9; dest:f8; op1val:0x7f814b33d2e7fe8d; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f8, f7, f9, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f8, rs2==f6, rd==f7,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x14b33d2e7fe8d and fcsr == 0 +/* opcode: fminm.d ; op1:f8; op2:f6; dest:f7; op1val:0xfff0000000000000; op2val:0x7f814b33d2e7fe8d; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f7, f8, f6, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f5, rs2==f7, rd==f6,fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x14b33d2e7fe8d and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f5; op2:f7; dest:f6; op1val:0x7f814b33d2e7fe8d; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f6, f5, f7, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f6, rs2==f4, rd==f5,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x14b33d2e7fe8d and fcsr == 0 +/* opcode: fminm.d ; op1:f6; op2:f4; dest:f5; op1val:0x7feb0580f98a7dbd; op2val:0x7f814b33d2e7fe8d; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f5, f6, f4, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rs2==f5, rd==f4,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f3; op2:f5; dest:f4; op1val:0x7feb0580f98a7dbd; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f4, f3, f5, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f4, rs2==f2, rd==f3,fs1 == 0 and fe1 == 0x000 and fm1 == 0x11770f6c9c8eb and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f4; op2:f2; dest:f3; op1val:0x11770f6c9c8eb; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f3, f4, f2, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rs2==f3, rd==f2,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x11770f6c9c8eb and fcsr == 0 +/* opcode: fminm.d ; op1:f1; op2:f3; dest:f2; op1val:0x7ff0000000000000; op2val:0x11770f6c9c8eb; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f2, f1, f3, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f2, rs2==f0, rd==f1,fs1 == 0 and fe1 == 0x000 and fm1 == 0x11770f6c9c8eb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f2; op2:f0; dest:f1; op1val:0x11770f6c9c8eb; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f1, f2, f0, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f0,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x000 and fm2 == 0x11770f6c9c8eb and fcsr == 0 +/* opcode: fminm.d ; op1:f0; op2:f30; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0x11770f6c9c8eb; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f0, f30, 0, 0, x3, 62*FLEN/8, x4, x1, x2) + +inst_32: +// rs2==f1,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f1; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:64*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f1, 0, 0, x3, 64*FLEN/8, x4, x1, x2) + +inst_33: +// rd==f0,fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xf0b5e103c0954 and fcsr == 0 +/* opcode: fminm.d ; op1:f31; op2:f30; dest:f0; op1val:0xaea69a3e1d929; op2val:0x7fef0b5e103c0954; + valaddr_reg:x3; val_offset:66*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f0, f31, f30, 0, 0, x3, 66*FLEN/8, x4, x1, x2) + +inst_34: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xf0b5e103c0954 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xaea69a3e1d929 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fef0b5e103c0954; op2val:0xaea69a3e1d929; + valaddr_reg:x3; val_offset:68*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 68*FLEN/8, x4, x1, x2) + +inst_35: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:70*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 70*FLEN/8, x4, x1, x2) + +inst_36: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x000 and fm2 == 0xaea69a3e1d929 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0xaea69a3e1d929; + valaddr_reg:x3; val_offset:72*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 72*FLEN/8, x4, x1, x2) + +inst_37: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:74*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 74*FLEN/8, x4, x1, x2) + +inst_38: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:76*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 76*FLEN/8, x4, x1, x2) + +inst_39: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xaea69a3e1d929 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xaea69a3e1d929; + valaddr_reg:x3; val_offset:78*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 78*FLEN/8, x4, x1, x2) + +inst_40: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:80*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 80*FLEN/8, x4, x1, x2) + +inst_41: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:82*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 82*FLEN/8, x4, x1, x2) + +inst_42: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x87dfc3c4343fa and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0x7fe87dfc3c4343fa; + valaddr_reg:x3; val_offset:84*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 84*FLEN/8, x4, x1, x2) + +inst_43: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x87dfc3c4343fa and fs2 == 0 and fe2 == 0x000 and fm2 == 0xaea69a3e1d929 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe87dfc3c4343fa; op2val:0xaea69a3e1d929; + valaddr_reg:x3; val_offset:86*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 86*FLEN/8, x4, x1, x2) + +inst_44: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:88*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 88*FLEN/8, x4, x1, x2) + +inst_45: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:90*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 90*FLEN/8, x4, x1, x2) + +inst_46: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:92*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 92*FLEN/8, x4, x1, x2) + +inst_47: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:94*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 94*FLEN/8, x4, x1, x2) + +inst_48: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xd5a40c379c682 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0xffed5a40c379c682; + valaddr_reg:x3; val_offset:96*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 96*FLEN/8, x4, x1, x2) + +inst_49: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd5a40c379c682 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xaea69a3e1d929 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed5a40c379c682; op2val:0xaea69a3e1d929; + valaddr_reg:x3; val_offset:98*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 98*FLEN/8, x4, x1, x2) + +inst_50: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 100*FLEN/8, x4, x1, x2) + +inst_51: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 102*FLEN/8, x4, x1, x2) + +inst_52: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xb9818fe1eccda and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0xffeb9818fe1eccda; + valaddr_reg:x3; val_offset:104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 104*FLEN/8, x4, x1, x2) + +inst_53: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb9818fe1eccda and fs2 == 0 and fe2 == 0x000 and fm2 == 0xaea69a3e1d929 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffeb9818fe1eccda; op2val:0xaea69a3e1d929; + valaddr_reg:x3; val_offset:106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 106*FLEN/8, x4, x1, x2) + +inst_54: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 108*FLEN/8, x4, x1, x2) + +inst_55: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 110*FLEN/8, x4, x1, x2) + +inst_56: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x39e41023e1389 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0xffe39e41023e1389; + valaddr_reg:x3; val_offset:112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 112*FLEN/8, x4, x1, x2) + +inst_57: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x39e41023e1389 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xaea69a3e1d929 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe39e41023e1389; op2val:0xaea69a3e1d929; + valaddr_reg:x3; val_offset:114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 114*FLEN/8, x4, x1, x2) + +inst_58: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 116*FLEN/8, x4, x1, x2) + +inst_59: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 118*FLEN/8, x4, x1, x2) + +inst_60: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xdaf87e09a11da and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0xffedaf87e09a11da; + valaddr_reg:x3; val_offset:120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 120*FLEN/8, x4, x1, x2) + +inst_61: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xdaf87e09a11da and fs2 == 0 and fe2 == 0x000 and fm2 == 0xaea69a3e1d929 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffedaf87e09a11da; op2val:0xaea69a3e1d929; + valaddr_reg:x3; val_offset:122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 122*FLEN/8, x4, x1, x2) + +inst_62: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xaea69a3e1d929 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xaea69a3e1d929; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 124*FLEN/8, x4, x1, x2) + +inst_63: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 126*FLEN/8, x4, x1, x2) + +inst_64: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x11770f6c9c8eb and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x11770f6c9c8eb; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 128*FLEN/8, x4, x1, x2) + +inst_65: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x11770f6c9c8eb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x11770f6c9c8eb; + valaddr_reg:x3; val_offset:130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 130*FLEN/8, x4, x1, x2) + +inst_66: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x11770f6c9c8eb and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x11770f6c9c8eb; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 132*FLEN/8, x4, x1, x2) + +inst_67: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0x0; + valaddr_reg:x3; val_offset:134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 134*FLEN/8, x4, x1, x2) + +inst_68: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x849c649169268 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff849c649169268; op2val:0x0; + valaddr_reg:x3; val_offset:136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 136*FLEN/8, x4, x1, x2) + +inst_69: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x849c649169268 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x3ff849c649169268; + valaddr_reg:x3; val_offset:138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 138*FLEN/8, x4, x1, x2) + +inst_70: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x849c649169268 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0x3ff849c649169268; + valaddr_reg:x3; val_offset:140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 140*FLEN/8, x4, x1, x2) + +inst_71: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 142*FLEN/8, x4, x1, x2) + +inst_72: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 144*FLEN/8, x4, x1, x2) + +inst_73: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 146*FLEN/8, x4, x1, x2) + +inst_74: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 148*FLEN/8, x4, x1, x2) + +inst_75: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 150*FLEN/8, x4, x1, x2) + +inst_76: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 152*FLEN/8, x4, x1, x2) + +inst_77: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 154*FLEN/8, x4, x1, x2) + +inst_78: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 156*FLEN/8, x4, x1, x2) + +inst_79: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 158*FLEN/8, x4, x1, x2) + +inst_80: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x74a1cf1b446af and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7fb74a1cf1b446af; + valaddr_reg:x3; val_offset:160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 160*FLEN/8, x4, x1, x2) + +inst_81: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x74a1cf1b446af and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb74a1cf1b446af; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 162*FLEN/8, x4, x1, x2) + +inst_82: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 164*FLEN/8, x4, x1, x2) + +inst_83: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 166*FLEN/8, x4, x1, x2) + +inst_84: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 168*FLEN/8, x4, x1, x2) + +inst_85: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 170*FLEN/8, x4, x1, x2) + +inst_86: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xe77a5b3b92a36 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xffae77a5b3b92a36; + valaddr_reg:x3; val_offset:172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 172*FLEN/8, x4, x1, x2) + +inst_87: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xe77a5b3b92a36 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffae77a5b3b92a36; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 174*FLEN/8, x4, x1, x2) + +inst_88: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 176*FLEN/8, x4, x1, x2) + +inst_89: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 178*FLEN/8, x4, x1, x2) + +inst_90: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 180*FLEN/8, x4, x1, x2) + +inst_91: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 182*FLEN/8, x4, x1, x2) + +inst_92: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 184*FLEN/8, x4, x1, x2) + +inst_93: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 186*FLEN/8, x4, x1, x2) + +inst_94: +// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xa2d6149828b3c and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x608daef2c2520 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7faa2d6149828b3c; op2val:0xffc608daef2c2520; + valaddr_reg:x3; val_offset:188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 188*FLEN/8, x4, x1, x2) + +inst_95: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 0 and fe2 == 0x7fa and fm2 == 0xa2d6149828b3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0x7faa2d6149828b3c; + valaddr_reg:x3; val_offset:190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 190*FLEN/8, x4, x1, x2) + +inst_96: +// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xa2d6149828b3c and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7faa2d6149828b3c; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 192*FLEN/8, x4, x1, x2) + +inst_97: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x7fa and fm2 == 0xa2d6149828b3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x7faa2d6149828b3c; + valaddr_reg:x3; val_offset:194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 194*FLEN/8, x4, x1, x2) + +inst_98: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 196*FLEN/8, x4, x1, x2) + +inst_99: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x69bf113fe2b81 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x0a8686b58e06e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x69bf113fe2b81; op2val:0x7fe0a8686b58e06e; + valaddr_reg:x3; val_offset:198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 198*FLEN/8, x4, x1, x2) + +inst_100: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x69bf113fe2b81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0x69bf113fe2b81; + valaddr_reg:x3; val_offset:200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 200*FLEN/8, x4, x1, x2) + +inst_101: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x69bf113fe2b81 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x69bf113fe2b81; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 202*FLEN/8, x4, x1, x2) + +inst_102: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x69bf113fe2b81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x69bf113fe2b81; + valaddr_reg:x3; val_offset:204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 204*FLEN/8, x4, x1, x2) + +inst_103: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 206*FLEN/8, x4, x1, x2) + +inst_104: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8d5e4d9c9a110 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0x7fb8d5e4d9c9a110; + valaddr_reg:x3; val_offset:208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 208*FLEN/8, x4, x1, x2) + +inst_105: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x085dab1fb6cc3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0x3085dab1fb6cc3; + valaddr_reg:x3; val_offset:210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 210*FLEN/8, x4, x1, x2) + +inst_106: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 212*FLEN/8, x4, x1, x2) + +inst_107: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x085dab1fb6cc3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x3085dab1fb6cc3; + valaddr_reg:x3; val_offset:214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 214*FLEN/8, x4, x1, x2) + +inst_108: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 216*FLEN/8, x4, x1, x2) + +inst_109: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xcc6497dd9aeac and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0x7fbcc6497dd9aeac; + valaddr_reg:x3; val_offset:218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 218*FLEN/8, x4, x1, x2) + +inst_110: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 0 and fe2 == 0x003 and fm2 == 0x085dab1fb6cc3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0x3085dab1fb6cc3; + valaddr_reg:x3; val_offset:220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 220*FLEN/8, x4, x1, x2) + +inst_111: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 222*FLEN/8, x4, x1, x2) + +inst_112: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 224*FLEN/8, x4, x1, x2) + +inst_113: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x397fcfd029cc8 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0x7fb397fcfd029cc8; + valaddr_reg:x3; val_offset:226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 226*FLEN/8, x4, x1, x2) + +inst_114: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x085dab1fb6cc3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0x3085dab1fb6cc3; + valaddr_reg:x3; val_offset:228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 228*FLEN/8, x4, x1, x2) + +inst_115: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 230*FLEN/8, x4, x1, x2) + +inst_116: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 232*FLEN/8, x4, x1, x2) + +inst_117: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x9ac314c1e5de7 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0x7fb9ac314c1e5de7; + valaddr_reg:x3; val_offset:234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 234*FLEN/8, x4, x1, x2) + +inst_118: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x085dab1fb6cc3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0x3085dab1fb6cc3; + valaddr_reg:x3; val_offset:236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 236*FLEN/8, x4, x1, x2) + +inst_119: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 238*FLEN/8, x4, x1, x2) + +inst_120: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 240*FLEN/8, x4, x1, x2) + +inst_121: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x77b6702c7d202 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0xffb77b6702c7d202; + valaddr_reg:x3; val_offset:242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 242*FLEN/8, x4, x1, x2) + +inst_122: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x085dab1fb6cc3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0x3085dab1fb6cc3; + valaddr_reg:x3; val_offset:244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 244*FLEN/8, x4, x1, x2) + +inst_123: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 246*FLEN/8, x4, x1, x2) + +inst_124: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 248*FLEN/8, x4, x1, x2) + +inst_125: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x6134731b23d7b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0xffb6134731b23d7b; + valaddr_reg:x3; val_offset:250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 250*FLEN/8, x4, x1, x2) + +inst_126: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 0 and fe2 == 0x003 and fm2 == 0x085dab1fb6cc3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0x3085dab1fb6cc3; + valaddr_reg:x3; val_offset:252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 252*FLEN/8, x4, x1, x2) + +inst_127: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 254*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_2) + +inst_128: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 256*FLEN/8, x4, x1, x2) + +inst_129: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xf639b36c9b8db and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0xffaf639b36c9b8db; + valaddr_reg:x3; val_offset:258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 258*FLEN/8, x4, x1, x2) + +inst_130: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 0 and fe2 == 0x003 and fm2 == 0x085dab1fb6cc3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0x3085dab1fb6cc3; + valaddr_reg:x3; val_offset:260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 260*FLEN/8, x4, x1, x2) + +inst_131: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 262*FLEN/8, x4, x1, x2) + +inst_132: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 264*FLEN/8, x4, x1, x2) + +inst_133: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x7bf9fe6e1a7e1 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0xffb7bf9fe6e1a7e1; + valaddr_reg:x3; val_offset:266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 266*FLEN/8, x4, x1, x2) + +inst_134: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x085dab1fb6cc3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0x3085dab1fb6cc3; + valaddr_reg:x3; val_offset:268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 268*FLEN/8, x4, x1, x2) + +inst_135: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x085dab1fb6cc3 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3085dab1fb6cc3; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 270*FLEN/8, x4, x1, x2) + +inst_136: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 272*FLEN/8, x4, x1, x2) + +inst_137: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x69bf113fe2b81 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xefff2c6cde040 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x69bf113fe2b81; op2val:0xffbefff2c6cde040; + valaddr_reg:x3; val_offset:274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 274*FLEN/8, x4, x1, x2) + +inst_138: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x69bf113fe2b81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0x69bf113fe2b81; + valaddr_reg:x3; val_offset:276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 276*FLEN/8, x4, x1, x2) + +inst_139: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x69bf113fe2b81 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x69bf113fe2b81; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 278*FLEN/8, x4, x1, x2) + +inst_140: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x0; + valaddr_reg:x3; val_offset:280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 280*FLEN/8, x4, x1, x2) + +inst_141: +// fs1 == 0 and fe1 == 0x402 and fm1 == 0x261de7cadff67 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x402261de7cadff67; op2val:0x0; + valaddr_reg:x3; val_offset:282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 282*FLEN/8, x4, x1, x2) + +inst_142: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x402 and fm2 == 0x261de7cadff67 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x402261de7cadff67; + valaddr_reg:x3; val_offset:284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 284*FLEN/8, x4, x1, x2) + +inst_143: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x05c5ccdf19706 and fs2 == 0 and fe2 == 0x402 and fm2 == 0x261de7cadff67 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe05c5ccdf19706; op2val:0x402261de7cadff67; + valaddr_reg:x3; val_offset:286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 286*FLEN/8, x4, x1, x2) + +inst_144: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 288*FLEN/8, x4, x1, x2) + +inst_145: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 290*FLEN/8, x4, x1, x2) + +inst_146: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 292*FLEN/8, x4, x1, x2) + +inst_147: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 294*FLEN/8, x4, x1, x2) + +inst_148: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 296*FLEN/8, x4, x1, x2) + +inst_149: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 298*FLEN/8, x4, x1, x2) + +inst_150: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 300*FLEN/8, x4, x1, x2) + +inst_151: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 302*FLEN/8, x4, x1, x2) + +inst_152: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 304*FLEN/8, x4, x1, x2) + +inst_153: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 306*FLEN/8, x4, x1, x2) + +inst_154: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 308*FLEN/8, x4, x1, x2) + +inst_155: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 310*FLEN/8, x4, x1, x2) + +inst_156: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 312*FLEN/8, x4, x1, x2) + +inst_157: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 314*FLEN/8, x4, x1, x2) + +inst_158: +// fs1 == 0 and fe1 == 0x7fa and fm1 == 0x866da024aa0c9 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x608daef2c2520 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fa866da024aa0c9; op2val:0xffc608daef2c2520; + valaddr_reg:x3; val_offset:316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 316*FLEN/8, x4, x1, x2) + +inst_159: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 0 and fe2 == 0x7fa and fm2 == 0x866da024aa0c9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0x7fa866da024aa0c9; + valaddr_reg:x3; val_offset:318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 318*FLEN/8, x4, x1, x2) + +inst_160: +// fs1 == 0 and fe1 == 0x7fa and fm1 == 0x866da024aa0c9 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fa866da024aa0c9; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 320*FLEN/8, x4, x1, x2) + +inst_161: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x7fa and fm2 == 0x866da024aa0c9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x7fa866da024aa0c9; + valaddr_reg:x3; val_offset:322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 322*FLEN/8, x4, x1, x2) + +inst_162: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 324*FLEN/8, x4, x1, x2) + +inst_163: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6292f14fe32c9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x0a8686b58e06e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6292f14fe32c9; op2val:0x7fe0a8686b58e06e; + valaddr_reg:x3; val_offset:326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 326*FLEN/8, x4, x1, x2) + +inst_164: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6292f14fe32c9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0x6292f14fe32c9; + valaddr_reg:x3; val_offset:328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 328*FLEN/8, x4, x1, x2) + +inst_165: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6292f14fe32c9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6292f14fe32c9; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 330*FLEN/8, x4, x1, x2) + +inst_166: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6292f14fe32c9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x6292f14fe32c9; + valaddr_reg:x3; val_offset:332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 332*FLEN/8, x4, x1, x2) + +inst_167: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 334*FLEN/8, x4, x1, x2) + +inst_168: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8d5e4d9c9a110 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0x7fb8d5e4d9c9a110; + valaddr_reg:x3; val_offset:336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 336*FLEN/8, x4, x1, x2) + +inst_169: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xecdeb68f6fdee and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0x2ecdeb68f6fdee; + valaddr_reg:x3; val_offset:338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 338*FLEN/8, x4, x1, x2) + +inst_170: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 340*FLEN/8, x4, x1, x2) + +inst_171: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x002 and fm2 == 0xecdeb68f6fdee and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x2ecdeb68f6fdee; + valaddr_reg:x3; val_offset:342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 342*FLEN/8, x4, x1, x2) + +inst_172: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 344*FLEN/8, x4, x1, x2) + +inst_173: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xcc6497dd9aeac and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0x7fbcc6497dd9aeac; + valaddr_reg:x3; val_offset:346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 346*FLEN/8, x4, x1, x2) + +inst_174: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 0 and fe2 == 0x002 and fm2 == 0xecdeb68f6fdee and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0x2ecdeb68f6fdee; + valaddr_reg:x3; val_offset:348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 348*FLEN/8, x4, x1, x2) + +inst_175: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 350*FLEN/8, x4, x1, x2) + +inst_176: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 352*FLEN/8, x4, x1, x2) + +inst_177: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x397fcfd029cc8 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0x7fb397fcfd029cc8; + valaddr_reg:x3; val_offset:354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 354*FLEN/8, x4, x1, x2) + +inst_178: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xecdeb68f6fdee and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0x2ecdeb68f6fdee; + valaddr_reg:x3; val_offset:356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 356*FLEN/8, x4, x1, x2) + +inst_179: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 358*FLEN/8, x4, x1, x2) + +inst_180: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 360*FLEN/8, x4, x1, x2) + +inst_181: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x9ac314c1e5de7 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0x7fb9ac314c1e5de7; + valaddr_reg:x3; val_offset:362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 362*FLEN/8, x4, x1, x2) + +inst_182: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xecdeb68f6fdee and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0x2ecdeb68f6fdee; + valaddr_reg:x3; val_offset:364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 364*FLEN/8, x4, x1, x2) + +inst_183: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 366*FLEN/8, x4, x1, x2) + +inst_184: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 368*FLEN/8, x4, x1, x2) + +inst_185: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x77b6702c7d202 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0xffb77b6702c7d202; + valaddr_reg:x3; val_offset:370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 370*FLEN/8, x4, x1, x2) + +inst_186: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xecdeb68f6fdee and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0x2ecdeb68f6fdee; + valaddr_reg:x3; val_offset:372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 372*FLEN/8, x4, x1, x2) + +inst_187: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 374*FLEN/8, x4, x1, x2) + +inst_188: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 376*FLEN/8, x4, x1, x2) + +inst_189: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x6134731b23d7b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0xffb6134731b23d7b; + valaddr_reg:x3; val_offset:378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 378*FLEN/8, x4, x1, x2) + +inst_190: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 0 and fe2 == 0x002 and fm2 == 0xecdeb68f6fdee and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0x2ecdeb68f6fdee; + valaddr_reg:x3; val_offset:380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 380*FLEN/8, x4, x1, x2) + +inst_191: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 382*FLEN/8, x4, x1, x2) + +inst_192: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 384*FLEN/8, x4, x1, x2) + +inst_193: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xf639b36c9b8db and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0xffaf639b36c9b8db; + valaddr_reg:x3; val_offset:386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 386*FLEN/8, x4, x1, x2) + +inst_194: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 0 and fe2 == 0x002 and fm2 == 0xecdeb68f6fdee and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0x2ecdeb68f6fdee; + valaddr_reg:x3; val_offset:388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 388*FLEN/8, x4, x1, x2) + +inst_195: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 390*FLEN/8, x4, x1, x2) + +inst_196: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 392*FLEN/8, x4, x1, x2) + +inst_197: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x7bf9fe6e1a7e1 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0xffb7bf9fe6e1a7e1; + valaddr_reg:x3; val_offset:394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 394*FLEN/8, x4, x1, x2) + +inst_198: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 0 and fe2 == 0x002 and fm2 == 0xecdeb68f6fdee and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0x2ecdeb68f6fdee; + valaddr_reg:x3; val_offset:396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 396*FLEN/8, x4, x1, x2) + +inst_199: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0xecdeb68f6fdee and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x2ecdeb68f6fdee; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 398*FLEN/8, x4, x1, x2) + +inst_200: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 400*FLEN/8, x4, x1, x2) + +inst_201: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6292f14fe32c9 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xefff2c6cde040 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6292f14fe32c9; op2val:0xffbefff2c6cde040; + valaddr_reg:x3; val_offset:402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 402*FLEN/8, x4, x1, x2) + +inst_202: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6292f14fe32c9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0x6292f14fe32c9; + valaddr_reg:x3; val_offset:404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 404*FLEN/8, x4, x1, x2) + +inst_203: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6292f14fe32c9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6292f14fe32c9; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 406*FLEN/8, x4, x1, x2) + +inst_204: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x0; + valaddr_reg:x3; val_offset:408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 408*FLEN/8, x4, x1, x2) + +inst_205: +// fs1 == 0 and fe1 == 0x402 and fm1 == 0x122b0391ed653 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x402122b0391ed653; op2val:0x0; + valaddr_reg:x3; val_offset:410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 410*FLEN/8, x4, x1, x2) + +inst_206: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x402 and fm2 == 0x122b0391ed653 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x402122b0391ed653; + valaddr_reg:x3; val_offset:412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 412*FLEN/8, x4, x1, x2) + +inst_207: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0xe809082dd48fb and fs2 == 0 and fe2 == 0x402 and fm2 == 0x122b0391ed653 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fde809082dd48fb; op2val:0x402122b0391ed653; + valaddr_reg:x3; val_offset:414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 414*FLEN/8, x4, x1, x2) + +inst_208: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 416*FLEN/8, x4, x1, x2) + +inst_209: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 418*FLEN/8, x4, x1, x2) + +inst_210: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 420*FLEN/8, x4, x1, x2) + +inst_211: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 422*FLEN/8, x4, x1, x2) + +inst_212: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 424*FLEN/8, x4, x1, x2) + +inst_213: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 426*FLEN/8, x4, x1, x2) + +inst_214: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 428*FLEN/8, x4, x1, x2) + +inst_215: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 430*FLEN/8, x4, x1, x2) + +inst_216: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 432*FLEN/8, x4, x1, x2) + +inst_217: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 434*FLEN/8, x4, x1, x2) + +inst_218: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 436*FLEN/8, x4, x1, x2) + +inst_219: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 438*FLEN/8, x4, x1, x2) + +inst_220: +// fs1 == 0 and fe1 == 0x7f9 and fm1 == 0xcdc35c1c9eb3f and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x608daef2c2520 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f9cdc35c1c9eb3f; op2val:0xffc608daef2c2520; + valaddr_reg:x3; val_offset:440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 440*FLEN/8, x4, x1, x2) + +inst_221: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 0 and fe2 == 0x7f9 and fm2 == 0xcdc35c1c9eb3f and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0x7f9cdc35c1c9eb3f; + valaddr_reg:x3; val_offset:442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 442*FLEN/8, x4, x1, x2) + +inst_222: +// fs1 == 0 and fe1 == 0x7f9 and fm1 == 0xcdc35c1c9eb3f and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f9cdc35c1c9eb3f; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 444*FLEN/8, x4, x1, x2) + +inst_223: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x7f9 and fm2 == 0xcdc35c1c9eb3f and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x7f9cdc35c1c9eb3f; + valaddr_reg:x3; val_offset:446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 446*FLEN/8, x4, x1, x2) + +inst_224: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 448*FLEN/8, x4, x1, x2) + +inst_225: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x3a4acd3b9460c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x0a8686b58e06e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3a4acd3b9460c; op2val:0x7fe0a8686b58e06e; + valaddr_reg:x3; val_offset:450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 450*FLEN/8, x4, x1, x2) + +inst_226: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x3a4acd3b9460c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0x3a4acd3b9460c; + valaddr_reg:x3; val_offset:452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 452*FLEN/8, x4, x1, x2) + +inst_227: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x3a4acd3b9460c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3a4acd3b9460c; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 454*FLEN/8, x4, x1, x2) + +inst_228: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x3a4acd3b9460c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x3a4acd3b9460c; + valaddr_reg:x3; val_offset:456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 456*FLEN/8, x4, x1, x2) + +inst_229: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 458*FLEN/8, x4, x1, x2) + +inst_230: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8d5e4d9c9a110 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0x7fb8d5e4d9c9a110; + valaddr_reg:x3; val_offset:460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 460*FLEN/8, x4, x1, x2) + +inst_231: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 0 and fe2 == 0x002 and fm2 == 0x23760229e5e3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0x223760229e5e3c; + valaddr_reg:x3; val_offset:462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 462*FLEN/8, x4, x1, x2) + +inst_232: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 464*FLEN/8, x4, x1, x2) + +inst_233: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x002 and fm2 == 0x23760229e5e3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x223760229e5e3c; + valaddr_reg:x3; val_offset:466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 466*FLEN/8, x4, x1, x2) + +inst_234: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 468*FLEN/8, x4, x1, x2) + +inst_235: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xcc6497dd9aeac and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0x7fbcc6497dd9aeac; + valaddr_reg:x3; val_offset:470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 470*FLEN/8, x4, x1, x2) + +inst_236: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 0 and fe2 == 0x002 and fm2 == 0x23760229e5e3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0x223760229e5e3c; + valaddr_reg:x3; val_offset:472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 472*FLEN/8, x4, x1, x2) + +inst_237: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 474*FLEN/8, x4, x1, x2) + +inst_238: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 476*FLEN/8, x4, x1, x2) + +inst_239: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x397fcfd029cc8 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0x7fb397fcfd029cc8; + valaddr_reg:x3; val_offset:478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 478*FLEN/8, x4, x1, x2) + +inst_240: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 0 and fe2 == 0x002 and fm2 == 0x23760229e5e3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0x223760229e5e3c; + valaddr_reg:x3; val_offset:480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 480*FLEN/8, x4, x1, x2) + +inst_241: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 482*FLEN/8, x4, x1, x2) + +inst_242: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 484*FLEN/8, x4, x1, x2) + +inst_243: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x9ac314c1e5de7 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0x7fb9ac314c1e5de7; + valaddr_reg:x3; val_offset:486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 486*FLEN/8, x4, x1, x2) + +inst_244: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 0 and fe2 == 0x002 and fm2 == 0x23760229e5e3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0x223760229e5e3c; + valaddr_reg:x3; val_offset:488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 488*FLEN/8, x4, x1, x2) + +inst_245: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 490*FLEN/8, x4, x1, x2) + +inst_246: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 492*FLEN/8, x4, x1, x2) + +inst_247: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x77b6702c7d202 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0xffb77b6702c7d202; + valaddr_reg:x3; val_offset:494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 494*FLEN/8, x4, x1, x2) + +inst_248: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 0 and fe2 == 0x002 and fm2 == 0x23760229e5e3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0x223760229e5e3c; + valaddr_reg:x3; val_offset:496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 496*FLEN/8, x4, x1, x2) + +inst_249: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 498*FLEN/8, x4, x1, x2) + +inst_250: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 500*FLEN/8, x4, x1, x2) + +inst_251: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x6134731b23d7b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0xffb6134731b23d7b; + valaddr_reg:x3; val_offset:502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 502*FLEN/8, x4, x1, x2) + +inst_252: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 0 and fe2 == 0x002 and fm2 == 0x23760229e5e3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0x223760229e5e3c; + valaddr_reg:x3; val_offset:504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 504*FLEN/8, x4, x1, x2) + +inst_253: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 506*FLEN/8, x4, x1, x2) + +inst_254: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 508*FLEN/8, x4, x1, x2) + +inst_255: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xf639b36c9b8db and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0xffaf639b36c9b8db; + valaddr_reg:x3; val_offset:510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 510*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_3) + +inst_256: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 0 and fe2 == 0x002 and fm2 == 0x23760229e5e3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0x223760229e5e3c; + valaddr_reg:x3; val_offset:512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 512*FLEN/8, x4, x1, x2) + +inst_257: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 514*FLEN/8, x4, x1, x2) + +inst_258: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 516*FLEN/8, x4, x1, x2) + +inst_259: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x7bf9fe6e1a7e1 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0xffb7bf9fe6e1a7e1; + valaddr_reg:x3; val_offset:518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 518*FLEN/8, x4, x1, x2) + +inst_260: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 0 and fe2 == 0x002 and fm2 == 0x23760229e5e3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0x223760229e5e3c; + valaddr_reg:x3; val_offset:520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 520*FLEN/8, x4, x1, x2) + +inst_261: +// fs1 == 0 and fe1 == 0x002 and fm1 == 0x23760229e5e3c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x223760229e5e3c; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 522*FLEN/8, x4, x1, x2) + +inst_262: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 524*FLEN/8, x4, x1, x2) + +inst_263: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x3a4acd3b9460c and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xefff2c6cde040 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3a4acd3b9460c; op2val:0xffbefff2c6cde040; + valaddr_reg:x3; val_offset:526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 526*FLEN/8, x4, x1, x2) + +inst_264: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x3a4acd3b9460c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0x3a4acd3b9460c; + valaddr_reg:x3; val_offset:528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 528*FLEN/8, x4, x1, x2) + +inst_265: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x3a4acd3b9460c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3a4acd3b9460c; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 530*FLEN/8, x4, x1, x2) + +inst_266: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x0; + valaddr_reg:x3; val_offset:532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 532*FLEN/8, x4, x1, x2) + +inst_267: +// fs1 == 0 and fe1 == 0x401 and fm1 == 0x4442d6ffe75f4 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x4014442d6ffe75f4; op2val:0x0; + valaddr_reg:x3; val_offset:534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 534*FLEN/8, x4, x1, x2) + +inst_268: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x401 and fm2 == 0x4442d6ffe75f4 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x4014442d6ffe75f4; + valaddr_reg:x3; val_offset:536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 536*FLEN/8, x4, x1, x2) + +inst_269: +// fs1 == 0 and fe1 == 0x7fd and fm1 == 0x209a1991e3307 and fs2 == 0 and fe2 == 0x401 and fm2 == 0x4442d6ffe75f4 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fd209a1991e3307; op2val:0x4014442d6ffe75f4; + valaddr_reg:x3; val_offset:538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 538*FLEN/8, x4, x1, x2) + +inst_270: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 540*FLEN/8, x4, x1, x2) + +inst_271: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 542*FLEN/8, x4, x1, x2) + +inst_272: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x74a1cf1b446af and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb74a1cf1b446af; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 544*FLEN/8, x4, x1, x2) + +inst_273: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x74a1cf1b446af and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x7fb74a1cf1b446af; + valaddr_reg:x3; val_offset:546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 546*FLEN/8, x4, x1, x2) + +inst_274: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 548*FLEN/8, x4, x1, x2) + +inst_275: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x74a1cf1b446af and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb74a1cf1b446af; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 550*FLEN/8, x4, x1, x2) + +inst_276: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 552*FLEN/8, x4, x1, x2) + +inst_277: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x74a1cf1b446af and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb74a1cf1b446af; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 554*FLEN/8, x4, x1, x2) + +inst_278: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 556*FLEN/8, x4, x1, x2) + +inst_279: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x74a1cf1b446af and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb74a1cf1b446af; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 558*FLEN/8, x4, x1, x2) + +inst_280: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x74a1cf1b446af and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7fb74a1cf1b446af; + valaddr_reg:x3; val_offset:560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 560*FLEN/8, x4, x1, x2) + +inst_281: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x74a1cf1b446af and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb74a1cf1b446af; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 562*FLEN/8, x4, x1, x2) + +inst_282: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 564*FLEN/8, x4, x1, x2) + +inst_283: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 566*FLEN/8, x4, x1, x2) + +inst_284: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 568*FLEN/8, x4, x1, x2) + +inst_285: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x74a1cf1b446af and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb74a1cf1b446af; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 570*FLEN/8, x4, x1, x2) + +inst_286: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 572*FLEN/8, x4, x1, x2) + +inst_287: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x74a1cf1b446af and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb74a1cf1b446af; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 574*FLEN/8, x4, x1, x2) + +inst_288: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 576*FLEN/8, x4, x1, x2) + +inst_289: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x2a1b0c15d0559 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f82a1b0c15d0559; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 578*FLEN/8, x4, x1, x2) + +inst_290: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x2a1b0c15d0559 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7f82a1b0c15d0559; + valaddr_reg:x3; val_offset:580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 580*FLEN/8, x4, x1, x2) + +inst_291: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x2a1b0c15d0559 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f82a1b0c15d0559; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 582*FLEN/8, x4, x1, x2) + +inst_292: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x2a1b0c15d0559 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x7f82a1b0c15d0559; + valaddr_reg:x3; val_offset:584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 584*FLEN/8, x4, x1, x2) + +inst_293: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 586*FLEN/8, x4, x1, x2) + +inst_294: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x12d0f0ec06819 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x12d0f0ec06819; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 588*FLEN/8, x4, x1, x2) + +inst_295: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x12d0f0ec06819 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x12d0f0ec06819; + valaddr_reg:x3; val_offset:590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 590*FLEN/8, x4, x1, x2) + +inst_296: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x12d0f0ec06819 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x12d0f0ec06819; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 592*FLEN/8, x4, x1, x2) + +inst_297: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x12d0f0ec06819 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x12d0f0ec06819; + valaddr_reg:x3; val_offset:594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 594*FLEN/8, x4, x1, x2) + +inst_298: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 596*FLEN/8, x4, x1, x2) + +inst_299: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xf0b5e103c0954 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0x7fef0b5e103c0954; + valaddr_reg:x3; val_offset:598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 598*FLEN/8, x4, x1, x2) + +inst_300: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xf0b5e103c0954 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xbc296938410f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fef0b5e103c0954; op2val:0xbc296938410f6; + valaddr_reg:x3; val_offset:600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 600*FLEN/8, x4, x1, x2) + +inst_301: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 602*FLEN/8, x4, x1, x2) + +inst_302: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xbc296938410f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0xbc296938410f6; + valaddr_reg:x3; val_offset:604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 604*FLEN/8, x4, x1, x2) + +inst_303: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 606*FLEN/8, x4, x1, x2) + +inst_304: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 608*FLEN/8, x4, x1, x2) + +inst_305: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xbc296938410f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0xbc296938410f6; + valaddr_reg:x3; val_offset:610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 610*FLEN/8, x4, x1, x2) + +inst_306: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 612*FLEN/8, x4, x1, x2) + +inst_307: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 614*FLEN/8, x4, x1, x2) + +inst_308: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x87dfc3c4343fa and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0x7fe87dfc3c4343fa; + valaddr_reg:x3; val_offset:616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 616*FLEN/8, x4, x1, x2) + +inst_309: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x87dfc3c4343fa and fs2 == 0 and fe2 == 0x000 and fm2 == 0xbc296938410f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe87dfc3c4343fa; op2val:0xbc296938410f6; + valaddr_reg:x3; val_offset:618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 618*FLEN/8, x4, x1, x2) + +inst_310: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 620*FLEN/8, x4, x1, x2) + +inst_311: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 622*FLEN/8, x4, x1, x2) + +inst_312: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 624*FLEN/8, x4, x1, x2) + +inst_313: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 626*FLEN/8, x4, x1, x2) + +inst_314: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xd5a40c379c682 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0xffed5a40c379c682; + valaddr_reg:x3; val_offset:628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 628*FLEN/8, x4, x1, x2) + +inst_315: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd5a40c379c682 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xbc296938410f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed5a40c379c682; op2val:0xbc296938410f6; + valaddr_reg:x3; val_offset:630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 630*FLEN/8, x4, x1, x2) + +inst_316: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 632*FLEN/8, x4, x1, x2) + +inst_317: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 634*FLEN/8, x4, x1, x2) + +inst_318: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xb9818fe1eccda and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0xffeb9818fe1eccda; + valaddr_reg:x3; val_offset:636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 636*FLEN/8, x4, x1, x2) + +inst_319: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb9818fe1eccda and fs2 == 0 and fe2 == 0x000 and fm2 == 0xbc296938410f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffeb9818fe1eccda; op2val:0xbc296938410f6; + valaddr_reg:x3; val_offset:638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 638*FLEN/8, x4, x1, x2) + +inst_320: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 640*FLEN/8, x4, x1, x2) + +inst_321: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 642*FLEN/8, x4, x1, x2) + +inst_322: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x39e41023e1389 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0xffe39e41023e1389; + valaddr_reg:x3; val_offset:644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 644*FLEN/8, x4, x1, x2) + +inst_323: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x39e41023e1389 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xbc296938410f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe39e41023e1389; op2val:0xbc296938410f6; + valaddr_reg:x3; val_offset:646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 646*FLEN/8, x4, x1, x2) + +inst_324: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 648*FLEN/8, x4, x1, x2) + +inst_325: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 650*FLEN/8, x4, x1, x2) + +inst_326: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xdaf87e09a11da and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0xffedaf87e09a11da; + valaddr_reg:x3; val_offset:652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 652*FLEN/8, x4, x1, x2) + +inst_327: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xdaf87e09a11da and fs2 == 0 and fe2 == 0x000 and fm2 == 0xbc296938410f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffedaf87e09a11da; op2val:0xbc296938410f6; + valaddr_reg:x3; val_offset:654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 654*FLEN/8, x4, x1, x2) + +inst_328: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xbc296938410f6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbc296938410f6; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 656*FLEN/8, x4, x1, x2) + +inst_329: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 658*FLEN/8, x4, x1, x2) + +inst_330: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x12d0f0ec06819 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x12d0f0ec06819; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 660*FLEN/8, x4, x1, x2) + +inst_331: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x12d0f0ec06819 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x12d0f0ec06819; + valaddr_reg:x3; val_offset:662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 662*FLEN/8, x4, x1, x2) + +inst_332: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x12d0f0ec06819 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x12d0f0ec06819; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 664*FLEN/8, x4, x1, x2) + +inst_333: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x0; + valaddr_reg:x3; val_offset:666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 666*FLEN/8, x4, x1, x2) + +inst_334: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0xa2ac7f4a5aece and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ffa2ac7f4a5aece; op2val:0x0; + valaddr_reg:x3; val_offset:668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 668*FLEN/8, x4, x1, x2) + +inst_335: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xa2ac7f4a5aece and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x3ffa2ac7f4a5aece; + valaddr_reg:x3; val_offset:670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 670*FLEN/8, x4, x1, x2) + +inst_336: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xd1ca42e21585b and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xa2ac7f4a5aece and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fed1ca42e21585b; op2val:0x3ffa2ac7f4a5aece; + valaddr_reg:x3; val_offset:672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 672*FLEN/8, x4, x1, x2) + +inst_337: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 674*FLEN/8, x4, x1, x2) + +inst_338: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 676*FLEN/8, x4, x1, x2) + +inst_339: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 678*FLEN/8, x4, x1, x2) + +inst_340: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 680*FLEN/8, x4, x1, x2) + +inst_341: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 682*FLEN/8, x4, x1, x2) + +inst_342: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 684*FLEN/8, x4, x1, x2) + +inst_343: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 686*FLEN/8, x4, x1, x2) + +inst_344: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xe77a5b3b92a36 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xffae77a5b3b92a36; + valaddr_reg:x3; val_offset:688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 688*FLEN/8, x4, x1, x2) + +inst_345: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xe77a5b3b92a36 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffae77a5b3b92a36; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 690*FLEN/8, x4, x1, x2) + +inst_346: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 692*FLEN/8, x4, x1, x2) + +inst_347: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 694*FLEN/8, x4, x1, x2) + +inst_348: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 696*FLEN/8, x4, x1, x2) + +inst_349: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 698*FLEN/8, x4, x1, x2) + +inst_350: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 700*FLEN/8, x4, x1, x2) + +inst_351: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 702*FLEN/8, x4, x1, x2) + +inst_352: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x8c9148167a613 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x608daef2c2520 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff98c9148167a613; op2val:0xffc608daef2c2520; + valaddr_reg:x3; val_offset:704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 704*FLEN/8, x4, x1, x2) + +inst_353: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x8c9148167a613 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0xff98c9148167a613; + valaddr_reg:x3; val_offset:706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 706*FLEN/8, x4, x1, x2) + +inst_354: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x8c9148167a613 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff98c9148167a613; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 708*FLEN/8, x4, x1, x2) + +inst_355: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x8c9148167a613 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0xff98c9148167a613; + valaddr_reg:x3; val_offset:710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 710*FLEN/8, x4, x1, x2) + +inst_356: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 712*FLEN/8, x4, x1, x2) + +inst_357: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x320fdfdfa4c3c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x0a8686b58e06e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800320fdfdfa4c3c; op2val:0x7fe0a8686b58e06e; + valaddr_reg:x3; val_offset:714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 714*FLEN/8, x4, x1, x2) + +inst_358: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x320fdfdfa4c3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0x800320fdfdfa4c3c; + valaddr_reg:x3; val_offset:716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 716*FLEN/8, x4, x1, x2) + +inst_359: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x320fdfdfa4c3c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800320fdfdfa4c3c; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 718*FLEN/8, x4, x1, x2) + +inst_360: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x320fdfdfa4c3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x800320fdfdfa4c3c; + valaddr_reg:x3; val_offset:720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 720*FLEN/8, x4, x1, x2) + +inst_361: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 722*FLEN/8, x4, x1, x2) + +inst_362: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8d5e4d9c9a110 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0x7fb8d5e4d9c9a110; + valaddr_reg:x3; val_offset:724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 724*FLEN/8, x4, x1, x2) + +inst_363: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf49ebebc6fa5a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0x801f49ebebc6fa5a; + valaddr_reg:x3; val_offset:726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 726*FLEN/8, x4, x1, x2) + +inst_364: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 728*FLEN/8, x4, x1, x2) + +inst_365: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf49ebebc6fa5a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x801f49ebebc6fa5a; + valaddr_reg:x3; val_offset:730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 730*FLEN/8, x4, x1, x2) + +inst_366: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 732*FLEN/8, x4, x1, x2) + +inst_367: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xcc6497dd9aeac and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0x7fbcc6497dd9aeac; + valaddr_reg:x3; val_offset:734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 734*FLEN/8, x4, x1, x2) + +inst_368: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf49ebebc6fa5a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0x801f49ebebc6fa5a; + valaddr_reg:x3; val_offset:736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 736*FLEN/8, x4, x1, x2) + +inst_369: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 738*FLEN/8, x4, x1, x2) + +inst_370: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 740*FLEN/8, x4, x1, x2) + +inst_371: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x397fcfd029cc8 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0x7fb397fcfd029cc8; + valaddr_reg:x3; val_offset:742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 742*FLEN/8, x4, x1, x2) + +inst_372: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf49ebebc6fa5a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0x801f49ebebc6fa5a; + valaddr_reg:x3; val_offset:744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 744*FLEN/8, x4, x1, x2) + +inst_373: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 746*FLEN/8, x4, x1, x2) + +inst_374: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 748*FLEN/8, x4, x1, x2) + +inst_375: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x9ac314c1e5de7 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0x7fb9ac314c1e5de7; + valaddr_reg:x3; val_offset:750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 750*FLEN/8, x4, x1, x2) + +inst_376: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf49ebebc6fa5a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0x801f49ebebc6fa5a; + valaddr_reg:x3; val_offset:752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 752*FLEN/8, x4, x1, x2) + +inst_377: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 754*FLEN/8, x4, x1, x2) + +inst_378: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 756*FLEN/8, x4, x1, x2) + +inst_379: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x77b6702c7d202 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0xffb77b6702c7d202; + valaddr_reg:x3; val_offset:758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 758*FLEN/8, x4, x1, x2) + +inst_380: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf49ebebc6fa5a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0x801f49ebebc6fa5a; + valaddr_reg:x3; val_offset:760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 760*FLEN/8, x4, x1, x2) + +inst_381: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 762*FLEN/8, x4, x1, x2) + +inst_382: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 764*FLEN/8, x4, x1, x2) + +inst_383: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x6134731b23d7b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0xffb6134731b23d7b; + valaddr_reg:x3; val_offset:766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 766*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_4) + +inst_384: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf49ebebc6fa5a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0x801f49ebebc6fa5a; + valaddr_reg:x3; val_offset:768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 768*FLEN/8, x4, x1, x2) + +inst_385: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 770*FLEN/8, x4, x1, x2) + +inst_386: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 772*FLEN/8, x4, x1, x2) + +inst_387: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xf639b36c9b8db and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0xffaf639b36c9b8db; + valaddr_reg:x3; val_offset:774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 774*FLEN/8, x4, x1, x2) + +inst_388: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf49ebebc6fa5a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0x801f49ebebc6fa5a; + valaddr_reg:x3; val_offset:776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 776*FLEN/8, x4, x1, x2) + +inst_389: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 778*FLEN/8, x4, x1, x2) + +inst_390: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 780*FLEN/8, x4, x1, x2) + +inst_391: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x7bf9fe6e1a7e1 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0xffb7bf9fe6e1a7e1; + valaddr_reg:x3; val_offset:782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 782*FLEN/8, x4, x1, x2) + +inst_392: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf49ebebc6fa5a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0x801f49ebebc6fa5a; + valaddr_reg:x3; val_offset:784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 784*FLEN/8, x4, x1, x2) + +inst_393: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf49ebebc6fa5a and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f49ebebc6fa5a; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 786*FLEN/8, x4, x1, x2) + +inst_394: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 788*FLEN/8, x4, x1, x2) + +inst_395: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x320fdfdfa4c3c and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xefff2c6cde040 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800320fdfdfa4c3c; op2val:0xffbefff2c6cde040; + valaddr_reg:x3; val_offset:790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 790*FLEN/8, x4, x1, x2) + +inst_396: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x320fdfdfa4c3c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0x800320fdfdfa4c3c; + valaddr_reg:x3; val_offset:792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 792*FLEN/8, x4, x1, x2) + +inst_397: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x320fdfdfa4c3c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800320fdfdfa4c3c; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 794*FLEN/8, x4, x1, x2) + +inst_398: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0x0; + valaddr_reg:x3; val_offset:796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 796*FLEN/8, x4, x1, x2) + +inst_399: +// fs1 == 1 and fe1 == 0x401 and fm1 == 0x167aab18a177e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc01167aab18a177e; op2val:0x0; + valaddr_reg:x3; val_offset:798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 798*FLEN/8, x4, x1, x2) + +inst_400: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x401 and fm2 == 0x167aab18a177e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc01167aab18a177e; + valaddr_reg:x3; val_offset:800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 800*FLEN/8, x4, x1, x2) + +inst_401: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xefb59a1c18f98 and fs2 == 1 and fe2 == 0x401 and fm2 == 0x167aab18a177e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcefb59a1c18f98; op2val:0xc01167aab18a177e; + valaddr_reg:x3; val_offset:802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 802*FLEN/8, x4, x1, x2) + +inst_402: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 804*FLEN/8, x4, x1, x2) + +inst_403: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 806*FLEN/8, x4, x1, x2) + +inst_404: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xe77a5b3b92a36 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffae77a5b3b92a36; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 808*FLEN/8, x4, x1, x2) + +inst_405: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xe77a5b3b92a36 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0xffae77a5b3b92a36; + valaddr_reg:x3; val_offset:810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 810*FLEN/8, x4, x1, x2) + +inst_406: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 812*FLEN/8, x4, x1, x2) + +inst_407: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xe77a5b3b92a36 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffae77a5b3b92a36; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 814*FLEN/8, x4, x1, x2) + +inst_408: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 816*FLEN/8, x4, x1, x2) + +inst_409: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xe77a5b3b92a36 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffae77a5b3b92a36; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 818*FLEN/8, x4, x1, x2) + +inst_410: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 820*FLEN/8, x4, x1, x2) + +inst_411: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xe77a5b3b92a36 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffae77a5b3b92a36; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 822*FLEN/8, x4, x1, x2) + +inst_412: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 824*FLEN/8, x4, x1, x2) + +inst_413: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xe77a5b3b92a36 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffae77a5b3b92a36; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 826*FLEN/8, x4, x1, x2) + +inst_414: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 828*FLEN/8, x4, x1, x2) + +inst_415: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xe77a5b3b92a36 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffae77a5b3b92a36; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 830*FLEN/8, x4, x1, x2) + +inst_416: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 832*FLEN/8, x4, x1, x2) + +inst_417: +// fs1 == 1 and fe1 == 0x7f7 and fm1 == 0x85fb7c2fa882b and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff785fb7c2fa882b; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 834*FLEN/8, x4, x1, x2) + +inst_418: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7f7 and fm2 == 0x85fb7c2fa882b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0xff785fb7c2fa882b; + valaddr_reg:x3; val_offset:836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 836*FLEN/8, x4, x1, x2) + +inst_419: +// fs1 == 1 and fe1 == 0x7f7 and fm1 == 0x85fb7c2fa882b and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff785fb7c2fa882b; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 838*FLEN/8, x4, x1, x2) + +inst_420: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x7f7 and fm2 == 0x85fb7c2fa882b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0xff785fb7c2fa882b; + valaddr_reg:x3; val_offset:840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 840*FLEN/8, x4, x1, x2) + +inst_421: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 842*FLEN/8, x4, x1, x2) + +inst_422: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0c4ec3fe9a819 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000c4ec3fe9a819; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 844*FLEN/8, x4, x1, x2) + +inst_423: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0c4ec3fe9a819 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8000c4ec3fe9a819; + valaddr_reg:x3; val_offset:846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 846*FLEN/8, x4, x1, x2) + +inst_424: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0c4ec3fe9a819 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000c4ec3fe9a819; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 848*FLEN/8, x4, x1, x2) + +inst_425: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0c4ec3fe9a819 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x8000c4ec3fe9a819; + valaddr_reg:x3; val_offset:850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 850*FLEN/8, x4, x1, x2) + +inst_426: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 852*FLEN/8, x4, x1, x2) + +inst_427: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xf0b5e103c0954 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0x7fef0b5e103c0954; + valaddr_reg:x3; val_offset:854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 854*FLEN/8, x4, x1, x2) + +inst_428: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xf0b5e103c0954 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7b13a7f2090fc and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fef0b5e103c0954; op2val:0x8007b13a7f2090fc; + valaddr_reg:x3; val_offset:856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 856*FLEN/8, x4, x1, x2) + +inst_429: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 858*FLEN/8, x4, x1, x2) + +inst_430: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7b13a7f2090fc and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x8007b13a7f2090fc; + valaddr_reg:x3; val_offset:860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 860*FLEN/8, x4, x1, x2) + +inst_431: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 862*FLEN/8, x4, x1, x2) + +inst_432: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 864*FLEN/8, x4, x1, x2) + +inst_433: +// fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7b13a7f2090fc and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7ff0000000000000; op2val:0x8007b13a7f2090fc; + valaddr_reg:x3; val_offset:866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 866*FLEN/8, x4, x1, x2) + +inst_434: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 868*FLEN/8, x4, x1, x2) + +inst_435: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 870*FLEN/8, x4, x1, x2) + +inst_436: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x87dfc3c4343fa and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0x7fe87dfc3c4343fa; + valaddr_reg:x3; val_offset:872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 872*FLEN/8, x4, x1, x2) + +inst_437: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x87dfc3c4343fa and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7b13a7f2090fc and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe87dfc3c4343fa; op2val:0x8007b13a7f2090fc; + valaddr_reg:x3; val_offset:874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 874*FLEN/8, x4, x1, x2) + +inst_438: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 876*FLEN/8, x4, x1, x2) + +inst_439: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 878*FLEN/8, x4, x1, x2) + +inst_440: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 880*FLEN/8, x4, x1, x2) + +inst_441: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 882*FLEN/8, x4, x1, x2) + +inst_442: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xd5a40c379c682 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0xffed5a40c379c682; + valaddr_reg:x3; val_offset:884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 884*FLEN/8, x4, x1, x2) + +inst_443: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd5a40c379c682 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7b13a7f2090fc and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed5a40c379c682; op2val:0x8007b13a7f2090fc; + valaddr_reg:x3; val_offset:886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 886*FLEN/8, x4, x1, x2) + +inst_444: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 888*FLEN/8, x4, x1, x2) + +inst_445: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 890*FLEN/8, x4, x1, x2) + +inst_446: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xb9818fe1eccda and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0xffeb9818fe1eccda; + valaddr_reg:x3; val_offset:892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 892*FLEN/8, x4, x1, x2) + +inst_447: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb9818fe1eccda and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7b13a7f2090fc and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffeb9818fe1eccda; op2val:0x8007b13a7f2090fc; + valaddr_reg:x3; val_offset:894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 894*FLEN/8, x4, x1, x2) + +inst_448: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 896*FLEN/8, x4, x1, x2) + +inst_449: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 898*FLEN/8, x4, x1, x2) + +inst_450: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x39e41023e1389 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0xffe39e41023e1389; + valaddr_reg:x3; val_offset:900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 900*FLEN/8, x4, x1, x2) + +inst_451: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x39e41023e1389 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7b13a7f2090fc and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe39e41023e1389; op2val:0x8007b13a7f2090fc; + valaddr_reg:x3; val_offset:902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 902*FLEN/8, x4, x1, x2) + +inst_452: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 904*FLEN/8, x4, x1, x2) + +inst_453: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 906*FLEN/8, x4, x1, x2) + +inst_454: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xdaf87e09a11da and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0xffedaf87e09a11da; + valaddr_reg:x3; val_offset:908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 908*FLEN/8, x4, x1, x2) + +inst_455: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xdaf87e09a11da and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7b13a7f2090fc and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffedaf87e09a11da; op2val:0x8007b13a7f2090fc; + valaddr_reg:x3; val_offset:910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 910*FLEN/8, x4, x1, x2) + +inst_456: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7b13a7f2090fc and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007b13a7f2090fc; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 912*FLEN/8, x4, x1, x2) + +inst_457: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 914*FLEN/8, x4, x1, x2) + +inst_458: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0c4ec3fe9a819 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000c4ec3fe9a819; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:916*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 916*FLEN/8, x4, x1, x2) + +inst_459: +// fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0c4ec3fe9a819 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfff0000000000000; op2val:0x8000c4ec3fe9a819; + valaddr_reg:x3; val_offset:918*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 918*FLEN/8, x4, x1, x2) + +inst_460: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0c4ec3fe9a819 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000c4ec3fe9a819; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:920*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 920*FLEN/8, x4, x1, x2) + +inst_461: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0x0; + valaddr_reg:x3; val_offset:922*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 922*FLEN/8, x4, x1, x2) + +inst_462: +// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x11dadc9e4eb85 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbff11dadc9e4eb85; op2val:0x0; + valaddr_reg:x3; val_offset:924*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 924*FLEN/8, x4, x1, x2) + +inst_463: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x11dadc9e4eb85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xbff11dadc9e4eb85; + valaddr_reg:x3; val_offset:926*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 926*FLEN/8, x4, x1, x2) + +inst_464: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x30ac79053ba62 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x11dadc9e4eb85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe30ac79053ba62; op2val:0xbff11dadc9e4eb85; + valaddr_reg:x3; val_offset:928*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 928*FLEN/8, x4, x1, x2) + +inst_465: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:930*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 930*FLEN/8, x4, x1, x2) + +inst_466: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:932*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 932*FLEN/8, x4, x1, x2) + +inst_467: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:934*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 934*FLEN/8, x4, x1, x2) + +inst_468: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:936*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 936*FLEN/8, x4, x1, x2) + +inst_469: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:938*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 938*FLEN/8, x4, x1, x2) + +inst_470: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:940*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 940*FLEN/8, x4, x1, x2) + +inst_471: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:942*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 942*FLEN/8, x4, x1, x2) + +inst_472: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:944*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 944*FLEN/8, x4, x1, x2) + +inst_473: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0x554b1e717e738 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x608daef2c2520 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffa554b1e717e738; op2val:0xffc608daef2c2520; + valaddr_reg:x3; val_offset:946*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 946*FLEN/8, x4, x1, x2) + +inst_474: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0x554b1e717e738 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0xffa554b1e717e738; + valaddr_reg:x3; val_offset:948*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 948*FLEN/8, x4, x1, x2) + +inst_475: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0x554b1e717e738 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffa554b1e717e738; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:950*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 950*FLEN/8, x4, x1, x2) + +inst_476: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0x554b1e717e738 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0xffa554b1e717e738; + valaddr_reg:x3; val_offset:952*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 952*FLEN/8, x4, x1, x2) + +inst_477: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:954*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 954*FLEN/8, x4, x1, x2) + +inst_478: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x562b29f60d7ba and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x0a8686b58e06e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800562b29f60d7ba; op2val:0x7fe0a8686b58e06e; + valaddr_reg:x3; val_offset:956*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 956*FLEN/8, x4, x1, x2) + +inst_479: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x562b29f60d7ba and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0x800562b29f60d7ba; + valaddr_reg:x3; val_offset:958*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 958*FLEN/8, x4, x1, x2) + +inst_480: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x562b29f60d7ba and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800562b29f60d7ba; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:960*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 960*FLEN/8, x4, x1, x2) + +inst_481: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x562b29f60d7ba and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x800562b29f60d7ba; + valaddr_reg:x3; val_offset:962*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 962*FLEN/8, x4, x1, x2) + +inst_482: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:964*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 964*FLEN/8, x4, x1, x2) + +inst_483: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8d5e4d9c9a110 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0x7fb8d5e4d9c9a110; + valaddr_reg:x3; val_offset:966*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 966*FLEN/8, x4, x1, x2) + +inst_484: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xaed7d1ce436a0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0x802aed7d1ce436a0; + valaddr_reg:x3; val_offset:968*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 968*FLEN/8, x4, x1, x2) + +inst_485: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:970*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 970*FLEN/8, x4, x1, x2) + +inst_486: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xaed7d1ce436a0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x802aed7d1ce436a0; + valaddr_reg:x3; val_offset:972*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 972*FLEN/8, x4, x1, x2) + +inst_487: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:974*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 974*FLEN/8, x4, x1, x2) + +inst_488: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xcc6497dd9aeac and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0x7fbcc6497dd9aeac; + valaddr_reg:x3; val_offset:976*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 976*FLEN/8, x4, x1, x2) + +inst_489: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 1 and fe2 == 0x002 and fm2 == 0xaed7d1ce436a0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0x802aed7d1ce436a0; + valaddr_reg:x3; val_offset:978*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 978*FLEN/8, x4, x1, x2) + +inst_490: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:980*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 980*FLEN/8, x4, x1, x2) + +inst_491: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:982*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 982*FLEN/8, x4, x1, x2) + +inst_492: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x397fcfd029cc8 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0x7fb397fcfd029cc8; + valaddr_reg:x3; val_offset:984*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 984*FLEN/8, x4, x1, x2) + +inst_493: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xaed7d1ce436a0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0x802aed7d1ce436a0; + valaddr_reg:x3; val_offset:986*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 986*FLEN/8, x4, x1, x2) + +inst_494: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:988*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 988*FLEN/8, x4, x1, x2) + +inst_495: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:990*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 990*FLEN/8, x4, x1, x2) + +inst_496: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x9ac314c1e5de7 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0x7fb9ac314c1e5de7; + valaddr_reg:x3; val_offset:992*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 992*FLEN/8, x4, x1, x2) + +inst_497: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xaed7d1ce436a0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0x802aed7d1ce436a0; + valaddr_reg:x3; val_offset:994*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 994*FLEN/8, x4, x1, x2) + +inst_498: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:996*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 996*FLEN/8, x4, x1, x2) + +inst_499: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:998*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 998*FLEN/8, x4, x1, x2) + +inst_500: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x77b6702c7d202 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0xffb77b6702c7d202; + valaddr_reg:x3; val_offset:1000*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1000*FLEN/8, x4, x1, x2) + +inst_501: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xaed7d1ce436a0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0x802aed7d1ce436a0; + valaddr_reg:x3; val_offset:1002*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1002*FLEN/8, x4, x1, x2) + +inst_502: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1004*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1004*FLEN/8, x4, x1, x2) + +inst_503: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1006*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1006*FLEN/8, x4, x1, x2) + +inst_504: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x6134731b23d7b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0xffb6134731b23d7b; + valaddr_reg:x3; val_offset:1008*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1008*FLEN/8, x4, x1, x2) + +inst_505: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 1 and fe2 == 0x002 and fm2 == 0xaed7d1ce436a0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0x802aed7d1ce436a0; + valaddr_reg:x3; val_offset:1010*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1010*FLEN/8, x4, x1, x2) + +inst_506: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1012*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1012*FLEN/8, x4, x1, x2) + +inst_507: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1014*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1014*FLEN/8, x4, x1, x2) + +inst_508: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xf639b36c9b8db and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0xffaf639b36c9b8db; + valaddr_reg:x3; val_offset:1016*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1016*FLEN/8, x4, x1, x2) + +inst_509: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 1 and fe2 == 0x002 and fm2 == 0xaed7d1ce436a0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0x802aed7d1ce436a0; + valaddr_reg:x3; val_offset:1018*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1018*FLEN/8, x4, x1, x2) + +inst_510: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1020*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1020*FLEN/8, x4, x1, x2) + +inst_511: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1022*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1022*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_5) + +inst_512: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x7bf9fe6e1a7e1 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0xffb7bf9fe6e1a7e1; + valaddr_reg:x3; val_offset:1024*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1024*FLEN/8, x4, x1, x2) + +inst_513: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 1 and fe2 == 0x002 and fm2 == 0xaed7d1ce436a0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0x802aed7d1ce436a0; + valaddr_reg:x3; val_offset:1026*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1026*FLEN/8, x4, x1, x2) + +inst_514: +// fs1 == 1 and fe1 == 0x002 and fm1 == 0xaed7d1ce436a0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x802aed7d1ce436a0; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1028*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1028*FLEN/8, x4, x1, x2) + +inst_515: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1030*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1030*FLEN/8, x4, x1, x2) + +inst_516: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x562b29f60d7ba and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xefff2c6cde040 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800562b29f60d7ba; op2val:0xffbefff2c6cde040; + valaddr_reg:x3; val_offset:1032*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1032*FLEN/8, x4, x1, x2) + +inst_517: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x562b29f60d7ba and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0x800562b29f60d7ba; + valaddr_reg:x3; val_offset:1034*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1034*FLEN/8, x4, x1, x2) + +inst_518: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x562b29f60d7ba and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800562b29f60d7ba; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1036*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1036*FLEN/8, x4, x1, x2) + +inst_519: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0x0; + valaddr_reg:x3; val_offset:1038*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1038*FLEN/8, x4, x1, x2) + +inst_520: +// fs1 == 1 and fe1 == 0x401 and fm1 == 0xdf542c221f050 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc01df542c221f050; op2val:0x0; + valaddr_reg:x3; val_offset:1040*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1040*FLEN/8, x4, x1, x2) + +inst_521: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x401 and fm2 == 0xdf542c221f050 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc01df542c221f050; + valaddr_reg:x3; val_offset:1042*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1042*FLEN/8, x4, x1, x2) + +inst_522: +// fs1 == 1 and fe1 == 0x7fd and fm1 == 0xaa9de60dde106 and fs2 == 1 and fe2 == 0x401 and fm2 == 0xdf542c221f050 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffdaa9de60dde106; op2val:0xc01df542c221f050; + valaddr_reg:x3; val_offset:1044*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1044*FLEN/8, x4, x1, x2) + +inst_523: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1046*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1046*FLEN/8, x4, x1, x2) + +inst_524: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1048*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1048*FLEN/8, x4, x1, x2) + +inst_525: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1050*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1050*FLEN/8, x4, x1, x2) + +inst_526: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1052*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1052*FLEN/8, x4, x1, x2) + +inst_527: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1054*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1054*FLEN/8, x4, x1, x2) + +inst_528: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1056*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1056*FLEN/8, x4, x1, x2) + +inst_529: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x901723ec94233 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x608daef2c2520 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff9901723ec94233; op2val:0xffc608daef2c2520; + valaddr_reg:x3; val_offset:1058*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1058*FLEN/8, x4, x1, x2) + +inst_530: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x901723ec94233 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0xff9901723ec94233; + valaddr_reg:x3; val_offset:1060*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1060*FLEN/8, x4, x1, x2) + +inst_531: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x901723ec94233 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff9901723ec94233; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1062*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1062*FLEN/8, x4, x1, x2) + +inst_532: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x901723ec94233 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0xff9901723ec94233; + valaddr_reg:x3; val_offset:1064*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1064*FLEN/8, x4, x1, x2) + +inst_533: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1066*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1066*FLEN/8, x4, x1, x2) + +inst_534: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x3281b93f72b1c and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x0a8686b58e06e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8003281b93f72b1c; op2val:0x7fe0a8686b58e06e; + valaddr_reg:x3; val_offset:1068*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1068*FLEN/8, x4, x1, x2) + +inst_535: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x3281b93f72b1c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0x8003281b93f72b1c; + valaddr_reg:x3; val_offset:1070*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1070*FLEN/8, x4, x1, x2) + +inst_536: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x3281b93f72b1c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8003281b93f72b1c; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1072*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1072*FLEN/8, x4, x1, x2) + +inst_537: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x3281b93f72b1c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x8003281b93f72b1c; + valaddr_reg:x3; val_offset:1074*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1074*FLEN/8, x4, x1, x2) + +inst_538: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1076*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1076*FLEN/8, x4, x1, x2) + +inst_539: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8d5e4d9c9a110 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0x7fb8d5e4d9c9a110; + valaddr_reg:x3; val_offset:1078*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1078*FLEN/8, x4, x1, x2) + +inst_540: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf9113c7a7af1a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0x801f9113c7a7af1a; + valaddr_reg:x3; val_offset:1080*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1080*FLEN/8, x4, x1, x2) + +inst_541: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1082*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1082*FLEN/8, x4, x1, x2) + +inst_542: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf9113c7a7af1a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x801f9113c7a7af1a; + valaddr_reg:x3; val_offset:1084*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1084*FLEN/8, x4, x1, x2) + +inst_543: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1086*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1086*FLEN/8, x4, x1, x2) + +inst_544: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xcc6497dd9aeac and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0x7fbcc6497dd9aeac; + valaddr_reg:x3; val_offset:1088*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1088*FLEN/8, x4, x1, x2) + +inst_545: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf9113c7a7af1a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0x801f9113c7a7af1a; + valaddr_reg:x3; val_offset:1090*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1090*FLEN/8, x4, x1, x2) + +inst_546: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1092*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1092*FLEN/8, x4, x1, x2) + +inst_547: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1094*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1094*FLEN/8, x4, x1, x2) + +inst_548: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x397fcfd029cc8 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0x7fb397fcfd029cc8; + valaddr_reg:x3; val_offset:1096*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1096*FLEN/8, x4, x1, x2) + +inst_549: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf9113c7a7af1a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0x801f9113c7a7af1a; + valaddr_reg:x3; val_offset:1098*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1098*FLEN/8, x4, x1, x2) + +inst_550: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1100*FLEN/8, x4, x1, x2) + +inst_551: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1102*FLEN/8, x4, x1, x2) + +inst_552: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x9ac314c1e5de7 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0x7fb9ac314c1e5de7; + valaddr_reg:x3; val_offset:1104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1104*FLEN/8, x4, x1, x2) + +inst_553: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf9113c7a7af1a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0x801f9113c7a7af1a; + valaddr_reg:x3; val_offset:1106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1106*FLEN/8, x4, x1, x2) + +inst_554: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1108*FLEN/8, x4, x1, x2) + +inst_555: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1110*FLEN/8, x4, x1, x2) + +inst_556: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x77b6702c7d202 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0xffb77b6702c7d202; + valaddr_reg:x3; val_offset:1112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1112*FLEN/8, x4, x1, x2) + +inst_557: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf9113c7a7af1a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0x801f9113c7a7af1a; + valaddr_reg:x3; val_offset:1114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1114*FLEN/8, x4, x1, x2) + +inst_558: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1116*FLEN/8, x4, x1, x2) + +inst_559: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1118*FLEN/8, x4, x1, x2) + +inst_560: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x6134731b23d7b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0xffb6134731b23d7b; + valaddr_reg:x3; val_offset:1120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1120*FLEN/8, x4, x1, x2) + +inst_561: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf9113c7a7af1a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0x801f9113c7a7af1a; + valaddr_reg:x3; val_offset:1122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1122*FLEN/8, x4, x1, x2) + +inst_562: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1124*FLEN/8, x4, x1, x2) + +inst_563: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1126*FLEN/8, x4, x1, x2) + +inst_564: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xf639b36c9b8db and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0xffaf639b36c9b8db; + valaddr_reg:x3; val_offset:1128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1128*FLEN/8, x4, x1, x2) + +inst_565: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf9113c7a7af1a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0x801f9113c7a7af1a; + valaddr_reg:x3; val_offset:1130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1130*FLEN/8, x4, x1, x2) + +inst_566: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1132*FLEN/8, x4, x1, x2) + +inst_567: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1134*FLEN/8, x4, x1, x2) + +inst_568: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x7bf9fe6e1a7e1 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0xffb7bf9fe6e1a7e1; + valaddr_reg:x3; val_offset:1136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1136*FLEN/8, x4, x1, x2) + +inst_569: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 1 and fe2 == 0x001 and fm2 == 0xf9113c7a7af1a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0x801f9113c7a7af1a; + valaddr_reg:x3; val_offset:1138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1138*FLEN/8, x4, x1, x2) + +inst_570: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0xf9113c7a7af1a and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801f9113c7a7af1a; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1140*FLEN/8, x4, x1, x2) + +inst_571: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1142*FLEN/8, x4, x1, x2) + +inst_572: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x3281b93f72b1c and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xefff2c6cde040 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8003281b93f72b1c; op2val:0xffbefff2c6cde040; + valaddr_reg:x3; val_offset:1144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1144*FLEN/8, x4, x1, x2) + +inst_573: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x3281b93f72b1c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0x8003281b93f72b1c; + valaddr_reg:x3; val_offset:1146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1146*FLEN/8, x4, x1, x2) + +inst_574: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x3281b93f72b1c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8003281b93f72b1c; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1148*FLEN/8, x4, x1, x2) + +inst_575: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0x0; + valaddr_reg:x3; val_offset:1150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1150*FLEN/8, x4, x1, x2) + +inst_576: +// fs1 == 1 and fe1 == 0x401 and fm1 == 0x18f3f99f3a7ab and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc0118f3f99f3a7ab; op2val:0x0; + valaddr_reg:x3; val_offset:1152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1152*FLEN/8, x4, x1, x2) + +inst_577: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x401 and fm2 == 0x18f3f99f3a7ab and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc0118f3f99f3a7ab; + valaddr_reg:x3; val_offset:1154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1154*FLEN/8, x4, x1, x2) + +inst_578: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0xf41cece7b92c0 and fs2 == 1 and fe2 == 0x401 and fm2 == 0x18f3f99f3a7ab and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffcf41cece7b92c0; op2val:0xc0118f3f99f3a7ab; + valaddr_reg:x3; val_offset:1156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1156*FLEN/8, x4, x1, x2) + +inst_579: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1158*FLEN/8, x4, x1, x2) + +inst_580: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:1160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1160*FLEN/8, x4, x1, x2) + +inst_581: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1162*FLEN/8, x4, x1, x2) + +inst_582: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1164*FLEN/8, x4, x1, x2) + +inst_583: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1166*FLEN/8, x4, x1, x2) + +inst_584: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0x608daef2c2520 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0xffc608daef2c2520; + valaddr_reg:x3; val_offset:1168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1168*FLEN/8, x4, x1, x2) + +inst_585: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1170*FLEN/8, x4, x1, x2) + +inst_586: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1172*FLEN/8, x4, x1, x2) + +inst_587: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1174*FLEN/8, x4, x1, x2) + +inst_588: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1176*FLEN/8, x4, x1, x2) + +inst_589: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1178*FLEN/8, x4, x1, x2) + +inst_590: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1180*FLEN/8, x4, x1, x2) + +inst_591: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1182*FLEN/8, x4, x1, x2) + +inst_592: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1184*FLEN/8, x4, x1, x2) + +inst_593: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1186*FLEN/8, x4, x1, x2) + +inst_594: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1188*FLEN/8, x4, x1, x2) + +inst_595: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1190*FLEN/8, x4, x1, x2) + +inst_596: +// fs1 == 1 and fe1 == 0x7fc and fm1 == 0x608daef2c2520 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffc608daef2c2520; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1192*FLEN/8, x4, x1, x2) + +inst_597: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1194*FLEN/8, x4, x1, x2) + +inst_598: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x239ac7113abba and fs2 == 0 and fe2 == 0x7fa and fm2 == 0xaa70d788e33e4 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800239ac7113abba; op2val:0x7faaa70d788e33e4; + valaddr_reg:x3; val_offset:1196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1196*FLEN/8, x4, x1, x2) + +inst_599: +// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xaa70d788e33e4 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x239ac7113abba and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7faaa70d788e33e4; op2val:0x800239ac7113abba; + valaddr_reg:x3; val_offset:1198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1198*FLEN/8, x4, x1, x2) + +inst_600: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x239ac7113abba and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800239ac7113abba; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1200*FLEN/8, x4, x1, x2) + +inst_601: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x239ac7113abba and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x800239ac7113abba; + valaddr_reg:x3; val_offset:1202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1202*FLEN/8, x4, x1, x2) + +inst_602: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1204*FLEN/8, x4, x1, x2) + +inst_603: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x3de50ae3ae740 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0x7f83de50ae3ae740; + valaddr_reg:x3; val_offset:1206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1206*FLEN/8, x4, x1, x2) + +inst_604: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x3de50ae3ae740 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x640bc6ac4b541 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f83de50ae3ae740; op2val:0x801640bc6ac4b541; + valaddr_reg:x3; val_offset:1208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1208*FLEN/8, x4, x1, x2) + +inst_605: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1210*FLEN/8, x4, x1, x2) + +inst_606: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x640bc6ac4b541 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x801640bc6ac4b541; + valaddr_reg:x3; val_offset:1212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1212*FLEN/8, x4, x1, x2) + +inst_607: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1214*FLEN/8, x4, x1, x2) + +inst_608: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x7050797e15889 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0x7f87050797e15889; + valaddr_reg:x3; val_offset:1216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1216*FLEN/8, x4, x1, x2) + +inst_609: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x7050797e15889 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x640bc6ac4b541 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f87050797e15889; op2val:0x801640bc6ac4b541; + valaddr_reg:x3; val_offset:1218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1218*FLEN/8, x4, x1, x2) + +inst_610: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1220*FLEN/8, x4, x1, x2) + +inst_611: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1222*FLEN/8, x4, x1, x2) + +inst_612: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 0 and fe2 == 0x7f7 and fm2 == 0xf5994c8042e0c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0x7f7f5994c8042e0c; + valaddr_reg:x3; val_offset:1224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1224*FLEN/8, x4, x1, x2) + +inst_613: +// fs1 == 0 and fe1 == 0x7f7 and fm1 == 0xf5994c8042e0c and fs2 == 1 and fe2 == 0x001 and fm2 == 0x640bc6ac4b541 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f7f5994c8042e0c; op2val:0x801640bc6ac4b541; + valaddr_reg:x3; val_offset:1226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1226*FLEN/8, x4, x1, x2) + +inst_614: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1228*FLEN/8, x4, x1, x2) + +inst_615: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1230*FLEN/8, x4, x1, x2) + +inst_616: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x489c109b1e4b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0x7f8489c109b1e4b9; + valaddr_reg:x3; val_offset:1232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1232*FLEN/8, x4, x1, x2) + +inst_617: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x489c109b1e4b9 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x640bc6ac4b541 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f8489c109b1e4b9; op2val:0x801640bc6ac4b541; + valaddr_reg:x3; val_offset:1234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1234*FLEN/8, x4, x1, x2) + +inst_618: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1236*FLEN/8, x4, x1, x2) + +inst_619: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1238*FLEN/8, x4, x1, x2) + +inst_620: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x2c91f356ca801 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0xff82c91f356ca801; + valaddr_reg:x3; val_offset:1240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1240*FLEN/8, x4, x1, x2) + +inst_621: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x2c91f356ca801 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x640bc6ac4b541 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff82c91f356ca801; op2val:0x801640bc6ac4b541; + valaddr_reg:x3; val_offset:1242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1242*FLEN/8, x4, x1, x2) + +inst_622: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1244*FLEN/8, x4, x1, x2) + +inst_623: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1246*FLEN/8, x4, x1, x2) + +inst_624: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x1a905c15b6463 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0xff81a905c15b6463; + valaddr_reg:x3; val_offset:1248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1248*FLEN/8, x4, x1, x2) + +inst_625: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x1a905c15b6463 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x640bc6ac4b541 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff81a905c15b6463; op2val:0x801640bc6ac4b541; + valaddr_reg:x3; val_offset:1250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1250*FLEN/8, x4, x1, x2) + +inst_626: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1252*FLEN/8, x4, x1, x2) + +inst_627: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1254*FLEN/8, x4, x1, x2) + +inst_628: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 1 and fe2 == 0x7f7 and fm2 == 0x91c7c2bd493e3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0xff791c7c2bd493e3; + valaddr_reg:x3; val_offset:1256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1256*FLEN/8, x4, x1, x2) + +inst_629: +// fs1 == 1 and fe1 == 0x7f7 and fm1 == 0x91c7c2bd493e3 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x640bc6ac4b541 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff791c7c2bd493e3; op2val:0x801640bc6ac4b541; + valaddr_reg:x3; val_offset:1258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1258*FLEN/8, x4, x1, x2) + +inst_630: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1260*FLEN/8, x4, x1, x2) + +inst_631: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1262*FLEN/8, x4, x1, x2) + +inst_632: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x2ffb31f1aecb4 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0xff82ffb31f1aecb4; + valaddr_reg:x3; val_offset:1264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1264*FLEN/8, x4, x1, x2) + +inst_633: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x2ffb31f1aecb4 and fs2 == 1 and fe2 == 0x001 and fm2 == 0x640bc6ac4b541 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff82ffb31f1aecb4; op2val:0x801640bc6ac4b541; + valaddr_reg:x3; val_offset:1266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1266*FLEN/8, x4, x1, x2) + +inst_634: +// fs1 == 1 and fe1 == 0x001 and fm1 == 0x640bc6ac4b541 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x801640bc6ac4b541; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1268*FLEN/8, x4, x1, x2) + +inst_635: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1270*FLEN/8, x4, x1, x2) + +inst_636: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x239ac7113abba and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x8ccc238a4b367 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800239ac7113abba; op2val:0xff88ccc238a4b367; + valaddr_reg:x3; val_offset:1272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1272*FLEN/8, x4, x1, x2) + +inst_637: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x8ccc238a4b367 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x239ac7113abba and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff88ccc238a4b367; op2val:0x800239ac7113abba; + valaddr_reg:x3; val_offset:1274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1274*FLEN/8, x4, x1, x2) + +inst_638: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x239ac7113abba and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800239ac7113abba; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1276*FLEN/8, x4, x1, x2) + +inst_639: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0x0; + valaddr_reg:x3; val_offset:1278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1278*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_6) + +inst_640: +// fs1 == 1 and fe1 == 0x400 and fm1 == 0x8c1d44531ee36 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc008c1d44531ee36; op2val:0x0; + valaddr_reg:x3; val_offset:1280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1280*FLEN/8, x4, x1, x2) + +inst_641: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x400 and fm2 == 0x8c1d44531ee36 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc008c1d44531ee36; + valaddr_reg:x3; val_offset:1282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1282*FLEN/8, x4, x1, x2) + +inst_642: +// fs1 == 1 and fe1 == 0x7f9 and fm1 == 0x1a0af25bcea80 and fs2 == 1 and fe2 == 0x400 and fm2 == 0x8c1d44531ee36 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff91a0af25bcea80; op2val:0xc008c1d44531ee36; + valaddr_reg:x3; val_offset:1284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1284*FLEN/8, x4, x1, x2) + +inst_643: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1286*FLEN/8, x4, x1, x2) + +inst_644: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1288*FLEN/8, x4, x1, x2) + +inst_645: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1290*FLEN/8, x4, x1, x2) + +inst_646: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1292*FLEN/8, x4, x1, x2) + +inst_647: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1294*FLEN/8, x4, x1, x2) + +inst_648: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x0a8686b58e06e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x7fe0a8686b58e06e; + valaddr_reg:x3; val_offset:1296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1296*FLEN/8, x4, x1, x2) + +inst_649: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1298*FLEN/8, x4, x1, x2) + +inst_650: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1300*FLEN/8, x4, x1, x2) + +inst_651: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1302*FLEN/8, x4, x1, x2) + +inst_652: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1304*FLEN/8, x4, x1, x2) + +inst_653: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1306*FLEN/8, x4, x1, x2) + +inst_654: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1308*FLEN/8, x4, x1, x2) + +inst_655: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1310*FLEN/8, x4, x1, x2) + +inst_656: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1312*FLEN/8, x4, x1, x2) + +inst_657: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1314*FLEN/8, x4, x1, x2) + +inst_658: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1316*FLEN/8, x4, x1, x2) + +inst_659: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1318*FLEN/8, x4, x1, x2) + +inst_660: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x0a8686b58e06e and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe0a8686b58e06e; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1320*FLEN/8, x4, x1, x2) + +inst_661: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1322*FLEN/8, x4, x1, x2) + +inst_662: +// fs1 == 0 and fe1 == 0x7fa and fm1 == 0xaa70d788e33e4 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7faaa70d788e33e4; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1324*FLEN/8, x4, x1, x2) + +inst_663: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x7fa and fm2 == 0xaa70d788e33e4 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x7faaa70d788e33e4; + valaddr_reg:x3; val_offset:1326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1326*FLEN/8, x4, x1, x2) + +inst_664: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1328*FLEN/8, x4, x1, x2) + +inst_665: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1410b3d2a7d0c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x1410b3d2a7d0c; + valaddr_reg:x3; val_offset:1330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1330*FLEN/8, x4, x1, x2) + +inst_666: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1410b3d2a7d0c and fs2 == 0 and fe2 == 0x003 and fm2 == 0x0d2a72a33d1eb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1410b3d2a7d0c; op2val:0x30d2a72a33d1eb; + valaddr_reg:x3; val_offset:1332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1332*FLEN/8, x4, x1, x2) + +inst_667: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1334*FLEN/8, x4, x1, x2) + +inst_668: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x003 and fm2 == 0x0d2a72a33d1eb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x30d2a72a33d1eb; + valaddr_reg:x3; val_offset:1336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1336*FLEN/8, x4, x1, x2) + +inst_669: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1338*FLEN/8, x4, x1, x2) + +inst_670: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x173f689a4c8c6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x173f689a4c8c6; + valaddr_reg:x3; val_offset:1340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1340*FLEN/8, x4, x1, x2) + +inst_671: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x173f689a4c8c6 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x0d2a72a33d1eb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x173f689a4c8c6; op2val:0x30d2a72a33d1eb; + valaddr_reg:x3; val_offset:1342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1342*FLEN/8, x4, x1, x2) + +inst_672: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1344*FLEN/8, x4, x1, x2) + +inst_673: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1346*FLEN/8, x4, x1, x2) + +inst_674: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0fd48b05c2121 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0xfd48b05c2121; + valaddr_reg:x3; val_offset:1348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1348*FLEN/8, x4, x1, x2) + +inst_675: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0fd48b05c2121 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x0d2a72a33d1eb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfd48b05c2121; op2val:0x30d2a72a33d1eb; + valaddr_reg:x3; val_offset:1350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1350*FLEN/8, x4, x1, x2) + +inst_676: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1352*FLEN/8, x4, x1, x2) + +inst_677: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1354*FLEN/8, x4, x1, x2) + +inst_678: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 0 and fe2 == 0x000 and fm2 == 0x14bdd6f520473 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x14bdd6f520473; + valaddr_reg:x3; val_offset:1356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1356*FLEN/8, x4, x1, x2) + +inst_679: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x14bdd6f520473 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x0d2a72a33d1eb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x14bdd6f520473; op2val:0x30d2a72a33d1eb; + valaddr_reg:x3; val_offset:1358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1358*FLEN/8, x4, x1, x2) + +inst_680: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1360*FLEN/8, x4, x1, x2) + +inst_681: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1362*FLEN/8, x4, x1, x2) + +inst_682: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 1 and fe2 == 0x000 and fm2 == 0x12f8c3601c4a9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x80012f8c3601c4a9; + valaddr_reg:x3; val_offset:1364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1364*FLEN/8, x4, x1, x2) + +inst_683: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x12f8c3601c4a9 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x0d2a72a33d1eb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80012f8c3601c4a9; op2val:0x30d2a72a33d1eb; + valaddr_reg:x3; val_offset:1366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1366*FLEN/8, x4, x1, x2) + +inst_684: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1368*FLEN/8, x4, x1, x2) + +inst_685: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1370*FLEN/8, x4, x1, x2) + +inst_686: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 1 and fe2 == 0x000 and fm2 == 0x11d5cf49b3fa7 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x80011d5cf49b3fa7; + valaddr_reg:x3; val_offset:1372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1372*FLEN/8, x4, x1, x2) + +inst_687: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x11d5cf49b3fa7 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x0d2a72a33d1eb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80011d5cf49b3fa7; op2val:0x30d2a72a33d1eb; + valaddr_reg:x3; val_offset:1374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1374*FLEN/8, x4, x1, x2) + +inst_688: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1376*FLEN/8, x4, x1, x2) + +inst_689: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1378*FLEN/8, x4, x1, x2) + +inst_690: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0cae158f8de83 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x8000cae158f8de83; + valaddr_reg:x3; val_offset:1380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1380*FLEN/8, x4, x1, x2) + +inst_691: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0cae158f8de83 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x0d2a72a33d1eb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000cae158f8de83; op2val:0x30d2a72a33d1eb; + valaddr_reg:x3; val_offset:1382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1382*FLEN/8, x4, x1, x2) + +inst_692: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1384*FLEN/8, x4, x1, x2) + +inst_693: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1386*FLEN/8, x4, x1, x2) + +inst_694: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 1 and fe2 == 0x000 and fm2 == 0x132fe1b33da32 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x800132fe1b33da32; + valaddr_reg:x3; val_offset:1388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1388*FLEN/8, x4, x1, x2) + +inst_695: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x132fe1b33da32 and fs2 == 0 and fe2 == 0x003 and fm2 == 0x0d2a72a33d1eb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800132fe1b33da32; op2val:0x30d2a72a33d1eb; + valaddr_reg:x3; val_offset:1390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1390*FLEN/8, x4, x1, x2) + +inst_696: +// fs1 == 0 and fe1 == 0x003 and fm1 == 0x0d2a72a33d1eb and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x30d2a72a33d1eb; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1392*FLEN/8, x4, x1, x2) + +inst_697: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1394*FLEN/8, x4, x1, x2) + +inst_698: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1396*FLEN/8, x4, x1, x2) + +inst_699: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x0; + valaddr_reg:x3; val_offset:1398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1398*FLEN/8, x4, x1, x2) + +inst_700: +// fs1 == 0 and fe1 == 0x402 and fm1 == 0x2b74f7c4aeb28 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x4022b74f7c4aeb28; op2val:0x0; + valaddr_reg:x3; val_offset:1400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1400*FLEN/8, x4, x1, x2) + +inst_701: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x402 and fm2 == 0x2b74f7c4aeb28 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x4022b74f7c4aeb28; + valaddr_reg:x3; val_offset:1402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1402*FLEN/8, x4, x1, x2) + +inst_702: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x6baa94414ba5e and fs2 == 0 and fe2 == 0x402 and fm2 == 0x2b74f7c4aeb28 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x6baa94414ba5e; op2val:0x4022b74f7c4aeb28; + valaddr_reg:x3; val_offset:1404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1404*FLEN/8, x4, x1, x2) + +inst_703: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1406*FLEN/8, x4, x1, x2) + +inst_704: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xf0b5e103c0954 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fef0b5e103c0954; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1408*FLEN/8, x4, x1, x2) + +inst_705: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xf0b5e103c0954 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x7fef0b5e103c0954; + valaddr_reg:x3; val_offset:1410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1410*FLEN/8, x4, x1, x2) + +inst_706: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1412*FLEN/8, x4, x1, x2) + +inst_707: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1414*FLEN/8, x4, x1, x2) + +inst_708: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1416*FLEN/8, x4, x1, x2) + +inst_709: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x8d5e4d9c9a110 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x7fb8d5e4d9c9a110; + valaddr_reg:x3; val_offset:1418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1418*FLEN/8, x4, x1, x2) + +inst_710: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1420*FLEN/8, x4, x1, x2) + +inst_711: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1422*FLEN/8, x4, x1, x2) + +inst_712: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1424*FLEN/8, x4, x1, x2) + +inst_713: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1426*FLEN/8, x4, x1, x2) + +inst_714: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1428*FLEN/8, x4, x1, x2) + +inst_715: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xf0b5e103c0954 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fef0b5e103c0954; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1430*FLEN/8, x4, x1, x2) + +inst_716: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1432*FLEN/8, x4, x1, x2) + +inst_717: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1434*FLEN/8, x4, x1, x2) + +inst_718: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1436*FLEN/8, x4, x1, x2) + +inst_719: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xf0b5e103c0954 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fef0b5e103c0954; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1438*FLEN/8, x4, x1, x2) + +inst_720: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1440*FLEN/8, x4, x1, x2) + +inst_721: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1442*FLEN/8, x4, x1, x2) + +inst_722: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1444*FLEN/8, x4, x1, x2) + +inst_723: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x8d5e4d9c9a110 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb8d5e4d9c9a110; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1446*FLEN/8, x4, x1, x2) + +inst_724: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1448*FLEN/8, x4, x1, x2) + +inst_725: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x3de50ae3ae740 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f83de50ae3ae740; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1450*FLEN/8, x4, x1, x2) + +inst_726: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x3de50ae3ae740 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x7f83de50ae3ae740; + valaddr_reg:x3; val_offset:1452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1452*FLEN/8, x4, x1, x2) + +inst_727: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1454*FLEN/8, x4, x1, x2) + +inst_728: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1410b3d2a7d0c and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1410b3d2a7d0c; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1456*FLEN/8, x4, x1, x2) + +inst_729: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1410b3d2a7d0c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x1410b3d2a7d0c; + valaddr_reg:x3; val_offset:1458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1458*FLEN/8, x4, x1, x2) + +inst_730: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1460*FLEN/8, x4, x1, x2) + +inst_731: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1462*FLEN/8, x4, x1, x2) + +inst_732: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1464*FLEN/8, x4, x1, x2) + +inst_733: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1466*FLEN/8, x4, x1, x2) + +inst_734: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1468*FLEN/8, x4, x1, x2) + +inst_735: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1470*FLEN/8, x4, x1, x2) + +inst_736: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1472*FLEN/8, x4, x1, x2) + +inst_737: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1474*FLEN/8, x4, x1, x2) + +inst_738: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1476*FLEN/8, x4, x1, x2) + +inst_739: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1478*FLEN/8, x4, x1, x2) + +inst_740: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1480*FLEN/8, x4, x1, x2) + +inst_741: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1482*FLEN/8, x4, x1, x2) + +inst_742: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1484*FLEN/8, x4, x1, x2) + +inst_743: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:1486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1486*FLEN/8, x4, x1, x2) + +inst_744: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1488*FLEN/8, x4, x1, x2) + +inst_745: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1410b3d2a7d0c and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfa7485d0f8ece and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1410b3d2a7d0c; op2val:0x800fa7485d0f8ece; + valaddr_reg:x3; val_offset:1490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1490*FLEN/8, x4, x1, x2) + +inst_746: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 0 and fe2 == 0x000 and fm2 == 0x1410b3d2a7d0c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x1410b3d2a7d0c; + valaddr_reg:x3; val_offset:1492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1492*FLEN/8, x4, x1, x2) + +inst_747: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x1410b3d2a7d0c and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x1410b3d2a7d0c; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1494*FLEN/8, x4, x1, x2) + +inst_748: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x0; + valaddr_reg:x3; val_offset:1496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1496*FLEN/8, x4, x1, x2) + +inst_749: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0xbe776c4b9309a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ffbe776c4b9309a; op2val:0x0; + valaddr_reg:x3; val_offset:1498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1498*FLEN/8, x4, x1, x2) + +inst_750: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xbe776c4b9309a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x3ffbe776c4b9309a; + valaddr_reg:x3; val_offset:1500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1500*FLEN/8, x4, x1, x2) + +inst_751: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xc8a7063a8e27a and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xbe776c4b9309a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc8a7063a8e27a; op2val:0x3ffbe776c4b9309a; + valaddr_reg:x3; val_offset:1502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1502*FLEN/8, x4, x1, x2) + +inst_752: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1504*FLEN/8, x4, x1, x2) + +inst_753: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1506*FLEN/8, x4, x1, x2) + +inst_754: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1508*FLEN/8, x4, x1, x2) + +inst_755: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1510*FLEN/8, x4, x1, x2) + +inst_756: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1512*FLEN/8, x4, x1, x2) + +inst_757: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0xcc6497dd9aeac and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x7fbcc6497dd9aeac; + valaddr_reg:x3; val_offset:1514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1514*FLEN/8, x4, x1, x2) + +inst_758: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1516*FLEN/8, x4, x1, x2) + +inst_759: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1518*FLEN/8, x4, x1, x2) + +inst_760: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1520*FLEN/8, x4, x1, x2) + +inst_761: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1522*FLEN/8, x4, x1, x2) + +inst_762: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1524*FLEN/8, x4, x1, x2) + +inst_763: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1526*FLEN/8, x4, x1, x2) + +inst_764: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1528*FLEN/8, x4, x1, x2) + +inst_765: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1530*FLEN/8, x4, x1, x2) + +inst_766: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1532*FLEN/8, x4, x1, x2) + +inst_767: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1534*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_7) + +inst_768: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1536*FLEN/8, x4, x1, x2) + +inst_769: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0xcc6497dd9aeac and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fbcc6497dd9aeac; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1538*FLEN/8, x4, x1, x2) + +inst_770: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1540*FLEN/8, x4, x1, x2) + +inst_771: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x7050797e15889 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f87050797e15889; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1542*FLEN/8, x4, x1, x2) + +inst_772: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x7050797e15889 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x7f87050797e15889; + valaddr_reg:x3; val_offset:1544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1544*FLEN/8, x4, x1, x2) + +inst_773: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1546*FLEN/8, x4, x1, x2) + +inst_774: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x173f689a4c8c6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x173f689a4c8c6; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1548*FLEN/8, x4, x1, x2) + +inst_775: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x173f689a4c8c6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x173f689a4c8c6; + valaddr_reg:x3; val_offset:1550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1550*FLEN/8, x4, x1, x2) + +inst_776: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1552*FLEN/8, x4, x1, x2) + +inst_777: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1554*FLEN/8, x4, x1, x2) + +inst_778: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1556*FLEN/8, x4, x1, x2) + +inst_779: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1558*FLEN/8, x4, x1, x2) + +inst_780: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1560*FLEN/8, x4, x1, x2) + +inst_781: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1562*FLEN/8, x4, x1, x2) + +inst_782: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1564*FLEN/8, x4, x1, x2) + +inst_783: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1566*FLEN/8, x4, x1, x2) + +inst_784: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1568*FLEN/8, x4, x1, x2) + +inst_785: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1570*FLEN/8, x4, x1, x2) + +inst_786: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1572*FLEN/8, x4, x1, x2) + +inst_787: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:1574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1574*FLEN/8, x4, x1, x2) + +inst_788: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1576*FLEN/8, x4, x1, x2) + +inst_789: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x173f689a4c8c6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfa7485d0f8ece and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x173f689a4c8c6; op2val:0x800fa7485d0f8ece; + valaddr_reg:x3; val_offset:1578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1578*FLEN/8, x4, x1, x2) + +inst_790: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 0 and fe2 == 0x000 and fm2 == 0x173f689a4c8c6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x173f689a4c8c6; + valaddr_reg:x3; val_offset:1580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1580*FLEN/8, x4, x1, x2) + +inst_791: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x173f689a4c8c6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x173f689a4c8c6; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1582*FLEN/8, x4, x1, x2) + +inst_792: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x0; + valaddr_reg:x3; val_offset:1584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1584*FLEN/8, x4, x1, x2) + +inst_793: +// fs1 == 0 and fe1 == 0x400 and fm1 == 0x02a39e584db8a and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x40002a39e584db8a; op2val:0x0; + valaddr_reg:x3; val_offset:1586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1586*FLEN/8, x4, x1, x2) + +inst_794: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x400 and fm2 == 0x02a39e584db8a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x40002a39e584db8a; + valaddr_reg:x3; val_offset:1588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1588*FLEN/8, x4, x1, x2) + +inst_795: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xe87a1606fd7b9 and fs2 == 0 and fe2 == 0x400 and fm2 == 0x02a39e584db8a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xe87a1606fd7b9; op2val:0x40002a39e584db8a; + valaddr_reg:x3; val_offset:1590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1590*FLEN/8, x4, x1, x2) + +inst_796: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1592*FLEN/8, x4, x1, x2) + +inst_797: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x87dfc3c4343fa and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe87dfc3c4343fa; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1594*FLEN/8, x4, x1, x2) + +inst_798: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x87dfc3c4343fa and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x7fe87dfc3c4343fa; + valaddr_reg:x3; val_offset:1596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1596*FLEN/8, x4, x1, x2) + +inst_799: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1598*FLEN/8, x4, x1, x2) + +inst_800: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1600*FLEN/8, x4, x1, x2) + +inst_801: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1602*FLEN/8, x4, x1, x2) + +inst_802: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x397fcfd029cc8 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x7fb397fcfd029cc8; + valaddr_reg:x3; val_offset:1604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1604*FLEN/8, x4, x1, x2) + +inst_803: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1606*FLEN/8, x4, x1, x2) + +inst_804: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1608*FLEN/8, x4, x1, x2) + +inst_805: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1610*FLEN/8, x4, x1, x2) + +inst_806: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1612*FLEN/8, x4, x1, x2) + +inst_807: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1614*FLEN/8, x4, x1, x2) + +inst_808: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x87dfc3c4343fa and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe87dfc3c4343fa; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1616*FLEN/8, x4, x1, x2) + +inst_809: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1618*FLEN/8, x4, x1, x2) + +inst_810: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1620*FLEN/8, x4, x1, x2) + +inst_811: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1622*FLEN/8, x4, x1, x2) + +inst_812: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0x87dfc3c4343fa and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fe87dfc3c4343fa; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1624*FLEN/8, x4, x1, x2) + +inst_813: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1626*FLEN/8, x4, x1, x2) + +inst_814: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1628*FLEN/8, x4, x1, x2) + +inst_815: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1630*FLEN/8, x4, x1, x2) + +inst_816: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x397fcfd029cc8 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb397fcfd029cc8; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1632*FLEN/8, x4, x1, x2) + +inst_817: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1634*FLEN/8, x4, x1, x2) + +inst_818: +// fs1 == 0 and fe1 == 0x7f7 and fm1 == 0xf5994c8042e0c and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f7f5994c8042e0c; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1636*FLEN/8, x4, x1, x2) + +inst_819: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x7f7 and fm2 == 0xf5994c8042e0c and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x7f7f5994c8042e0c; + valaddr_reg:x3; val_offset:1638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1638*FLEN/8, x4, x1, x2) + +inst_820: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1640*FLEN/8, x4, x1, x2) + +inst_821: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0fd48b05c2121 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfd48b05c2121; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1642*FLEN/8, x4, x1, x2) + +inst_822: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0fd48b05c2121 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0xfd48b05c2121; + valaddr_reg:x3; val_offset:1644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1644*FLEN/8, x4, x1, x2) + +inst_823: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1646*FLEN/8, x4, x1, x2) + +inst_824: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1648*FLEN/8, x4, x1, x2) + +inst_825: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1650*FLEN/8, x4, x1, x2) + +inst_826: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1652*FLEN/8, x4, x1, x2) + +inst_827: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1654*FLEN/8, x4, x1, x2) + +inst_828: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1656*FLEN/8, x4, x1, x2) + +inst_829: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1658*FLEN/8, x4, x1, x2) + +inst_830: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1660*FLEN/8, x4, x1, x2) + +inst_831: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1662*FLEN/8, x4, x1, x2) + +inst_832: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:1664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1664*FLEN/8, x4, x1, x2) + +inst_833: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1666*FLEN/8, x4, x1, x2) + +inst_834: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0fd48b05c2121 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfa7485d0f8ece and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfd48b05c2121; op2val:0x800fa7485d0f8ece; + valaddr_reg:x3; val_offset:1668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1668*FLEN/8, x4, x1, x2) + +inst_835: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0fd48b05c2121 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0xfd48b05c2121; + valaddr_reg:x3; val_offset:1670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1670*FLEN/8, x4, x1, x2) + +inst_836: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0fd48b05c2121 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xfd48b05c2121; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1672*FLEN/8, x4, x1, x2) + +inst_837: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x0; + valaddr_reg:x3; val_offset:1674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1674*FLEN/8, x4, x1, x2) + +inst_838: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0x603c137f0d51f and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ff603c137f0d51f; op2val:0x0; + valaddr_reg:x3; val_offset:1676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1676*FLEN/8, x4, x1, x2) + +inst_839: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x603c137f0d51f and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x3ff603c137f0d51f; + valaddr_reg:x3; val_offset:1678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1678*FLEN/8, x4, x1, x2) + +inst_840: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x9e4d6e3994b4b and fs2 == 0 and fe2 == 0x3ff and fm2 == 0x603c137f0d51f and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x9e4d6e3994b4b; op2val:0x3ff603c137f0d51f; + valaddr_reg:x3; val_offset:1680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1680*FLEN/8, x4, x1, x2) + +inst_841: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1682*FLEN/8, x4, x1, x2) + +inst_842: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:1684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1684*FLEN/8, x4, x1, x2) + +inst_843: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1686*FLEN/8, x4, x1, x2) + +inst_844: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1688*FLEN/8, x4, x1, x2) + +inst_845: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1690*FLEN/8, x4, x1, x2) + +inst_846: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x7fb and fm2 == 0x9ac314c1e5de7 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x7fb9ac314c1e5de7; + valaddr_reg:x3; val_offset:1692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1692*FLEN/8, x4, x1, x2) + +inst_847: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1694*FLEN/8, x4, x1, x2) + +inst_848: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1696*FLEN/8, x4, x1, x2) + +inst_849: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1698*FLEN/8, x4, x1, x2) + +inst_850: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1700*FLEN/8, x4, x1, x2) + +inst_851: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1702*FLEN/8, x4, x1, x2) + +inst_852: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1704*FLEN/8, x4, x1, x2) + +inst_853: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1706*FLEN/8, x4, x1, x2) + +inst_854: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1708*FLEN/8, x4, x1, x2) + +inst_855: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1710*FLEN/8, x4, x1, x2) + +inst_856: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1712*FLEN/8, x4, x1, x2) + +inst_857: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1714*FLEN/8, x4, x1, x2) + +inst_858: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x9ac314c1e5de7 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb9ac314c1e5de7; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1716*FLEN/8, x4, x1, x2) + +inst_859: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1718*FLEN/8, x4, x1, x2) + +inst_860: +// fs1 == 0 and fe1 == 0x7f8 and fm1 == 0x489c109b1e4b9 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7f8489c109b1e4b9; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1720*FLEN/8, x4, x1, x2) + +inst_861: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x7f8 and fm2 == 0x489c109b1e4b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x7f8489c109b1e4b9; + valaddr_reg:x3; val_offset:1722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1722*FLEN/8, x4, x1, x2) + +inst_862: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1724*FLEN/8, x4, x1, x2) + +inst_863: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x14bdd6f520473 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x14bdd6f520473; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1726*FLEN/8, x4, x1, x2) + +inst_864: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x14bdd6f520473 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x14bdd6f520473; + valaddr_reg:x3; val_offset:1728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1728*FLEN/8, x4, x1, x2) + +inst_865: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1730*FLEN/8, x4, x1, x2) + +inst_866: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1732*FLEN/8, x4, x1, x2) + +inst_867: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1734*FLEN/8, x4, x1, x2) + +inst_868: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1736*FLEN/8, x4, x1, x2) + +inst_869: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1738*FLEN/8, x4, x1, x2) + +inst_870: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1740*FLEN/8, x4, x1, x2) + +inst_871: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1742*FLEN/8, x4, x1, x2) + +inst_872: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:1744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1744*FLEN/8, x4, x1, x2) + +inst_873: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1746*FLEN/8, x4, x1, x2) + +inst_874: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x14bdd6f520473 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfa7485d0f8ece and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x14bdd6f520473; op2val:0x800fa7485d0f8ece; + valaddr_reg:x3; val_offset:1748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1748*FLEN/8, x4, x1, x2) + +inst_875: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 0 and fe2 == 0x000 and fm2 == 0x14bdd6f520473 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x14bdd6f520473; + valaddr_reg:x3; val_offset:1750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1750*FLEN/8, x4, x1, x2) + +inst_876: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x14bdd6f520473 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x14bdd6f520473; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1752*FLEN/8, x4, x1, x2) + +inst_877: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x0; + valaddr_reg:x3; val_offset:1754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1754*FLEN/8, x4, x1, x2) + +inst_878: +// fs1 == 0 and fe1 == 0x3ff and fm1 == 0xcd83dac71068d and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x3ffcd83dac71068d; op2val:0x0; + valaddr_reg:x3; val_offset:1756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1756*FLEN/8, x4, x1, x2) + +inst_879: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xcd83dac71068d and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x3ffcd83dac71068d; + valaddr_reg:x3; val_offset:1758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1758*FLEN/8, x4, x1, x2) + +inst_880: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0xcf6a659342c81 and fs2 == 0 and fe2 == 0x3ff and fm2 == 0xcd83dac71068d and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xcf6a659342c81; op2val:0x3ffcd83dac71068d; + valaddr_reg:x3; val_offset:1760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1760*FLEN/8, x4, x1, x2) + +inst_881: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1762*FLEN/8, x4, x1, x2) + +inst_882: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd5a40c379c682 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed5a40c379c682; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1764*FLEN/8, x4, x1, x2) + +inst_883: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xd5a40c379c682 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xffed5a40c379c682; + valaddr_reg:x3; val_offset:1766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1766*FLEN/8, x4, x1, x2) + +inst_884: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1768*FLEN/8, x4, x1, x2) + +inst_885: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1770*FLEN/8, x4, x1, x2) + +inst_886: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1772*FLEN/8, x4, x1, x2) + +inst_887: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x77b6702c7d202 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xffb77b6702c7d202; + valaddr_reg:x3; val_offset:1774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1774*FLEN/8, x4, x1, x2) + +inst_888: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1776*FLEN/8, x4, x1, x2) + +inst_889: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1778*FLEN/8, x4, x1, x2) + +inst_890: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1780*FLEN/8, x4, x1, x2) + +inst_891: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1782*FLEN/8, x4, x1, x2) + +inst_892: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1784*FLEN/8, x4, x1, x2) + +inst_893: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd5a40c379c682 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed5a40c379c682; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1786*FLEN/8, x4, x1, x2) + +inst_894: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1788*FLEN/8, x4, x1, x2) + +inst_895: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1790*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_8) + +inst_896: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1792*FLEN/8, x4, x1, x2) + +inst_897: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xd5a40c379c682 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffed5a40c379c682; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1794*FLEN/8, x4, x1, x2) + +inst_898: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1796*FLEN/8, x4, x1, x2) + +inst_899: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1798*FLEN/8, x4, x1, x2) + +inst_900: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1800*FLEN/8, x4, x1, x2) + +inst_901: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x77b6702c7d202 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb77b6702c7d202; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1802*FLEN/8, x4, x1, x2) + +inst_902: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1804*FLEN/8, x4, x1, x2) + +inst_903: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x2c91f356ca801 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff82c91f356ca801; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1806*FLEN/8, x4, x1, x2) + +inst_904: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x2c91f356ca801 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xff82c91f356ca801; + valaddr_reg:x3; val_offset:1808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1808*FLEN/8, x4, x1, x2) + +inst_905: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1810*FLEN/8, x4, x1, x2) + +inst_906: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x12f8c3601c4a9 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80012f8c3601c4a9; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1812*FLEN/8, x4, x1, x2) + +inst_907: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x12f8c3601c4a9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x80012f8c3601c4a9; + valaddr_reg:x3; val_offset:1814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1814*FLEN/8, x4, x1, x2) + +inst_908: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1816*FLEN/8, x4, x1, x2) + +inst_909: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1818*FLEN/8, x4, x1, x2) + +inst_910: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1820*FLEN/8, x4, x1, x2) + +inst_911: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1822*FLEN/8, x4, x1, x2) + +inst_912: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1824*FLEN/8, x4, x1, x2) + +inst_913: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:1826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1826*FLEN/8, x4, x1, x2) + +inst_914: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1828*FLEN/8, x4, x1, x2) + +inst_915: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x12f8c3601c4a9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfa7485d0f8ece and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80012f8c3601c4a9; op2val:0x800fa7485d0f8ece; + valaddr_reg:x3; val_offset:1830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1830*FLEN/8, x4, x1, x2) + +inst_916: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 1 and fe2 == 0x000 and fm2 == 0x12f8c3601c4a9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x80012f8c3601c4a9; + valaddr_reg:x3; val_offset:1832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1832*FLEN/8, x4, x1, x2) + +inst_917: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x12f8c3601c4a9 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80012f8c3601c4a9; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1834*FLEN/8, x4, x1, x2) + +inst_918: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0x0; + valaddr_reg:x3; val_offset:1836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1836*FLEN/8, x4, x1, x2) + +inst_919: +// fs1 == 1 and fe1 == 0x3ff and fm1 == 0xa6229168cb10d and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbffa6229168cb10d; op2val:0x0; + valaddr_reg:x3; val_offset:1838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1838*FLEN/8, x4, x1, x2) + +inst_920: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xa6229168cb10d and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xbffa6229168cb10d; + valaddr_reg:x3; val_offset:1840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1840*FLEN/8, x4, x1, x2) + +inst_921: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbdb7a1c11ae96 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xa6229168cb10d and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bdb7a1c11ae96; op2val:0xbffa6229168cb10d; + valaddr_reg:x3; val_offset:1842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1842*FLEN/8, x4, x1, x2) + +inst_922: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1844*FLEN/8, x4, x1, x2) + +inst_923: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb9818fe1eccda and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffeb9818fe1eccda; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1846*FLEN/8, x4, x1, x2) + +inst_924: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xb9818fe1eccda and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xffeb9818fe1eccda; + valaddr_reg:x3; val_offset:1848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1848*FLEN/8, x4, x1, x2) + +inst_925: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1850*FLEN/8, x4, x1, x2) + +inst_926: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1852*FLEN/8, x4, x1, x2) + +inst_927: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1854*FLEN/8, x4, x1, x2) + +inst_928: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x6134731b23d7b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xffb6134731b23d7b; + valaddr_reg:x3; val_offset:1856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1856*FLEN/8, x4, x1, x2) + +inst_929: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1858*FLEN/8, x4, x1, x2) + +inst_930: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1860*FLEN/8, x4, x1, x2) + +inst_931: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1862*FLEN/8, x4, x1, x2) + +inst_932: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1864*FLEN/8, x4, x1, x2) + +inst_933: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1866*FLEN/8, x4, x1, x2) + +inst_934: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb9818fe1eccda and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffeb9818fe1eccda; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1868*FLEN/8, x4, x1, x2) + +inst_935: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1870*FLEN/8, x4, x1, x2) + +inst_936: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1872*FLEN/8, x4, x1, x2) + +inst_937: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1874*FLEN/8, x4, x1, x2) + +inst_938: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xb9818fe1eccda and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffeb9818fe1eccda; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1876*FLEN/8, x4, x1, x2) + +inst_939: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1878*FLEN/8, x4, x1, x2) + +inst_940: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1880*FLEN/8, x4, x1, x2) + +inst_941: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1882*FLEN/8, x4, x1, x2) + +inst_942: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x6134731b23d7b and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb6134731b23d7b; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1884*FLEN/8, x4, x1, x2) + +inst_943: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1886*FLEN/8, x4, x1, x2) + +inst_944: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x1a905c15b6463 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff81a905c15b6463; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1888*FLEN/8, x4, x1, x2) + +inst_945: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x1a905c15b6463 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xff81a905c15b6463; + valaddr_reg:x3; val_offset:1890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1890*FLEN/8, x4, x1, x2) + +inst_946: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1892*FLEN/8, x4, x1, x2) + +inst_947: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x11d5cf49b3fa7 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80011d5cf49b3fa7; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1894*FLEN/8, x4, x1, x2) + +inst_948: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x11d5cf49b3fa7 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x80011d5cf49b3fa7; + valaddr_reg:x3; val_offset:1896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1896*FLEN/8, x4, x1, x2) + +inst_949: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1898*FLEN/8, x4, x1, x2) + +inst_950: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1900*FLEN/8, x4, x1, x2) + +inst_951: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1902*FLEN/8, x4, x1, x2) + +inst_952: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:1904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1904*FLEN/8, x4, x1, x2) + +inst_953: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1906*FLEN/8, x4, x1, x2) + +inst_954: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x11d5cf49b3fa7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfa7485d0f8ece and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80011d5cf49b3fa7; op2val:0x800fa7485d0f8ece; + valaddr_reg:x3; val_offset:1908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1908*FLEN/8, x4, x1, x2) + +inst_955: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 1 and fe2 == 0x000 and fm2 == 0x11d5cf49b3fa7 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x80011d5cf49b3fa7; + valaddr_reg:x3; val_offset:1910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1910*FLEN/8, x4, x1, x2) + +inst_956: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x11d5cf49b3fa7 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x80011d5cf49b3fa7; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1912*FLEN/8, x4, x1, x2) + +inst_957: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0x0; + valaddr_reg:x3; val_offset:1914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1914*FLEN/8, x4, x1, x2) + +inst_958: +// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x8cd8a372f0f06 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbff8cd8a372f0f06; op2val:0x0; + valaddr_reg:x3; val_offset:1916*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1916*FLEN/8, x4, x1, x2) + +inst_959: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x8cd8a372f0f06 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xbff8cd8a372f0f06; + valaddr_reg:x3; val_offset:1918*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1918*FLEN/8, x4, x1, x2) + +inst_960: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xb25a18e107c85 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x8cd8a372f0f06 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800b25a18e107c85; op2val:0xbff8cd8a372f0f06; + valaddr_reg:x3; val_offset:1920*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1920*FLEN/8, x4, x1, x2) + +inst_961: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1922*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1922*FLEN/8, x4, x1, x2) + +inst_962: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x39e41023e1389 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe39e41023e1389; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1924*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1924*FLEN/8, x4, x1, x2) + +inst_963: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x39e41023e1389 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xffe39e41023e1389; + valaddr_reg:x3; val_offset:1926*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1926*FLEN/8, x4, x1, x2) + +inst_964: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1928*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1928*FLEN/8, x4, x1, x2) + +inst_965: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1930*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1930*FLEN/8, x4, x1, x2) + +inst_966: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:1932*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1932*FLEN/8, x4, x1, x2) + +inst_967: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x7fa and fm2 == 0xf639b36c9b8db and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xffaf639b36c9b8db; + valaddr_reg:x3; val_offset:1934*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1934*FLEN/8, x4, x1, x2) + +inst_968: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1936*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1936*FLEN/8, x4, x1, x2) + +inst_969: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:1938*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1938*FLEN/8, x4, x1, x2) + +inst_970: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1940*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1940*FLEN/8, x4, x1, x2) + +inst_971: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:1942*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1942*FLEN/8, x4, x1, x2) + +inst_972: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1944*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1944*FLEN/8, x4, x1, x2) + +inst_973: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x39e41023e1389 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe39e41023e1389; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:1946*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1946*FLEN/8, x4, x1, x2) + +inst_974: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1948*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1948*FLEN/8, x4, x1, x2) + +inst_975: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:1950*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1950*FLEN/8, x4, x1, x2) + +inst_976: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1952*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1952*FLEN/8, x4, x1, x2) + +inst_977: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0x39e41023e1389 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffe39e41023e1389; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:1954*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1954*FLEN/8, x4, x1, x2) + +inst_978: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1956*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1956*FLEN/8, x4, x1, x2) + +inst_979: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:1958*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1958*FLEN/8, x4, x1, x2) + +inst_980: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1960*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1960*FLEN/8, x4, x1, x2) + +inst_981: +// fs1 == 1 and fe1 == 0x7fa and fm1 == 0xf639b36c9b8db and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffaf639b36c9b8db; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:1962*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1962*FLEN/8, x4, x1, x2) + +inst_982: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1964*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1964*FLEN/8, x4, x1, x2) + +inst_983: +// fs1 == 1 and fe1 == 0x7f7 and fm1 == 0x91c7c2bd493e3 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff791c7c2bd493e3; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:1966*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1966*FLEN/8, x4, x1, x2) + +inst_984: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x7f7 and fm2 == 0x91c7c2bd493e3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xff791c7c2bd493e3; + valaddr_reg:x3; val_offset:1968*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1968*FLEN/8, x4, x1, x2) + +inst_985: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1970*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1970*FLEN/8, x4, x1, x2) + +inst_986: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0cae158f8de83 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000cae158f8de83; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:1972*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1972*FLEN/8, x4, x1, x2) + +inst_987: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0cae158f8de83 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x8000cae158f8de83; + valaddr_reg:x3; val_offset:1974*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1974*FLEN/8, x4, x1, x2) + +inst_988: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:1976*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1976*FLEN/8, x4, x1, x2) + +inst_989: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:1978*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1978*FLEN/8, x4, x1, x2) + +inst_990: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1980*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1980*FLEN/8, x4, x1, x2) + +inst_991: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0cae158f8de83 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfa7485d0f8ece and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000cae158f8de83; op2val:0x800fa7485d0f8ece; + valaddr_reg:x3; val_offset:1982*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1982*FLEN/8, x4, x1, x2) + +inst_992: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 1 and fe2 == 0x000 and fm2 == 0x0cae158f8de83 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x8000cae158f8de83; + valaddr_reg:x3; val_offset:1984*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1984*FLEN/8, x4, x1, x2) + +inst_993: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x0cae158f8de83 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8000cae158f8de83; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:1986*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1986*FLEN/8, x4, x1, x2) + +inst_994: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0x0; + valaddr_reg:x3; val_offset:1988*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1988*FLEN/8, x4, x1, x2) + +inst_995: +// fs1 == 1 and fe1 == 0x3ff and fm1 == 0x1a23c57d41a27 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbff1a23c57d41a27; op2val:0x0; + valaddr_reg:x3; val_offset:1990*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1990*FLEN/8, x4, x1, x2) + +inst_996: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x1a23c57d41a27 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xbff1a23c57d41a27; + valaddr_reg:x3; val_offset:1992*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1992*FLEN/8, x4, x1, x2) + +inst_997: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x7eccd79b8b11e and fs2 == 1 and fe2 == 0x3ff and fm2 == 0x1a23c57d41a27 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x8007eccd79b8b11e; op2val:0xbff1a23c57d41a27; + valaddr_reg:x3; val_offset:1994*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1994*FLEN/8, x4, x1, x2) + +inst_998: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1996*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1996*FLEN/8, x4, x1, x2) + +inst_999: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xdaf87e09a11da and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffedaf87e09a11da; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:1998*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 1998*FLEN/8, x4, x1, x2) + +inst_1000: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0xdaf87e09a11da and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xffedaf87e09a11da; + valaddr_reg:x3; val_offset:2000*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2000*FLEN/8, x4, x1, x2) + +inst_1001: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:2002*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2002*FLEN/8, x4, x1, x2) + +inst_1002: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:2004*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2004*FLEN/8, x4, x1, x2) + +inst_1003: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:2006*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2006*FLEN/8, x4, x1, x2) + +inst_1004: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x7fb and fm2 == 0x7bf9fe6e1a7e1 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xffb7bf9fe6e1a7e1; + valaddr_reg:x3; val_offset:2008*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2008*FLEN/8, x4, x1, x2) + +inst_1005: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:2010*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2010*FLEN/8, x4, x1, x2) + +inst_1006: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:2012*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2012*FLEN/8, x4, x1, x2) + +inst_1007: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:2014*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2014*FLEN/8, x4, x1, x2) + +inst_1008: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:2016*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2016*FLEN/8, x4, x1, x2) + +inst_1009: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:2018*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2018*FLEN/8, x4, x1, x2) + +inst_1010: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xdaf87e09a11da and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffedaf87e09a11da; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:2020*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2020*FLEN/8, x4, x1, x2) + +inst_1011: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:2022*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2022*FLEN/8, x4, x1, x2) + +inst_1012: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:2024*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2024*FLEN/8, x4, x1, x2) + +inst_1013: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:2026*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2026*FLEN/8, x4, x1, x2) + +inst_1014: +// fs1 == 1 and fe1 == 0x7fe and fm1 == 0xdaf87e09a11da and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffedaf87e09a11da; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:2028*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2028*FLEN/8, x4, x1, x2) + +inst_1015: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:2030*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2030*FLEN/8, x4, x1, x2) + +inst_1016: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:2032*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2032*FLEN/8, x4, x1, x2) + +inst_1017: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:2034*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2034*FLEN/8, x4, x1, x2) + +inst_1018: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0x7bf9fe6e1a7e1 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffb7bf9fe6e1a7e1; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:2036*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2036*FLEN/8, x4, x1, x2) + +inst_1019: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:2038*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2038*FLEN/8, x4, x1, x2) + +inst_1020: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x2ffb31f1aecb4 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff82ffb31f1aecb4; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:2040*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2040*FLEN/8, x4, x1, x2) + +inst_1021: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x2ffb31f1aecb4 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xff82ffb31f1aecb4; + valaddr_reg:x3; val_offset:2042*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2042*FLEN/8, x4, x1, x2) + +inst_1022: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:2044*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2044*FLEN/8, x4, x1, x2) + +inst_1023: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x132fe1b33da32 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800132fe1b33da32; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:2046*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2046*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_9) + +inst_1024: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x132fe1b33da32 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x800132fe1b33da32; + valaddr_reg:x3; val_offset:2048*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2048*FLEN/8, x4, x1, x2) + +inst_1025: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:2050*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2050*FLEN/8, x4, x1, x2) + +inst_1026: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x132fe1b33da32 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfa7485d0f8ece and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800132fe1b33da32; op2val:0x800fa7485d0f8ece; + valaddr_reg:x3; val_offset:2052*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2052*FLEN/8, x4, x1, x2) + +inst_1027: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 1 and fe2 == 0x000 and fm2 == 0x132fe1b33da32 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x800132fe1b33da32; + valaddr_reg:x3; val_offset:2054*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2054*FLEN/8, x4, x1, x2) + +inst_1028: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x132fe1b33da32 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800132fe1b33da32; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:2056*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2056*FLEN/8, x4, x1, x2) + +inst_1029: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0x0; + valaddr_reg:x3; val_offset:2058*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2058*FLEN/8, x4, x1, x2) + +inst_1030: +// fs1 == 1 and fe1 == 0x3ff and fm1 == 0xaaecfe8e63ec3 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xbffaaecfe8e63ec3; op2val:0x0; + valaddr_reg:x3; val_offset:2060*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2060*FLEN/8, x4, x1, x2) + +inst_1031: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xaaecfe8e63ec3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xbffaaecfe8e63ec3; + valaddr_reg:x3; val_offset:2062*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2062*FLEN/8, x4, x1, x2) + +inst_1032: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xbfded100685f6 and fs2 == 1 and fe2 == 0x3ff and fm2 == 0xaaecfe8e63ec3 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800bfded100685f6; op2val:0xbffaaecfe8e63ec3; + valaddr_reg:x3; val_offset:2064*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2064*FLEN/8, x4, x1, x2) + +inst_1033: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:2066*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2066*FLEN/8, x4, x1, x2) + +inst_1034: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xfff0000000000000; + valaddr_reg:x3; val_offset:2068*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2068*FLEN/8, x4, x1, x2) + +inst_1035: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:2070*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2070*FLEN/8, x4, x1, x2) + +inst_1036: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:2072*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2072*FLEN/8, x4, x1, x2) + +inst_1037: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:2074*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2074*FLEN/8, x4, x1, x2) + +inst_1038: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x7fb and fm2 == 0xefff2c6cde040 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xffbefff2c6cde040; + valaddr_reg:x3; val_offset:2076*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2076*FLEN/8, x4, x1, x2) + +inst_1039: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:2078*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2078*FLEN/8, x4, x1, x2) + +inst_1040: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:2080*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2080*FLEN/8, x4, x1, x2) + +inst_1041: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:2082*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2082*FLEN/8, x4, x1, x2) + +inst_1042: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:2084*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2084*FLEN/8, x4, x1, x2) + +inst_1043: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:2086*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2086*FLEN/8, x4, x1, x2) + +inst_1044: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:2088*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2088*FLEN/8, x4, x1, x2) + +inst_1045: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:2090*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2090*FLEN/8, x4, x1, x2) + +inst_1046: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:2092*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2092*FLEN/8, x4, x1, x2) + +inst_1047: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:2094*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2094*FLEN/8, x4, x1, x2) + +inst_1048: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:2096*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2096*FLEN/8, x4, x1, x2) + +inst_1049: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:2098*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2098*FLEN/8, x4, x1, x2) + +inst_1050: +// fs1 == 1 and fe1 == 0x7fb and fm1 == 0xefff2c6cde040 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xffbefff2c6cde040; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:2100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2100*FLEN/8, x4, x1, x2) + +inst_1051: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:2102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2102*FLEN/8, x4, x1, x2) + +inst_1052: +// fs1 == 1 and fe1 == 0x7f8 and fm1 == 0x8ccc238a4b367 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xff88ccc238a4b367; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:2104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2104*FLEN/8, x4, x1, x2) + +inst_1053: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x7f8 and fm2 == 0x8ccc238a4b367 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xff88ccc238a4b367; + valaddr_reg:x3; val_offset:2106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2106*FLEN/8, x4, x1, x2) + +inst_1054: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:2108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2108*FLEN/8, x4, x1, x2) + +inst_1055: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:2110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2110*FLEN/8, x4, x1, x2) + +inst_1056: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xfa7485d0f8ece and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x800fa7485d0f8ece; + valaddr_reg:x3; val_offset:2112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2112*FLEN/8, x4, x1, x2) + +inst_1057: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:2114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2114*FLEN/8, x4, x1, x2) + +inst_1058: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:2116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2116*FLEN/8, x4, x1, x2) + +inst_1059: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:2118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2118*FLEN/8, x4, x1, x2) + +inst_1060: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:2120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2120*FLEN/8, x4, x1, x2) + +inst_1061: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:2122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2122*FLEN/8, x4, x1, x2) + +inst_1062: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:2124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2124*FLEN/8, x4, x1, x2) + +inst_1063: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:2126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2126*FLEN/8, x4, x1, x2) + +inst_1064: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:2128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2128*FLEN/8, x4, x1, x2) + +inst_1065: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:2130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2130*FLEN/8, x4, x1, x2) + +inst_1066: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:2132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2132*FLEN/8, x4, x1, x2) + +inst_1067: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:2134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2134*FLEN/8, x4, x1, x2) + +inst_1068: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:2136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2136*FLEN/8, x4, x1, x2) + +inst_1069: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:2138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2138*FLEN/8, x4, x1, x2) + +inst_1070: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0xfa7485d0f8ece and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800fa7485d0f8ece; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:2140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2140*FLEN/8, x4, x1, x2) + +inst_1071: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0x0; + valaddr_reg:x3; val_offset:2142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2142*FLEN/8, x4, x1, x2) + +inst_1072: +// fs1 == 1 and fe1 == 0x400 and fm1 == 0x16a3ffd234a38 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0xc0016a3ffd234a38; op2val:0x0; + valaddr_reg:x3; val_offset:2144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2144*FLEN/8, x4, x1, x2) + +inst_1073: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x400 and fm2 == 0x16a3ffd234a38 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc0016a3ffd234a38; + valaddr_reg:x3; val_offset:2146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2146*FLEN/8, x4, x1, x2) + +inst_1074: +// fs1 == 1 and fe1 == 0x000 and fm1 == 0x190ba6fb4c17b and fs2 == 1 and fe2 == 0x400 and fm2 == 0x16a3ffd234a38 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x800190ba6fb4c17b; op2val:0xc0016a3ffd234a38; + valaddr_reg:x3; val_offset:2148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2148*FLEN/8, x4, x1, x2) + +inst_1075: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xb0580f98a7dbd and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x7feb0580f98a7dbd; + valaddr_reg:x3; val_offset:2150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2150*FLEN/8, x4, x1, x2) + +inst_1076: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:2152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2152*FLEN/8, x4, x1, x2) + +inst_1077: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:2154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2154*FLEN/8, x4, x1, x2) + +inst_1078: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0xe809082dd48fb and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x7fde809082dd48fb; + valaddr_reg:x3; val_offset:2156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2156*FLEN/8, x4, x1, x2) + +inst_1079: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fd and fm2 == 0x209a1991e3307 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x7fd209a1991e3307; + valaddr_reg:x3; val_offset:2158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2158*FLEN/8, x4, x1, x2) + +inst_1080: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x7fe and fm2 == 0xd1ca42e21585b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x7fed1ca42e21585b; + valaddr_reg:x3; val_offset:2160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2160*FLEN/8, x4, x1, x2) + +inst_1081: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xefb59a1c18f98 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xffcefb59a1c18f98; + valaddr_reg:x3; val_offset:2162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2162*FLEN/8, x4, x1, x2) + +inst_1082: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fe and fm2 == 0x30ac79053ba62 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xffe30ac79053ba62; + valaddr_reg:x3; val_offset:2164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2164*FLEN/8, x4, x1, x2) + +inst_1083: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fd and fm2 == 0xaa9de60dde106 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xffdaa9de60dde106; + valaddr_reg:x3; val_offset:2166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2166*FLEN/8, x4, x1, x2) + +inst_1084: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7fc and fm2 == 0xf41cece7b92c0 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xffcf41cece7b92c0; + valaddr_reg:x3; val_offset:2168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2168*FLEN/8, x4, x1, x2) + +inst_1085: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x7f9 and fm2 == 0x1a0af25bcea80 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xff91a0af25bcea80; + valaddr_reg:x3; val_offset:2170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2170*FLEN/8, x4, x1, x2) + +inst_1086: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x6baa94414ba5e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x6baa94414ba5e; + valaddr_reg:x3; val_offset:2172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2172*FLEN/8, x4, x1, x2) + +inst_1087: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xc8a7063a8e27a and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xc8a7063a8e27a; + valaddr_reg:x3; val_offset:2174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2174*FLEN/8, x4, x1, x2) + +inst_1088: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xe87a1606fd7b9 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xe87a1606fd7b9; + valaddr_reg:x3; val_offset:2176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2176*FLEN/8, x4, x1, x2) + +inst_1089: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0x9e4d6e3994b4b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x9e4d6e3994b4b; + valaddr_reg:x3; val_offset:2178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2178*FLEN/8, x4, x1, x2) + +inst_1090: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 0 and fe2 == 0x000 and fm2 == 0xcf6a659342c81 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0xcf6a659342c81; + valaddr_reg:x3; val_offset:2180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2180*FLEN/8, x4, x1, x2) + +inst_1091: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbdb7a1c11ae96 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x800bdb7a1c11ae96; + valaddr_reg:x3; val_offset:2182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2182*FLEN/8, x4, x1, x2) + +inst_1092: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xb25a18e107c85 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x800b25a18e107c85; + valaddr_reg:x3; val_offset:2184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2184*FLEN/8, x4, x1, x2) + +inst_1093: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x7eccd79b8b11e and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x8007eccd79b8b11e; + valaddr_reg:x3; val_offset:2186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2186*FLEN/8, x4, x1, x2) + +inst_1094: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0xbfded100685f6 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x800bfded100685f6; + valaddr_reg:x3; val_offset:2188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2188*FLEN/8, x4, x1, x2) + +inst_1095: +// fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fs2 == 1 and fe2 == 0x000 and fm2 == 0x190ba6fb4c17b and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x800190ba6fb4c17b; + valaddr_reg:x3; val_offset:2190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2190*FLEN/8, x4, x1, x2) + +inst_1096: +// fs1 == 0 and fe1 == 0x7fe and fm1 == 0xb0580f98a7dbd and fs2 == 0 and fe2 == 0x7fe and fm2 == 0x05c5ccdf19706 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7feb0580f98a7dbd; op2val:0x7fe05c5ccdf19706; + valaddr_reg:x3; val_offset:2192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2192*FLEN/8, x4, x1, x2) + +inst_1097: +// fs1 == 0 and fe1 == 0x7fb and fm1 == 0x59e00c7a1fe31 and fs2 == 0 and fe2 == 0x7ff and fm2 == 0x0000000000000 and fcsr == 0 +/* opcode: fminm.d ; op1:f30; op2:f29; dest:f31; op1val:0x7fb59e00c7a1fe31; op2val:0x7ff0000000000000; + valaddr_reg:x3; val_offset:2194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.d, f31, f30, f29, 0, 0, x3, 2194*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9187707400766357133,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9187707400766357133,64,FLEN) +NAN_BOXED(9187707400766357133,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9187707400766357133,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(307248920905963,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(307248920905963,64,FLEN) +NAN_BOXED(307248920905963,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(307248920905963,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(9218599460877896020,64,FLEN) +NAN_BOXED(9218599460877896020,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(9216755159709991930,64,FLEN) +NAN_BOXED(9216755159709991930,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(18441495283355993730,64,FLEN) +NAN_BOXED(18441495283355993730,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(18441000332308696282,64,FLEN) +NAN_BOXED(18441000332308696282,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(18438755301432628105,64,FLEN) +NAN_BOXED(18438755301432628105,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(18441589047275688410,64,FLEN) +NAN_BOXED(18441589047275688410,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(3072489209059625,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(307248920905963,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(307248920905963,64,FLEN) +NAN_BOXED(307248920905963,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609515334592270952,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4609515334592270952,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(4609515334592270952,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9202905851729561263,64,FLEN) +NAN_BOXED(9202905851729561263,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18423794679187843638,64,FLEN) +NAN_BOXED(18423794679187843638,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9199215084726029116,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(9199215084726029116,64,FLEN) +NAN_BOXED(9199215084726029116,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9199215084726029116,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(1860309585111937,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(1860309585111937,64,FLEN) +NAN_BOXED(1860309585111937,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(1860309585111937,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(13657973217520835,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(1860309585111937,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(1860309585111937,64,FLEN) +NAN_BOXED(1860309585111937,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621363775837962087,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621363775837962087,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(4621363775837962087,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9198715325431587017,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(9198715325431587017,64,FLEN) +NAN_BOXED(9198715325431587017,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9198715325431587017,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(1734132052669129,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(1734132052669129,64,FLEN) +NAN_BOXED(1734132052669129,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(1734132052669129,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(13174259890716142,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(1734132052669129,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(1734132052669129,64,FLEN) +NAN_BOXED(1734132052669129,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621012832926750291,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621012832926750291,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(4621012832926750291,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9195466662626323263,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(9195466662626323263,64,FLEN) +NAN_BOXED(9195466662626323263,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9195466662626323263,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(1025487123596812,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(1025487123596812,64,FLEN) +NAN_BOXED(1025487123596812,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(1025487123596812,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9631035245354556,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(1025487123596812,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(1025487123596812,64,FLEN) +NAN_BOXED(1025487123596812,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4617390479904765428,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4617390479904765428,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(4617390479904765428,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9202905851729561263,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9202905851729561263,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9202905851729561263,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9202905851729561263,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9202905851729561263,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9202905851729561263,64,FLEN) +NAN_BOXED(9202905851729561263,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9202905851729561263,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9202905851729561263,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9188083970319648089,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9188083970319648089,64,FLEN) +NAN_BOXED(9188083970319648089,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9188083970319648089,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(331017671960601,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(331017671960601,64,FLEN) +NAN_BOXED(331017671960601,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(331017671960601,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(9218599460877896020,64,FLEN) +NAN_BOXED(9218599460877896020,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(9216755159709991930,64,FLEN) +NAN_BOXED(9216755159709991930,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(18441495283355993730,64,FLEN) +NAN_BOXED(18441495283355993730,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(18441000332308696282,64,FLEN) +NAN_BOXED(18441000332308696282,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(18438755301432628105,64,FLEN) +NAN_BOXED(18438755301432628105,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(18441589047275688410,64,FLEN) +NAN_BOXED(18441589047275688410,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(3310176719606006,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(331017671960601,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(331017671960601,64,FLEN) +NAN_BOXED(331017671960601,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610044206858481358,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610044206858481358,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(4610044206858481358,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18423794679187843638,64,FLEN) +NAN_BOXED(18423794679187843638,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18417691766039225875,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18417691766039225875,64,FLEN) +NAN_BOXED(18417691766039225875,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18417691766039225875,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9224252737044761660,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9224252737044761660,64,FLEN) +NAN_BOXED(9224252737044761660,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9224252737044761660,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9232179038754634330,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9224252737044761660,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(9224252737044761660,64,FLEN) +NAN_BOXED(9224252737044761660,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13839957112706963326,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13839957112706963326,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(13839957112706963326,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18423794679187843638,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18423794679187843638,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18423794679187843638,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18423794679187843638,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18423794679187843638,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18423794679187843638,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18423794679187843638,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18408568719731755051,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18408568719731755051,64,FLEN) +NAN_BOXED(18408568719731755051,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18408568719731755051,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9223588555818379289,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9223588555818379289,64,FLEN) +NAN_BOXED(9223588555818379289,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9223588555818379289,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9218599460877896020,64,FLEN) +NAN_BOXED(9218599460877896020,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9216755159709991930,64,FLEN) +NAN_BOXED(9216755159709991930,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(18441495283355993730,64,FLEN) +NAN_BOXED(18441495283355993730,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(18441000332308696282,64,FLEN) +NAN_BOXED(18441000332308696282,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(18438755301432628105,64,FLEN) +NAN_BOXED(18438755301432628105,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(18441589047275688410,64,FLEN) +NAN_BOXED(18441589047275688410,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9225537226490810620,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9223588555818379289,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223588555818379289,64,FLEN) +NAN_BOXED(9223588555818379289,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13830868562885274501,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13830868562885274501,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(13830868562885274501,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18421222973891929912,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18421222973891929912,64,FLEN) +NAN_BOXED(18421222973891929912,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18421222973891929912,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9224887931055953850,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9224887931055953850,64,FLEN) +NAN_BOXED(9224887931055953850,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9224887931055953850,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9235455107488036512,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9224887931055953850,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(9224887931055953850,64,FLEN) +NAN_BOXED(9224887931055953850,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13843490496680423504,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13843490496680423504,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(13843490496680423504,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18417753741299630643,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18417753741299630643,64,FLEN) +NAN_BOXED(18417753741299630643,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18417753741299630643,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9224260560696584988,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9224260560696584988,64,FLEN) +NAN_BOXED(9224260560696584988,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9224260560696584988,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9232257275272867610,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9224260560696584988,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(9224260560696584988,64,FLEN) +NAN_BOXED(9224260560696584988,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13840000633214838699,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13840000633214838699,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(13840000633214838699,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18430428261468874016,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9223998399602469818,64,FLEN) +NAN_BOXED(9199348865156658148,64,FLEN) +NAN_BOXED(9199348865156658148,64,FLEN) +NAN_BOXED(9223998399602469818,64,FLEN) +NAN_BOXED(9223998399602469818,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9223998399602469818,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9188432102867789632,64,FLEN) +NAN_BOXED(9188432102867789632,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9189319094843824265,64,FLEN) +NAN_BOXED(9189319094843824265,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9187160260404850188,64,FLEN) +NAN_BOXED(9187160260404850188,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9188620601927001273,64,FLEN) +NAN_BOXED(9188620601927001273,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(18411499362521491457,64,FLEN) +NAN_BOXED(18411499362521491457,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(18411182593851221091,64,FLEN) +NAN_BOXED(18411182593851221091,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(18408776271490487267,64,FLEN) +NAN_BOXED(18408776271490487267,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(18411559371430096052,64,FLEN) +NAN_BOXED(18411559371430096052,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9229635664331715905,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9223998399602469818,64,FLEN) +NAN_BOXED(18413192211050312551,64,FLEN) +NAN_BOXED(18413192211050312551,64,FLEN) +NAN_BOXED(9223998399602469818,64,FLEN) +NAN_BOXED(9223998399602469818,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13837522972533976630,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13837522972533976630,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(13837522972533976630,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9214550004031086702,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9199348865156658148,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9199348865156658148,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(352991503351052,64,FLEN) +NAN_BOXED(352991503351052,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(408977685137606,64,FLEN) +NAN_BOXED(408977685137606,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(278488638300449,64,FLEN) +NAN_BOXED(278488638300449,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(364889404212339,64,FLEN) +NAN_BOXED(364889404212339,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(9223705791079498921,64,FLEN) +NAN_BOXED(9223705791079498921,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(9223685796909498279,64,FLEN) +NAN_BOXED(9223685796909498279,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(9223595106063933059,64,FLEN) +NAN_BOXED(9223595106063933059,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(9223709578790951474,64,FLEN) +NAN_BOXED(9223709578790951474,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(13742414291522027,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621457719651134248,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4621457719651134248,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(4621457719651134248,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9218599460877896020,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9218599460877896020,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9218599460877896020,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9218599460877896020,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9203341017414738192,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9188432102867789632,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9188432102867789632,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(352991503351052,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(352991503351052,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(352991503351052,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(352991503351052,64,FLEN) +NAN_BOXED(352991503351052,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610533140836462746,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610533140836462746,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(4610533140836462746,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9204449757384781484,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9189319094843824265,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9189319094843824265,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(408977685137606,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(408977685137606,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(408977685137606,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(408977685137606,64,FLEN) +NAN_BOXED(408977685137606,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4611732446579579786,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4611732446579579786,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(4611732446579579786,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9216755159709991930,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9216755159709991930,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9216755159709991930,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9216755159709991930,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9201865576480414920,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9187160260404850188,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9187160260404850188,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(278488638300449,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(278488638300449,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(278488638300449,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(278488638300449,64,FLEN) +NAN_BOXED(278488638300449,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4608875397062382879,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4608875397062382879,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(4608875397062382879,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9203576641238752743,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9188620601927001273,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9188620601927001273,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(364889404212339,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(364889404212339,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(364889404212339,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(364889404212339,64,FLEN) +NAN_BOXED(364889404212339,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610797877918238349,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4610797877918238349,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(4610797877918238349,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18441495283355993730,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18441495283355993730,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18441495283355993730,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18441495283355993730,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18426332082768171522,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18411499362521491457,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(18411499362521491457,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9223705791079498921,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9223705791079498921,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9223705791079498921,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9223705791079498921,64,FLEN) +NAN_BOXED(9223705791079498921,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833477134033400077,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833477134033400077,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(13833477134033400077,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18441000332308696282,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18441000332308696282,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18441000332308696282,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18441000332308696282,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18425936121930333563,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18411182593851221091,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(18411182593851221091,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9223685796909498279,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9223685796909498279,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9223685796909498279,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9223685796909498279,64,FLEN) +NAN_BOXED(9223685796909498279,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833032248983490310,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833032248983490310,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(13833032248983490310,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18438755301432628105,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18438755301432628105,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18438755301432628105,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18438755301432628105,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18424054118886258907,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18408776271490487267,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(18408776271490487267,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9223595106063933059,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9223595106063933059,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9223595106063933059,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9223595106063933059,64,FLEN) +NAN_BOXED(9223595106063933059,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13831014310686759463,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13831014310686759463,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(13831014310686759463,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(18441589047275688410,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18441589047275688410,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(18441589047275688410,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(18441589047275688410,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18426407093903927265,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18411559371430096052,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(18411559371430096052,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9223709578790951474,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9223709578790951474,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9223709578790951474,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9223709578790951474,64,FLEN) +NAN_BOXED(9223709578790951474,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833561413410766531,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13833561413410766531,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(13833561413410766531,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(18428448143429197888,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(18413192211050312551,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(18413192211050312551,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9227778090746220238,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13835456353321306680,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(13835456353321306680,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(13835456353321306680,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9213943245805799675,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9210434777589363463,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9218055503771424859,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18432946687258496920,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18438593151621118562,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18436234797167534342,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18433024156334002880,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(18415677025407527552,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(1894086014712414,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(3529915033510522,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(4089776851376057,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(2784886383004491,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(3648894042123393,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9226709579102006934,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9226509637402000517,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9225602728946348318,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9226747456216532470,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223812642243920251,64,FLEN) +NAN_BOXED(9217467113844407741,64,FLEN) +NAN_BOXED(9214466391261943558,64,FLEN) +NAN_BOXED(9202435139787947569,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_5: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_6: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_7: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_8: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_9: + .fill 148*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fminm_b1-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fminm_b1-01.S new file mode 100644 index 000000000..0522c45e8 --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fminm_b1-01.S @@ -0,0 +1,409 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:37:10 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fminm.s.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fminm.s instruction of the RISC-V RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fminm_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fminm_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs2 == rd != rs1, rs1==f30, rs2==f31, rd==f31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f30; op2:f31; dest:f31; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rd != rs2, rs1==f29, rs2==f30, rd==f29,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f29; op2:f30; dest:f29; op1val:0x0; op2val:0x80000000; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f29, f29, f30, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs1 == rs2 != rd, rs1==f28, rs2==f28, rd==f30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f28; op2:f28; dest:f30; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f30, f28, f28, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f31, rs2==f29, rd==f28,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f31; op2:f29; dest:f28; op1val:0x0; op2val:0x80000001; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f28, f31, f29, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs1 == rs2 == rd, rs1==f27, rs2==f27, rd==f27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f27; op2:f27; dest:f27; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f27, f27, f27, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rs2==f24, rd==f26,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f25; op2:f24; dest:f26; op1val:0x0; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f26, f25, f24, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f24, rs2==f26, rd==f25,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f24; op2:f26; dest:f25; op1val:0x0; op2val:0x7fffff; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f25, f24, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f26, rs2==f25, rd==f24,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f26; op2:f25; dest:f24; op1val:0x0; op2val:0x807fffff; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f24, f26, f25, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f22, rs2==f21, rd==f23,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f22; op2:f21; dest:f23; op1val:0x0; op2val:0x800000; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f23, f22, f21, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rs2==f23, rd==f22,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f21; op2:f23; dest:f22; op1val:0x0; op2val:0x80800000; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f22, f21, f23, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f23, rs2==f22, rd==f21,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f23; op2:f22; dest:f21; op1val:0x0; op2val:0x800001; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f21, f23, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rs2==f18, rd==f20,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f19; op2:f18; dest:f20; op1val:0x0; op2val:0x80855555; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f20, f19, f18, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f18, rs2==f20, rd==f19,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f18; op2:f20; dest:f19; op1val:0x0; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f19, f18, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f20, rs2==f19, rd==f18,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f20; op2:f19; dest:f18; op1val:0x0; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f18, f20, f19, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f16, rs2==f15, rd==f17,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f16; op2:f15; dest:f17; op1val:0x0; op2val:0x7f800000; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f17, f16, f15, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rs2==f17, rd==f16,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f15; op2:f17; dest:f16; op1val:0x0; op2val:0xff800000; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f16, f15, f17, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f17, rs2==f16, rd==f15,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f17; op2:f16; dest:f15; op1val:0x0; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f15, f17, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rs2==f12, rd==f14,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f13; op2:f12; dest:f14; op1val:0x0; op2val:0xffc00000; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f14, f13, f12, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f12, rs2==f14, rd==f13,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f12; op2:f14; dest:f13; op1val:0x0; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f13, f12, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f14, rs2==f13, rd==f12,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f14; op2:f13; dest:f12; op1val:0x0; op2val:0xffc55555; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f12, f14, f13, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f10, rs2==f9, rd==f11,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f10; op2:f9; dest:f11; op1val:0x0; op2val:0x7f800001; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f11, f10, f9, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rs2==f11, rd==f10,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f9; op2:f11; dest:f10; op1val:0x0; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f10, f9, f11, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f11, rs2==f10, rd==f9,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f11; op2:f10; dest:f9; op1val:0x0; op2val:0x3f800000; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f9, f11, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rs2==f6, rd==f8,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f7; op2:f6; dest:f8; op1val:0x0; op2val:0xbf800000; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f8, f7, f6, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f6, rs2==f8, rd==f7,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f6; op2:f8; dest:f7; op1val:0x80000000; op2val:0x0; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f7, f6, f8, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f8, rs2==f7, rd==f6,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f8; op2:f7; dest:f6; op1val:0x80000000; op2val:0x80000000; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f6, f8, f7, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f4, rs2==f3, rd==f5,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f4; op2:f3; dest:f5; op1val:0x80000000; op2val:0x1; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f5, f4, f3, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rs2==f5, rd==f4,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f3; op2:f5; dest:f4; op1val:0x80000000; op2val:0x80000001; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f4, f3, f5, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f5, rs2==f4, rd==f3,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f5; op2:f4; dest:f3; op1val:0x80000000; op2val:0x2; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f3, f5, f4, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rs2==f0, rd==f2,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f1; op2:f0; dest:f2; op1val:0x80000000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f2, f1, f0, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f0, rs2==f2, rd==f1,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f0; op2:f2; dest:f1; op1val:0x80000000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f1, f0, f2, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f2, rs2==f1, rd==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f2; op2:f1; dest:f0; op1val:0x80000000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f0, f2, f1, 0, 0, x3, 62*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 64*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fminm_b19-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fminm_b19-01.S new file mode 100644 index 000000000..9fca7f966 --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fminm_b19-01.S @@ -0,0 +1,409 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:37:10 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fminm.s.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fminm.s instruction of the RISC-V RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fminm_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fminm_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs2 == rd != rs1, rs1==f30, rs2==f31, rd==f31,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f30; op2:f31; dest:f31; op1val:0x7f222105; op2val:0x7f222105; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rd != rs2, rs1==f29, rs2==f30, rd==f29,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f29; op2:f30; dest:f29; op1val:0x7f222105; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f29, f29, f30, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs1 == rs2 != rd, rs1==f28, rs2==f28, rd==f30,fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f28; op2:f28; dest:f30; op1val:0x7ec45459; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f30, f28, f28, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f31, rs2==f29, rd==f28,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f31; op2:f29; dest:f28; op1val:0x7f222105; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f28, f31, f29, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs1 == rs2 == rd, rs1==f27, rs2==f27, rd==f27,fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f27; op2:f27; dest:f27; op1val:0x7eb70362; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f27, f27, f27, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rs2==f24, rd==f26,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f25; op2:f24; dest:f26; op1val:0x7f222105; op2val:0x7e587392; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f26, f25, f24, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f24, rs2==f26, rd==f25,fs1 == 0 and fe1 == 0xfb and fm1 == 0x01b404 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f24; op2:f26; dest:f25; op1val:0x7d81b404; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f25, f24, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f26, rs2==f25, rd==f24,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x01b404 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f26; op2:f25; dest:f24; op1val:0x7f7fffff; op2val:0x7d81b404; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f24, f26, f25, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f22, rs2==f21, rd==f23,fs1 == 0 and fe1 == 0xfb and fm1 == 0x01b404 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f22; op2:f21; dest:f23; op1val:0x7d81b404; op2val:0x7e587392; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f23, f22, f21, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rs2==f23, rd==f22,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x01b404 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f21; op2:f23; dest:f22; op1val:0x7f222105; op2val:0x7d81b404; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f22, f21, f23, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f23, rs2==f22, rd==f21,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f23; op2:f22; dest:f21; op1val:0x7f222105; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f21, f23, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rs2==f18, rd==f20,fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f19; op2:f18; dest:f20; op1val:0x7f2eabd8; op2val:0x7f222105; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f20, f19, f18, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f18, rs2==f20, rd==f19,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f18; op2:f20; dest:f19; op1val:0x7f222105; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f19, f18, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f20, rs2==f19, rd==f18,fs1 == 0 and fe1 == 0xfb and fm1 == 0x01b404 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f20; op2:f19; dest:f18; op1val:0x7d81b404; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f18, f20, f19, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f16, rs2==f15, rd==f17,fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x01b404 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f16; op2:f15; dest:f17; op1val:0xff7fffff; op2val:0x7d81b404; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f17, f16, f15, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rs2==f17, rd==f16,fs1 == 0 and fe1 == 0xfb and fm1 == 0x01b404 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f15; op2:f17; dest:f16; op1val:0x7d81b404; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f16, f15, f17, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f17, rs2==f16, rd==f15,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f17; op2:f16; dest:f15; op1val:0x7f222105; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f15, f17, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rs2==f12, rd==f14,fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f13; op2:f12; dest:f14; op1val:0xfee4815a; op2val:0x7f222105; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f14, f13, f12, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f12, rs2==f14, rd==f13,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f12; op2:f14; dest:f13; op1val:0x7f222105; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f13, f12, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f14, rs2==f13, rd==f12,fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f14; op2:f13; dest:f12; op1val:0xfe9ffb35; op2val:0x7f222105; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f12, f14, f13, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f10, rs2==f9, rd==f11,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f10; op2:f9; dest:f11; op1val:0x7f222105; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f11, f10, f9, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rs2==f11, rd==f10,fs1 == 0 and fe1 == 0xfb and fm1 == 0x01b404 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f9; op2:f11; dest:f10; op1val:0x7d81b404; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f10, f9, f11, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f11, rs2==f10, rd==f9,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f11; op2:f10; dest:f9; op1val:0x7f222105; op2val:0xfc538835; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f9, f11, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rs2==f6, rd==f8,fs1 == 0 and fe1 == 0xf7 and fm1 == 0x4f866d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f7; op2:f6; dest:f8; op1val:0x7bcf866d; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f8, f7, f6, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f6, rs2==f8, rd==f7,fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xf7 and fm2 == 0x4f866d and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f6; op2:f8; dest:f7; op1val:0xff7fffff; op2val:0x7bcf866d; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f7, f6, f8, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f8, rs2==f7, rd==f6,fs1 == 0 and fe1 == 0xf7 and fm1 == 0x4f866d and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f8; op2:f7; dest:f6; op1val:0x7bcf866d; op2val:0xfc538835; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f6, f8, f7, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f4, rs2==f3, rd==f5,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xf7 and fm2 == 0x4f866d and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f4; op2:f3; dest:f5; op1val:0x7f222105; op2val:0x7bcf866d; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f5, f4, f3, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rs2==f5, rd==f4,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f3; op2:f5; dest:f4; op1val:0x7f222105; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f4, f3, f5, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f5, rs2==f4, rd==f3,fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x39f704 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f5; op2:f4; dest:f3; op1val:0x177770; op2val:0x7f39f704; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f3, f5, f4, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rs2==f0, rd==f2,fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x177770 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f1; op2:f0; dest:f2; op1val:0x7f39f704; op2val:0x177770; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f2, f1, f0, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f0, rs2==f2, rd==f1,fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f0; op2:f2; dest:f1; op1val:0x177770; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f1, f0, f2, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f2, rs2==f1, rd==f0,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x177770 and fcsr == 0 and rs1_nan_prefix == 0xffffffff and rs2_nan_prefix == 0xffffffff +/* opcode: fminm.s ; op1:f2; op2:f1; dest:f0; op1val:0x7f222105; op2val:0x177770; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f0, f2, f1, 0, 0, x3, 62*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2077197933,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2077197933,32,FLEN) +NAN_BOXED(2077197933,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2077197933,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 64*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fround.d_b1-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fround.d_b1-01.S new file mode 100644 index 000000000..1850e8d7a --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fround.d_b1-01.S @@ -0,0 +1,353 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:39:01 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fround.d.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fround.d instruction of the RISC-V RV64FD_Zicsr_Zfa extension for the fround.d_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*D.*Zfa.*);def TEST_CASE_1=True;",fround.d_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==f30, rd==f31,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f30; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f31, f30, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rd, rs1==f29, rd==f29,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f29; dest:f29; op1val:0x8000000000000000; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f29, f29, dyn, 0, 0, x3, 1*FLEN/8, x4, x1, x2) + +inst_2: +// rs1==f31, rd==f30,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f31; dest:f30; op1val:0x1; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f30, f31, dyn, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_3: +// rs1==f27, rd==f28,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f27; dest:f28; op1val:0x8000000000000001; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f28, f27, dyn, 0, 0, x3, 3*FLEN/8, x4, x1, x2) + +inst_4: +// rs1==f28, rd==f27,fs1 == 0 and fe1 == 0x000 and fm1 == 0x0000000000002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f28; dest:f27; op1val:0x2; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f27, f28, dyn, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rd==f26,fs1 == 1 and fe1 == 0x000 and fm1 == 0x0000000000002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f25; dest:f26; op1val:0x8000000000000002; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f26, f25, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f26, rd==f25,fs1 == 0 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f26; dest:f25; op1val:0xfffffffffffff; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f25, f26, dyn, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f23, rd==f24,fs1 == 1 and fe1 == 0x000 and fm1 == 0xfffffffffffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f23; dest:f24; op1val:0x800fffffffffffff; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f24, f23, dyn, 0, 0, x3, 7*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f24, rd==f23,fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f24; dest:f23; op1val:0x10000000000000; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f23, f24, dyn, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rd==f22,fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f21; dest:f22; op1val:0x8010000000000000; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f22, f21, dyn, 0, 0, x3, 9*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f22, rd==f21,fs1 == 0 and fe1 == 0x001 and fm1 == 0x0000000000002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f22; dest:f21; op1val:0x10000000000002; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f21, f22, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rd==f20,fs1 == 1 and fe1 == 0x001 and fm1 == 0x0000000000002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f19; dest:f20; op1val:0x8010000000000002; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f20, f19, dyn, 0, 0, x3, 11*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f20, rd==f19,fs1 == 0 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f20; dest:f19; op1val:0x7fefffffffffffff; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f19, f20, dyn, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f17, rd==f18,fs1 == 1 and fe1 == 0x7fe and fm1 == 0xfffffffffffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f17; dest:f18; op1val:0xffefffffffffffff; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f18, f17, dyn, 0, 0, x3, 13*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f18, rd==f17,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f18; dest:f17; op1val:0x7ff0000000000000; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f17, f18, dyn, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rd==f16,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f15; dest:f16; op1val:0xfff0000000000000; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f16, f15, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f16, rd==f15,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f16; dest:f15; op1val:0x7ff8000000000000; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f15, f16, dyn, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rd==f14,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f13; dest:f14; op1val:0xfff8000000000000; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f14, f13, dyn, 0, 0, x3, 17*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f14, rd==f13,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f14; dest:f13; op1val:0x7ff8000000000001; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f13, f14, dyn, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f11, rd==f12,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x8000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f11; dest:f12; op1val:0xfff8000000000001; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f12, f11, dyn, 0, 0, x3, 19*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f12, rd==f11,fs1 == 0 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f12; dest:f11; op1val:0x7ff0000000000001; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f11, f12, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rd==f10,fs1 == 1 and fe1 == 0x7ff and fm1 == 0x0000000000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f9; dest:f10; op1val:0xfff0000000000001; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f10, f9, dyn, 0, 0, x3, 21*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f10, rd==f9,fs1 == 0 and fe1 == 0x3ff and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f10; dest:f9; op1val:0x3ff0000000000000; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f9, f10, dyn, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rd==f8,fs1 == 1 and fe1 == 0x3f8 and fm1 == 0x0000000000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.d ; op1:f7; dest:f8; op1val:0xbf80000000000000; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f8, f7, dyn, 0, 0, x3, 23*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f8, rd==f7, +/* opcode: fround.d ; op1:f8; dest:f7; op1val:0x0; valaddr_reg:x3; +val_offset:24*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f7, f8, dyn, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f5, rd==f6, +/* opcode: fround.d ; op1:f5; dest:f6; op1val:0x0; valaddr_reg:x3; +val_offset:25*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f6, f5, dyn, 0, 0, x3, 25*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f6, rd==f5, +/* opcode: fround.d ; op1:f6; dest:f5; op1val:0x0; valaddr_reg:x3; +val_offset:26*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f5, f6, dyn, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rd==f4, +/* opcode: fround.d ; op1:f3; dest:f4; op1val:0x0; valaddr_reg:x3; +val_offset:27*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f4, f3, dyn, 0, 0, x3, 27*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f4, rd==f3, +/* opcode: fround.d ; op1:f4; dest:f3; op1val:0x0; valaddr_reg:x3; +val_offset:28*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f3, f4, dyn, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rd==f2, +/* opcode: fround.d ; op1:f1; dest:f2; op1val:0x0; valaddr_reg:x3; +val_offset:29*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f2, f1, dyn, 0, 0, x3, 29*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f2, rd==f1, +/* opcode: fround.d ; op1:f2; dest:f1; op1val:0x0; valaddr_reg:x3; +val_offset:30*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f1, f2, dyn, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f0, +/* opcode: fround.d ; op1:f0; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:31*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f31, f0, dyn, 0, 0, x3, 31*FLEN/8, x4, x1, x2) + +inst_32: +// rd==f0, +/* opcode: fround.d ; op1:f31; dest:f0; op1val:0x0; valaddr_reg:x3; +val_offset:32*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.d, f0, f31, dyn, 0, 0, x3, 32*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,64,FLEN) +NAN_BOXED(9223372036854775808,64,FLEN) +NAN_BOXED(1,64,FLEN) +NAN_BOXED(9223372036854775809,64,FLEN) +NAN_BOXED(2,64,FLEN) +NAN_BOXED(9223372036854775810,64,FLEN) +NAN_BOXED(4503599627370495,64,FLEN) +NAN_BOXED(9227875636482146303,64,FLEN) +NAN_BOXED(4503599627370496,64,FLEN) +NAN_BOXED(9227875636482146304,64,FLEN) +NAN_BOXED(4503599627370498,64,FLEN) +NAN_BOXED(9227875636482146306,64,FLEN) +NAN_BOXED(9218868437227405311,64,FLEN) +NAN_BOXED(18442240474082181119,64,FLEN) +NAN_BOXED(9218868437227405312,64,FLEN) +NAN_BOXED(18442240474082181120,64,FLEN) +NAN_BOXED(9221120237041090560,64,FLEN) +NAN_BOXED(18444492273895866368,64,FLEN) +NAN_BOXED(9221120237041090561,64,FLEN) +NAN_BOXED(18444492273895866369,64,FLEN) +NAN_BOXED(9218868437227405313,64,FLEN) +NAN_BOXED(18442240474082181121,64,FLEN) +NAN_BOXED(4607182418800017408,64,FLEN) +NAN_BOXED(13799029258263199744,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 66*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/D_Zfa/src/fround_b1-01.S b/riscv-test-suite/rv64i_m/D_Zfa/src/fround_b1-01.S new file mode 100644 index 000000000..09c371d10 --- /dev/null +++ b/riscv-test-suite/rv64i_m/D_Zfa/src/fround_b1-01.S @@ -0,0 +1,353 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:38:55 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fround.s.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fround.s instruction of the RISC-V RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fround_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fround_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==f30, rd==f31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f30; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f31, f30, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rd, rs1==f29, rd==f29,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f29; dest:f29; op1val:0x80000000; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f29, f29, dyn, 0, 0, x3, 1*FLEN/8, x4, x1, x2) + +inst_2: +// rs1==f31, rd==f30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f31; dest:f30; op1val:0x1; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f30, f31, dyn, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_3: +// rs1==f27, rd==f28,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f27; dest:f28; op1val:0x80000001; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f28, f27, dyn, 0, 0, x3, 3*FLEN/8, x4, x1, x2) + +inst_4: +// rs1==f28, rd==f27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f28; dest:f27; op1val:0x2; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f27, f28, dyn, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rd==f26,fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f25; dest:f26; op1val:0x807ffffe; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f26, f25, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f26, rd==f25,fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f26; dest:f25; op1val:0x7fffff; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f25, f26, dyn, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f23, rd==f24,fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f23; dest:f24; op1val:0x807fffff; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f24, f23, dyn, 0, 0, x3, 7*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f24, rd==f23,fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f24; dest:f23; op1val:0x800000; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f23, f24, dyn, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rd==f22,fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f21; dest:f22; op1val:0x80800000; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f22, f21, dyn, 0, 0, x3, 9*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f22, rd==f21,fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f22; dest:f21; op1val:0x800001; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f21, f22, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rd==f20,fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f19; dest:f20; op1val:0x80855555; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f20, f19, dyn, 0, 0, x3, 11*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f20, rd==f19,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f20; dest:f19; op1val:0x7f7fffff; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f19, f20, dyn, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f17, rd==f18,fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f17; dest:f18; op1val:0xff7fffff; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f18, f17, dyn, 0, 0, x3, 13*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f18, rd==f17,fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f18; dest:f17; op1val:0x7f800000; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f17, f18, dyn, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rd==f16,fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f15; dest:f16; op1val:0xff800000; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f16, f15, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f16, rd==f15,fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f16; dest:f15; op1val:0x7fc00000; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f15, f16, dyn, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rd==f14,fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f13; dest:f14; op1val:0xffc00000; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f14, f13, dyn, 0, 0, x3, 17*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f14, rd==f13,fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f14; dest:f13; op1val:0x7fc00001; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f13, f14, dyn, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f11, rd==f12,fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f11; dest:f12; op1val:0xffc55555; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f12, f11, dyn, 0, 0, x3, 19*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f12, rd==f11,fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f12; dest:f11; op1val:0x7f800001; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f11, f12, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rd==f10,fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f9; dest:f10; op1val:0xffaaaaaa; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f10, f9, dyn, 0, 0, x3, 21*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f10, rd==f9,fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f10; dest:f9; op1val:0x3f800000; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f9, f10, dyn, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rd==f8,fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 and rs1_nan_prefix == 0xffffffff +/* opcode: fround.s ; op1:f7; dest:f8; op1val:0xbf800000; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f8, f7, dyn, 0, 0, x3, 23*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f8, rd==f7, +/* opcode: fround.s ; op1:f8; dest:f7; op1val:0x0; valaddr_reg:x3; +val_offset:24*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f7, f8, dyn, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f5, rd==f6, +/* opcode: fround.s ; op1:f5; dest:f6; op1val:0x0; valaddr_reg:x3; +val_offset:25*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f6, f5, dyn, 0, 0, x3, 25*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f6, rd==f5, +/* opcode: fround.s ; op1:f6; dest:f5; op1val:0x0; valaddr_reg:x3; +val_offset:26*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f5, f6, dyn, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rd==f4, +/* opcode: fround.s ; op1:f3; dest:f4; op1val:0x0; valaddr_reg:x3; +val_offset:27*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f4, f3, dyn, 0, 0, x3, 27*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f4, rd==f3, +/* opcode: fround.s ; op1:f4; dest:f3; op1val:0x0; valaddr_reg:x3; +val_offset:28*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f3, f4, dyn, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rd==f2, +/* opcode: fround.s ; op1:f1; dest:f2; op1val:0x0; valaddr_reg:x3; +val_offset:29*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f2, f1, dyn, 0, 0, x3, 29*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f2, rd==f1, +/* opcode: fround.s ; op1:f2; dest:f1; op1val:0x0; valaddr_reg:x3; +val_offset:30*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f1, f2, dyn, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f0, +/* opcode: fround.s ; op1:f0; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:31*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f31, f0, dyn, 0, 0, x3, 31*FLEN/8, x4, x1, x2) + +inst_32: +// rd==f0, +/* opcode: fround.s ; op1:f31; dest:f0; op1val:0x0; valaddr_reg:x3; +val_offset:32*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f0, f31, dyn, 0, 0, x3, 32*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +NAN_BOXED(0,64,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 66*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/F_Zfa/src/fleq_b1-01.S b/riscv-test-suite/rv64i_m/F_Zfa/src/fleq_b1-01.S new file mode 100644 index 000000000..e3b21f2a4 --- /dev/null +++ b/riscv-test-suite/rv64i_m/F_Zfa/src/fleq_b1-01.S @@ -0,0 +1,4740 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:40:22 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fleq.s.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fleq.s instruction of the RISC-V RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fleq_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fleq_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 == rs2, rs1==f31, rs2==f31, rd==x31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f31; dest:x31; op1val:0x0; op2val:0x0; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 != rs2, rs1==f30, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f30; op2:f29; dest:x30; op1val:0x0; op2val:0x80000000; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x30, f30, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f29, rs2==f30, rd==x29,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f29; op2:f30; dest:x29; op1val:0x0; op2val:0x1; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x29, f29, f30, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f28; op2:f27; dest:x28; op1val:0x0; op2val:0x80000001; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f27; op2:f28; dest:x27; op1val:0x0; op2val:0x2; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f26; op2:f25; dest:x26; op1val:0x0; op2val:0x807ffffe; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f25; op2:f26; dest:x25; op1val:0x0; op2val:0x7fffff; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f24; op2:f23; dest:x24; op1val:0x0; op2val:0x807fffff; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f23; op2:f24; dest:x23; op1val:0x0; op2val:0x800000; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f22; op2:f21; dest:x22; op1val:0x0; op2val:0x80800000; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f21; op2:f22; dest:x21; op1val:0x0; op2val:0x800001; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f20; op2:f19; dest:x20; op1val:0x0; op2val:0x80855555; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f19; op2:f20; dest:x19; op1val:0x0; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f18; op2:f17; dest:x18; op1val:0x0; op2val:0xff7fffff; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f17; op2:f18; dest:x17; op1val:0x0; op2val:0x7f800000; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f16; op2:f15; dest:x16; op1val:0x0; op2val:0xff800000; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f15; op2:f16; dest:x15; op1val:0x0; op2val:0x7fc00000; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f14; op2:f13; dest:x14; op1val:0x0; op2val:0xffc00000; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f13; op2:f14; dest:x13; op1val:0x0; op2val:0x7fc00001; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f12; op2:f11; dest:x12; op1val:0x0; op2val:0xffc55555; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f11; op2:f12; dest:x11; op1val:0x0; op2val:0x7f800001; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f10; op2:f9; dest:x10; op1val:0x0; op2val:0xffaaaaaa; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f9; op2:f10; dest:x9; op1val:0x0; op2val:0x3f800000; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f8; op2:f7; dest:x8; op1val:0x0; op2val:0xbf800000; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f7; op2:f8; dest:x7; op1val:0x80000000; op2val:0x0; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f6; op2:f5; dest:x6; op1val:0x80000000; op2val:0x80000000; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f5; op2:f6; dest:x5; op1val:0x80000000; op2val:0x1; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f4; op2:f3; dest:x4; op1val:0x80000000; op2val:0x80000001; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f3; op2:f4; dest:x3; op1val:0x80000000; op2val:0x2; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f2; op2:f1; dest:x2; op1val:0x80000000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f1; op2:f2; dest:x1; op1val:0x80000000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f0; op2:f31; dest:x31; op1val:0x80000000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f0; dest:x31; op1val:0x80000000; op2val:0x800000; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x0; op1val:0x80000000; op2val:0x80800000; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) + +inst_34:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x800001; +valaddr_reg:x9; val_offset:22*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 22*FLEN/8, x10, x6, x7) + +inst_35:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x80855555; +valaddr_reg:x9; val_offset:24*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 24*FLEN/8, x10, x6, x7) + +inst_36:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:26*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 26*FLEN/8, x10, x6, x7) + +inst_37:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:28*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 28*FLEN/8, x10, x6, x7) + +inst_38:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:30*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 30*FLEN/8, x10, x6, x7) + +inst_39:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xff800000; +valaddr_reg:x9; val_offset:32*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 32*FLEN/8, x10, x6, x7) + +inst_40:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:34*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 34*FLEN/8, x10, x6, x7) + +inst_41:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:36*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 36*FLEN/8, x10, x6, x7) + +inst_42:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:38*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 38*FLEN/8, x10, x6, x7) + +inst_43:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:40*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 40*FLEN/8, x10, x6, x7) + +inst_44:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:42*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 42*FLEN/8, x10, x6, x7) + +inst_45:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:44*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 44*FLEN/8, x10, x6, x7) + +inst_46:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:46*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 46*FLEN/8, x10, x6, x7) + +inst_47:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:48*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 48*FLEN/8, x10, x6, x7) + +inst_48:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x0; +valaddr_reg:x9; val_offset:50*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 50*FLEN/8, x10, x6, x7) + +inst_49:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x80000000; +valaddr_reg:x9; val_offset:52*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 52*FLEN/8, x10, x6, x7) + +inst_50:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x1; +valaddr_reg:x9; val_offset:54*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 54*FLEN/8, x10, x6, x7) + +inst_51:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x80000001; +valaddr_reg:x9; val_offset:56*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 56*FLEN/8, x10, x6, x7) + +inst_52:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x2; +valaddr_reg:x9; val_offset:58*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 58*FLEN/8, x10, x6, x7) + +inst_53:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:60*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 60*FLEN/8, x10, x6, x7) + +inst_54:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7fffff; +valaddr_reg:x9; val_offset:62*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 62*FLEN/8, x10, x6, x7) + +inst_55:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x807fffff; +valaddr_reg:x9; val_offset:64*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 64*FLEN/8, x10, x6, x7) + +inst_56:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x800000; +valaddr_reg:x9; val_offset:66*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 66*FLEN/8, x10, x6, x7) + +inst_57:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x80800000; +valaddr_reg:x9; val_offset:68*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 68*FLEN/8, x10, x6, x7) + +inst_58:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x800001; +valaddr_reg:x9; val_offset:70*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 70*FLEN/8, x10, x6, x7) + +inst_59:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x80855555; +valaddr_reg:x9; val_offset:72*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 72*FLEN/8, x10, x6, x7) + +inst_60:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:74*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 74*FLEN/8, x10, x6, x7) + +inst_61:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:76*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 76*FLEN/8, x10, x6, x7) + +inst_62:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7f800000; +valaddr_reg:x9; val_offset:78*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 78*FLEN/8, x10, x6, x7) + +inst_63:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xff800000; +valaddr_reg:x9; val_offset:80*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 80*FLEN/8, x10, x6, x7) + +inst_64:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:82*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 82*FLEN/8, x10, x6, x7) + +inst_65:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xffc00000; +valaddr_reg:x9; val_offset:84*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 84*FLEN/8, x10, x6, x7) + +inst_66:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:86*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 86*FLEN/8, x10, x6, x7) + +inst_67:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xffc55555; +valaddr_reg:x9; val_offset:88*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 88*FLEN/8, x10, x6, x7) + +inst_68:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7f800001; +valaddr_reg:x9; val_offset:90*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 90*FLEN/8, x10, x6, x7) + +inst_69:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:92*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 92*FLEN/8, x10, x6, x7) + +inst_70:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x3f800000; +valaddr_reg:x9; val_offset:94*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 94*FLEN/8, x10, x6, x7) + +inst_71:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xbf800000; +valaddr_reg:x9; val_offset:96*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 96*FLEN/8, x10, x6, x7) + +inst_72:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x0; +valaddr_reg:x9; val_offset:98*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 98*FLEN/8, x10, x6, x7) + +inst_73:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x80000000; +valaddr_reg:x9; val_offset:100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 100*FLEN/8, x10, x6, x7) + +inst_74:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x1; +valaddr_reg:x9; val_offset:102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 102*FLEN/8, x10, x6, x7) + +inst_75:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x80000001; +valaddr_reg:x9; val_offset:104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 104*FLEN/8, x10, x6, x7) + +inst_76:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x2; +valaddr_reg:x9; val_offset:106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 106*FLEN/8, x10, x6, x7) + +inst_77:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 108*FLEN/8, x10, x6, x7) + +inst_78:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7fffff; +valaddr_reg:x9; val_offset:110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 110*FLEN/8, x10, x6, x7) + +inst_79:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x807fffff; +valaddr_reg:x9; val_offset:112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 112*FLEN/8, x10, x6, x7) + +inst_80:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x800000; +valaddr_reg:x9; val_offset:114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 114*FLEN/8, x10, x6, x7) + +inst_81:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x80800000; +valaddr_reg:x9; val_offset:116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 116*FLEN/8, x10, x6, x7) + +inst_82:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x800001; +valaddr_reg:x9; val_offset:118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 118*FLEN/8, x10, x6, x7) + +inst_83:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x80855555; +valaddr_reg:x9; val_offset:120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 120*FLEN/8, x10, x6, x7) + +inst_84:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 122*FLEN/8, x10, x6, x7) + +inst_85:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 124*FLEN/8, x10, x6, x7) + +inst_86:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7f800000; +valaddr_reg:x9; val_offset:126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 126*FLEN/8, x10, x6, x7) + +inst_87:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xff800000; +valaddr_reg:x9; val_offset:128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 128*FLEN/8, x10, x6, x7) + +inst_88:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 130*FLEN/8, x10, x6, x7) + +inst_89:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xffc00000; +valaddr_reg:x9; val_offset:132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 132*FLEN/8, x10, x6, x7) + +inst_90:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 134*FLEN/8, x10, x6, x7) + +inst_91:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xffc55555; +valaddr_reg:x9; val_offset:136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 136*FLEN/8, x10, x6, x7) + +inst_92:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7f800001; +valaddr_reg:x9; val_offset:138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 138*FLEN/8, x10, x6, x7) + +inst_93:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 140*FLEN/8, x10, x6, x7) + +inst_94:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x3f800000; +valaddr_reg:x9; val_offset:142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 142*FLEN/8, x10, x6, x7) + +inst_95:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xbf800000; +valaddr_reg:x9; val_offset:144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 144*FLEN/8, x10, x6, x7) + +inst_96:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x0; +valaddr_reg:x9; val_offset:146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 146*FLEN/8, x10, x6, x7) + +inst_97:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x80000000; +valaddr_reg:x9; val_offset:148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 148*FLEN/8, x10, x6, x7) + +inst_98:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x1; +valaddr_reg:x9; val_offset:150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 150*FLEN/8, x10, x6, x7) + +inst_99:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x80000001; +valaddr_reg:x9; val_offset:152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 152*FLEN/8, x10, x6, x7) + +inst_100:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x2; +valaddr_reg:x9; val_offset:154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 154*FLEN/8, x10, x6, x7) + +inst_101:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 156*FLEN/8, x10, x6, x7) + +inst_102:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7fffff; +valaddr_reg:x9; val_offset:158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 158*FLEN/8, x10, x6, x7) + +inst_103:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x807fffff; +valaddr_reg:x9; val_offset:160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 160*FLEN/8, x10, x6, x7) + +inst_104:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x800000; +valaddr_reg:x9; val_offset:162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 162*FLEN/8, x10, x6, x7) + +inst_105:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x80800000; +valaddr_reg:x9; val_offset:164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 164*FLEN/8, x10, x6, x7) + +inst_106:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x800001; +valaddr_reg:x9; val_offset:166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 166*FLEN/8, x10, x6, x7) + +inst_107:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x80855555; +valaddr_reg:x9; val_offset:168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 168*FLEN/8, x10, x6, x7) + +inst_108:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 170*FLEN/8, x10, x6, x7) + +inst_109:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 172*FLEN/8, x10, x6, x7) + +inst_110:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7f800000; +valaddr_reg:x9; val_offset:174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 174*FLEN/8, x10, x6, x7) + +inst_111:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xff800000; +valaddr_reg:x9; val_offset:176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 176*FLEN/8, x10, x6, x7) + +inst_112:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 178*FLEN/8, x10, x6, x7) + +inst_113:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xffc00000; +valaddr_reg:x9; val_offset:180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 180*FLEN/8, x10, x6, x7) + +inst_114:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 182*FLEN/8, x10, x6, x7) + +inst_115:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xffc55555; +valaddr_reg:x9; val_offset:184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 184*FLEN/8, x10, x6, x7) + +inst_116:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7f800001; +valaddr_reg:x9; val_offset:186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 186*FLEN/8, x10, x6, x7) + +inst_117:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 188*FLEN/8, x10, x6, x7) + +inst_118:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x3f800000; +valaddr_reg:x9; val_offset:190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 190*FLEN/8, x10, x6, x7) + +inst_119:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xbf800000; +valaddr_reg:x9; val_offset:192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 192*FLEN/8, x10, x6, x7) + +inst_120:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x0; +valaddr_reg:x9; val_offset:194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 194*FLEN/8, x10, x6, x7) + +inst_121:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x80000000; +valaddr_reg:x9; val_offset:196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 196*FLEN/8, x10, x6, x7) + +inst_122:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x1; +valaddr_reg:x9; val_offset:198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 198*FLEN/8, x10, x6, x7) + +inst_123:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x80000001; +valaddr_reg:x9; val_offset:200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 200*FLEN/8, x10, x6, x7) + +inst_124:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x2; +valaddr_reg:x9; val_offset:202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 202*FLEN/8, x10, x6, x7) + +inst_125:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 204*FLEN/8, x10, x6, x7) + +inst_126:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7fffff; +valaddr_reg:x9; val_offset:206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 206*FLEN/8, x10, x6, x7) + +inst_127:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x807fffff; +valaddr_reg:x9; val_offset:208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 208*FLEN/8, x10, x6, x7) + +inst_128:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x800000; +valaddr_reg:x9; val_offset:210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 210*FLEN/8, x10, x6, x7) + +inst_129:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x80800000; +valaddr_reg:x9; val_offset:212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 212*FLEN/8, x10, x6, x7) + +inst_130:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x800001; +valaddr_reg:x9; val_offset:214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 214*FLEN/8, x10, x6, x7) + +inst_131:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x80855555; +valaddr_reg:x9; val_offset:216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 216*FLEN/8, x10, x6, x7) + +inst_132:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 218*FLEN/8, x10, x6, x7) + +inst_133:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 220*FLEN/8, x10, x6, x7) + +inst_134:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7f800000; +valaddr_reg:x9; val_offset:222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 222*FLEN/8, x10, x6, x7) + +inst_135:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xff800000; +valaddr_reg:x9; val_offset:224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 224*FLEN/8, x10, x6, x7) + +inst_136:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 226*FLEN/8, x10, x6, x7) + +inst_137:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xffc00000; +valaddr_reg:x9; val_offset:228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 228*FLEN/8, x10, x6, x7) + +inst_138:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 230*FLEN/8, x10, x6, x7) + +inst_139:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xffc55555; +valaddr_reg:x9; val_offset:232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 232*FLEN/8, x10, x6, x7) + +inst_140:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7f800001; +valaddr_reg:x9; val_offset:234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 234*FLEN/8, x10, x6, x7) + +inst_141:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 236*FLEN/8, x10, x6, x7) + +inst_142:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x3f800000; +valaddr_reg:x9; val_offset:238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 238*FLEN/8, x10, x6, x7) + +inst_143:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xbf800000; +valaddr_reg:x9; val_offset:240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 240*FLEN/8, x10, x6, x7) + +inst_144:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x0; +valaddr_reg:x9; val_offset:242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 242*FLEN/8, x10, x6, x7) + +inst_145:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x80000000; +valaddr_reg:x9; val_offset:244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 244*FLEN/8, x10, x6, x7) + +inst_146:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x1; +valaddr_reg:x9; val_offset:246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 246*FLEN/8, x10, x6, x7) + +inst_147:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x80000001; +valaddr_reg:x9; val_offset:248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 248*FLEN/8, x10, x6, x7) + +inst_148:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x2; +valaddr_reg:x9; val_offset:250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 250*FLEN/8, x10, x6, x7) + +inst_149:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 252*FLEN/8, x10, x6, x7) + +inst_150:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7fffff; +valaddr_reg:x9; val_offset:254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 254*FLEN/8, x10, x6, x7) + +inst_151:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x807fffff; +valaddr_reg:x9; val_offset:256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 256*FLEN/8, x10, x6, x7) + +inst_152:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x800000; +valaddr_reg:x9; val_offset:258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 258*FLEN/8, x10, x6, x7) + +inst_153:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x80800000; +valaddr_reg:x9; val_offset:260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 260*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_1) + +inst_154:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x800001; +valaddr_reg:x9; val_offset:262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 262*FLEN/8, x10, x6, x7) + +inst_155:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x80855555; +valaddr_reg:x9; val_offset:264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 264*FLEN/8, x10, x6, x7) + +inst_156:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 266*FLEN/8, x10, x6, x7) + +inst_157:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 268*FLEN/8, x10, x6, x7) + +inst_158:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7f800000; +valaddr_reg:x9; val_offset:270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 270*FLEN/8, x10, x6, x7) + +inst_159:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xff800000; +valaddr_reg:x9; val_offset:272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 272*FLEN/8, x10, x6, x7) + +inst_160:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 274*FLEN/8, x10, x6, x7) + +inst_161:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xffc00000; +valaddr_reg:x9; val_offset:276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 276*FLEN/8, x10, x6, x7) + +inst_162:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 278*FLEN/8, x10, x6, x7) + +inst_163:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xffc55555; +valaddr_reg:x9; val_offset:280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 280*FLEN/8, x10, x6, x7) + +inst_164:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7f800001; +valaddr_reg:x9; val_offset:282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 282*FLEN/8, x10, x6, x7) + +inst_165:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 284*FLEN/8, x10, x6, x7) + +inst_166:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x3f800000; +valaddr_reg:x9; val_offset:286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 286*FLEN/8, x10, x6, x7) + +inst_167:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xbf800000; +valaddr_reg:x9; val_offset:288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 288*FLEN/8, x10, x6, x7) + +inst_168:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x0; +valaddr_reg:x9; val_offset:290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 290*FLEN/8, x10, x6, x7) + +inst_169:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x80000000; +valaddr_reg:x9; val_offset:292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 292*FLEN/8, x10, x6, x7) + +inst_170:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x1; +valaddr_reg:x9; val_offset:294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 294*FLEN/8, x10, x6, x7) + +inst_171:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x80000001; +valaddr_reg:x9; val_offset:296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 296*FLEN/8, x10, x6, x7) + +inst_172:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x2; +valaddr_reg:x9; val_offset:298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 298*FLEN/8, x10, x6, x7) + +inst_173:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 300*FLEN/8, x10, x6, x7) + +inst_174:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7fffff; +valaddr_reg:x9; val_offset:302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 302*FLEN/8, x10, x6, x7) + +inst_175:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x807fffff; +valaddr_reg:x9; val_offset:304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 304*FLEN/8, x10, x6, x7) + +inst_176:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x800000; +valaddr_reg:x9; val_offset:306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 306*FLEN/8, x10, x6, x7) + +inst_177:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x80800000; +valaddr_reg:x9; val_offset:308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 308*FLEN/8, x10, x6, x7) + +inst_178:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x800001; +valaddr_reg:x9; val_offset:310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 310*FLEN/8, x10, x6, x7) + +inst_179:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x80855555; +valaddr_reg:x9; val_offset:312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 312*FLEN/8, x10, x6, x7) + +inst_180:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 314*FLEN/8, x10, x6, x7) + +inst_181:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 316*FLEN/8, x10, x6, x7) + +inst_182:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7f800000; +valaddr_reg:x9; val_offset:318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 318*FLEN/8, x10, x6, x7) + +inst_183:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xff800000; +valaddr_reg:x9; val_offset:320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 320*FLEN/8, x10, x6, x7) + +inst_184:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 322*FLEN/8, x10, x6, x7) + +inst_185:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xffc00000; +valaddr_reg:x9; val_offset:324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 324*FLEN/8, x10, x6, x7) + +inst_186:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 326*FLEN/8, x10, x6, x7) + +inst_187:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xffc55555; +valaddr_reg:x9; val_offset:328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 328*FLEN/8, x10, x6, x7) + +inst_188:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7f800001; +valaddr_reg:x9; val_offset:330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 330*FLEN/8, x10, x6, x7) + +inst_189:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 332*FLEN/8, x10, x6, x7) + +inst_190:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x3f800000; +valaddr_reg:x9; val_offset:334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 334*FLEN/8, x10, x6, x7) + +inst_191:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xbf800000; +valaddr_reg:x9; val_offset:336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 336*FLEN/8, x10, x6, x7) + +inst_192:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x0; +valaddr_reg:x9; val_offset:338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 338*FLEN/8, x10, x6, x7) + +inst_193:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 340*FLEN/8, x10, x6, x7) + +inst_194:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x1; +valaddr_reg:x9; val_offset:342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 342*FLEN/8, x10, x6, x7) + +inst_195:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 344*FLEN/8, x10, x6, x7) + +inst_196:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x2; +valaddr_reg:x9; val_offset:346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 346*FLEN/8, x10, x6, x7) + +inst_197:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 348*FLEN/8, x10, x6, x7) + +inst_198:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 350*FLEN/8, x10, x6, x7) + +inst_199:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 352*FLEN/8, x10, x6, x7) + +inst_200:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x800000; +valaddr_reg:x9; val_offset:354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 354*FLEN/8, x10, x6, x7) + +inst_201:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 356*FLEN/8, x10, x6, x7) + +inst_202:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x800001; +valaddr_reg:x9; val_offset:358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 358*FLEN/8, x10, x6, x7) + +inst_203:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 360*FLEN/8, x10, x6, x7) + +inst_204:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 362*FLEN/8, x10, x6, x7) + +inst_205:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 364*FLEN/8, x10, x6, x7) + +inst_206:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 366*FLEN/8, x10, x6, x7) + +inst_207:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 368*FLEN/8, x10, x6, x7) + +inst_208:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 370*FLEN/8, x10, x6, x7) + +inst_209:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 372*FLEN/8, x10, x6, x7) + +inst_210:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 374*FLEN/8, x10, x6, x7) + +inst_211:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 376*FLEN/8, x10, x6, x7) + +inst_212:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 378*FLEN/8, x10, x6, x7) + +inst_213:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 380*FLEN/8, x10, x6, x7) + +inst_214:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 382*FLEN/8, x10, x6, x7) + +inst_215:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 384*FLEN/8, x10, x6, x7) + +inst_216:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x0; +valaddr_reg:x9; val_offset:386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 386*FLEN/8, x10, x6, x7) + +inst_217:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 388*FLEN/8, x10, x6, x7) + +inst_218:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x1; +valaddr_reg:x9; val_offset:390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 390*FLEN/8, x10, x6, x7) + +inst_219:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 392*FLEN/8, x10, x6, x7) + +inst_220:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x2; +valaddr_reg:x9; val_offset:394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 394*FLEN/8, x10, x6, x7) + +inst_221:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 396*FLEN/8, x10, x6, x7) + +inst_222:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 398*FLEN/8, x10, x6, x7) + +inst_223:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 400*FLEN/8, x10, x6, x7) + +inst_224:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x800000; +valaddr_reg:x9; val_offset:402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 402*FLEN/8, x10, x6, x7) + +inst_225:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 404*FLEN/8, x10, x6, x7) + +inst_226:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x800001; +valaddr_reg:x9; val_offset:406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 406*FLEN/8, x10, x6, x7) + +inst_227:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 408*FLEN/8, x10, x6, x7) + +inst_228:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 410*FLEN/8, x10, x6, x7) + +inst_229:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 412*FLEN/8, x10, x6, x7) + +inst_230:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 414*FLEN/8, x10, x6, x7) + +inst_231:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 416*FLEN/8, x10, x6, x7) + +inst_232:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 418*FLEN/8, x10, x6, x7) + +inst_233:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 420*FLEN/8, x10, x6, x7) + +inst_234:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 422*FLEN/8, x10, x6, x7) + +inst_235:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 424*FLEN/8, x10, x6, x7) + +inst_236:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 426*FLEN/8, x10, x6, x7) + +inst_237:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 428*FLEN/8, x10, x6, x7) + +inst_238:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 430*FLEN/8, x10, x6, x7) + +inst_239:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 432*FLEN/8, x10, x6, x7) + +inst_240:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x0; +valaddr_reg:x9; val_offset:434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 434*FLEN/8, x10, x6, x7) + +inst_241:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x80000000; +valaddr_reg:x9; val_offset:436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 436*FLEN/8, x10, x6, x7) + +inst_242:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x1; +valaddr_reg:x9; val_offset:438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 438*FLEN/8, x10, x6, x7) + +inst_243:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x80000001; +valaddr_reg:x9; val_offset:440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 440*FLEN/8, x10, x6, x7) + +inst_244:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x2; +valaddr_reg:x9; val_offset:442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 442*FLEN/8, x10, x6, x7) + +inst_245:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 444*FLEN/8, x10, x6, x7) + +inst_246:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7fffff; +valaddr_reg:x9; val_offset:446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 446*FLEN/8, x10, x6, x7) + +inst_247:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x807fffff; +valaddr_reg:x9; val_offset:448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 448*FLEN/8, x10, x6, x7) + +inst_248:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x800000; +valaddr_reg:x9; val_offset:450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 450*FLEN/8, x10, x6, x7) + +inst_249:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x80800000; +valaddr_reg:x9; val_offset:452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 452*FLEN/8, x10, x6, x7) + +inst_250:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x800001; +valaddr_reg:x9; val_offset:454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 454*FLEN/8, x10, x6, x7) + +inst_251:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x80855555; +valaddr_reg:x9; val_offset:456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 456*FLEN/8, x10, x6, x7) + +inst_252:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 458*FLEN/8, x10, x6, x7) + +inst_253:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 460*FLEN/8, x10, x6, x7) + +inst_254:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7f800000; +valaddr_reg:x9; val_offset:462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 462*FLEN/8, x10, x6, x7) + +inst_255:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xff800000; +valaddr_reg:x9; val_offset:464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 464*FLEN/8, x10, x6, x7) + +inst_256:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 466*FLEN/8, x10, x6, x7) + +inst_257:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xffc00000; +valaddr_reg:x9; val_offset:468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 468*FLEN/8, x10, x6, x7) + +inst_258:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 470*FLEN/8, x10, x6, x7) + +inst_259:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xffc55555; +valaddr_reg:x9; val_offset:472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 472*FLEN/8, x10, x6, x7) + +inst_260:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7f800001; +valaddr_reg:x9; val_offset:474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 474*FLEN/8, x10, x6, x7) + +inst_261:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 476*FLEN/8, x10, x6, x7) + +inst_262:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x3f800000; +valaddr_reg:x9; val_offset:478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 478*FLEN/8, x10, x6, x7) + +inst_263:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xbf800000; +valaddr_reg:x9; val_offset:480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 480*FLEN/8, x10, x6, x7) + +inst_264:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x0; +valaddr_reg:x9; val_offset:482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 482*FLEN/8, x10, x6, x7) + +inst_265:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x80000000; +valaddr_reg:x9; val_offset:484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 484*FLEN/8, x10, x6, x7) + +inst_266:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x1; +valaddr_reg:x9; val_offset:486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 486*FLEN/8, x10, x6, x7) + +inst_267:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x80000001; +valaddr_reg:x9; val_offset:488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 488*FLEN/8, x10, x6, x7) + +inst_268:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x2; +valaddr_reg:x9; val_offset:490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 490*FLEN/8, x10, x6, x7) + +inst_269:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 492*FLEN/8, x10, x6, x7) + +inst_270:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7fffff; +valaddr_reg:x9; val_offset:494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 494*FLEN/8, x10, x6, x7) + +inst_271:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x807fffff; +valaddr_reg:x9; val_offset:496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 496*FLEN/8, x10, x6, x7) + +inst_272:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x800000; +valaddr_reg:x9; val_offset:498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 498*FLEN/8, x10, x6, x7) + +inst_273:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x80800000; +valaddr_reg:x9; val_offset:500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 500*FLEN/8, x10, x6, x7) + +inst_274:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x800001; +valaddr_reg:x9; val_offset:502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 502*FLEN/8, x10, x6, x7) + +inst_275:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x80855555; +valaddr_reg:x9; val_offset:504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 504*FLEN/8, x10, x6, x7) + +inst_276:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 506*FLEN/8, x10, x6, x7) + +inst_277:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 508*FLEN/8, x10, x6, x7) + +inst_278:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7f800000; +valaddr_reg:x9; val_offset:510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 510*FLEN/8, x10, x6, x7) + +inst_279:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xff800000; +valaddr_reg:x9; val_offset:512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 512*FLEN/8, x10, x6, x7) + +inst_280:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 514*FLEN/8, x10, x6, x7) + +inst_281:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xffc00000; +valaddr_reg:x9; val_offset:516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 516*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_2) + +inst_282:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 518*FLEN/8, x10, x6, x7) + +inst_283:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xffc55555; +valaddr_reg:x9; val_offset:520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 520*FLEN/8, x10, x6, x7) + +inst_284:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7f800001; +valaddr_reg:x9; val_offset:522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 522*FLEN/8, x10, x6, x7) + +inst_285:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 524*FLEN/8, x10, x6, x7) + +inst_286:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x3f800000; +valaddr_reg:x9; val_offset:526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 526*FLEN/8, x10, x6, x7) + +inst_287:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xbf800000; +valaddr_reg:x9; val_offset:528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 528*FLEN/8, x10, x6, x7) + +inst_288:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x0; +valaddr_reg:x9; val_offset:530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 530*FLEN/8, x10, x6, x7) + +inst_289:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x80000000; +valaddr_reg:x9; val_offset:532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 532*FLEN/8, x10, x6, x7) + +inst_290:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x1; +valaddr_reg:x9; val_offset:534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 534*FLEN/8, x10, x6, x7) + +inst_291:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x80000001; +valaddr_reg:x9; val_offset:536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 536*FLEN/8, x10, x6, x7) + +inst_292:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x2; +valaddr_reg:x9; val_offset:538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 538*FLEN/8, x10, x6, x7) + +inst_293:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 540*FLEN/8, x10, x6, x7) + +inst_294:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7fffff; +valaddr_reg:x9; val_offset:542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 542*FLEN/8, x10, x6, x7) + +inst_295:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x807fffff; +valaddr_reg:x9; val_offset:544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 544*FLEN/8, x10, x6, x7) + +inst_296:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x800000; +valaddr_reg:x9; val_offset:546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 546*FLEN/8, x10, x6, x7) + +inst_297:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x80800000; +valaddr_reg:x9; val_offset:548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 548*FLEN/8, x10, x6, x7) + +inst_298:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x800001; +valaddr_reg:x9; val_offset:550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 550*FLEN/8, x10, x6, x7) + +inst_299:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x80855555; +valaddr_reg:x9; val_offset:552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 552*FLEN/8, x10, x6, x7) + +inst_300:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 554*FLEN/8, x10, x6, x7) + +inst_301:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 556*FLEN/8, x10, x6, x7) + +inst_302:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7f800000; +valaddr_reg:x9; val_offset:558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 558*FLEN/8, x10, x6, x7) + +inst_303:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xff800000; +valaddr_reg:x9; val_offset:560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 560*FLEN/8, x10, x6, x7) + +inst_304:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 562*FLEN/8, x10, x6, x7) + +inst_305:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xffc00000; +valaddr_reg:x9; val_offset:564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 564*FLEN/8, x10, x6, x7) + +inst_306:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 566*FLEN/8, x10, x6, x7) + +inst_307:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xffc55555; +valaddr_reg:x9; val_offset:568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 568*FLEN/8, x10, x6, x7) + +inst_308:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7f800001; +valaddr_reg:x9; val_offset:570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 570*FLEN/8, x10, x6, x7) + +inst_309:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 572*FLEN/8, x10, x6, x7) + +inst_310:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x3f800000; +valaddr_reg:x9; val_offset:574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 574*FLEN/8, x10, x6, x7) + +inst_311:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xbf800000; +valaddr_reg:x9; val_offset:576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 576*FLEN/8, x10, x6, x7) + +inst_312:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x0; +valaddr_reg:x9; val_offset:578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 578*FLEN/8, x10, x6, x7) + +inst_313:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x80000000; +valaddr_reg:x9; val_offset:580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 580*FLEN/8, x10, x6, x7) + +inst_314:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x1; +valaddr_reg:x9; val_offset:582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 582*FLEN/8, x10, x6, x7) + +inst_315:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x80000001; +valaddr_reg:x9; val_offset:584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 584*FLEN/8, x10, x6, x7) + +inst_316:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x2; +valaddr_reg:x9; val_offset:586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 586*FLEN/8, x10, x6, x7) + +inst_317:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 588*FLEN/8, x10, x6, x7) + +inst_318:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7fffff; +valaddr_reg:x9; val_offset:590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 590*FLEN/8, x10, x6, x7) + +inst_319:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x807fffff; +valaddr_reg:x9; val_offset:592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 592*FLEN/8, x10, x6, x7) + +inst_320:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x800000; +valaddr_reg:x9; val_offset:594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 594*FLEN/8, x10, x6, x7) + +inst_321:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x80800000; +valaddr_reg:x9; val_offset:596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 596*FLEN/8, x10, x6, x7) + +inst_322:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x800001; +valaddr_reg:x9; val_offset:598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 598*FLEN/8, x10, x6, x7) + +inst_323:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x80855555; +valaddr_reg:x9; val_offset:600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 600*FLEN/8, x10, x6, x7) + +inst_324:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 602*FLEN/8, x10, x6, x7) + +inst_325:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 604*FLEN/8, x10, x6, x7) + +inst_326:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7f800000; +valaddr_reg:x9; val_offset:606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 606*FLEN/8, x10, x6, x7) + +inst_327:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xff800000; +valaddr_reg:x9; val_offset:608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 608*FLEN/8, x10, x6, x7) + +inst_328:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 610*FLEN/8, x10, x6, x7) + +inst_329:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xffc00000; +valaddr_reg:x9; val_offset:612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 612*FLEN/8, x10, x6, x7) + +inst_330:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 614*FLEN/8, x10, x6, x7) + +inst_331:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xffc55555; +valaddr_reg:x9; val_offset:616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 616*FLEN/8, x10, x6, x7) + +inst_332:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7f800001; +valaddr_reg:x9; val_offset:618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 618*FLEN/8, x10, x6, x7) + +inst_333:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 620*FLEN/8, x10, x6, x7) + +inst_334:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x3f800000; +valaddr_reg:x9; val_offset:622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 622*FLEN/8, x10, x6, x7) + +inst_335:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xbf800000; +valaddr_reg:x9; val_offset:624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 624*FLEN/8, x10, x6, x7) + +inst_336:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x0; +valaddr_reg:x9; val_offset:626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 626*FLEN/8, x10, x6, x7) + +inst_337:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 628*FLEN/8, x10, x6, x7) + +inst_338:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x1; +valaddr_reg:x9; val_offset:630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 630*FLEN/8, x10, x6, x7) + +inst_339:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 632*FLEN/8, x10, x6, x7) + +inst_340:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x2; +valaddr_reg:x9; val_offset:634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 634*FLEN/8, x10, x6, x7) + +inst_341:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 636*FLEN/8, x10, x6, x7) + +inst_342:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 638*FLEN/8, x10, x6, x7) + +inst_343:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 640*FLEN/8, x10, x6, x7) + +inst_344:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x800000; +valaddr_reg:x9; val_offset:642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 642*FLEN/8, x10, x6, x7) + +inst_345:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 644*FLEN/8, x10, x6, x7) + +inst_346:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x800001; +valaddr_reg:x9; val_offset:646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 646*FLEN/8, x10, x6, x7) + +inst_347:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 648*FLEN/8, x10, x6, x7) + +inst_348:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 650*FLEN/8, x10, x6, x7) + +inst_349:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 652*FLEN/8, x10, x6, x7) + +inst_350:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 654*FLEN/8, x10, x6, x7) + +inst_351:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 656*FLEN/8, x10, x6, x7) + +inst_352:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 658*FLEN/8, x10, x6, x7) + +inst_353:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 660*FLEN/8, x10, x6, x7) + +inst_354:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 662*FLEN/8, x10, x6, x7) + +inst_355:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 664*FLEN/8, x10, x6, x7) + +inst_356:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 666*FLEN/8, x10, x6, x7) + +inst_357:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 668*FLEN/8, x10, x6, x7) + +inst_358:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 670*FLEN/8, x10, x6, x7) + +inst_359:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 672*FLEN/8, x10, x6, x7) + +inst_360:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x0; +valaddr_reg:x9; val_offset:674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 674*FLEN/8, x10, x6, x7) + +inst_361:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 676*FLEN/8, x10, x6, x7) + +inst_362:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x1; +valaddr_reg:x9; val_offset:678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 678*FLEN/8, x10, x6, x7) + +inst_363:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 680*FLEN/8, x10, x6, x7) + +inst_364:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x2; +valaddr_reg:x9; val_offset:682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 682*FLEN/8, x10, x6, x7) + +inst_365:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 684*FLEN/8, x10, x6, x7) + +inst_366:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 686*FLEN/8, x10, x6, x7) + +inst_367:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 688*FLEN/8, x10, x6, x7) + +inst_368:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x800000; +valaddr_reg:x9; val_offset:690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 690*FLEN/8, x10, x6, x7) + +inst_369:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 692*FLEN/8, x10, x6, x7) + +inst_370:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x800001; +valaddr_reg:x9; val_offset:694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 694*FLEN/8, x10, x6, x7) + +inst_371:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 696*FLEN/8, x10, x6, x7) + +inst_372:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 698*FLEN/8, x10, x6, x7) + +inst_373:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 700*FLEN/8, x10, x6, x7) + +inst_374:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 702*FLEN/8, x10, x6, x7) + +inst_375:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 704*FLEN/8, x10, x6, x7) + +inst_376:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 706*FLEN/8, x10, x6, x7) + +inst_377:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 708*FLEN/8, x10, x6, x7) + +inst_378:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 710*FLEN/8, x10, x6, x7) + +inst_379:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 712*FLEN/8, x10, x6, x7) + +inst_380:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 714*FLEN/8, x10, x6, x7) + +inst_381:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 716*FLEN/8, x10, x6, x7) + +inst_382:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 718*FLEN/8, x10, x6, x7) + +inst_383:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 720*FLEN/8, x10, x6, x7) + +inst_384:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x0; +valaddr_reg:x9; val_offset:722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 722*FLEN/8, x10, x6, x7) + +inst_385:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x80000000; +valaddr_reg:x9; val_offset:724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 724*FLEN/8, x10, x6, x7) + +inst_386:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x1; +valaddr_reg:x9; val_offset:726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 726*FLEN/8, x10, x6, x7) + +inst_387:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x80000001; +valaddr_reg:x9; val_offset:728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 728*FLEN/8, x10, x6, x7) + +inst_388:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x2; +valaddr_reg:x9; val_offset:730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 730*FLEN/8, x10, x6, x7) + +inst_389:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 732*FLEN/8, x10, x6, x7) + +inst_390:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 734*FLEN/8, x10, x6, x7) + +inst_391:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 736*FLEN/8, x10, x6, x7) + +inst_392:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x800000; +valaddr_reg:x9; val_offset:738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 738*FLEN/8, x10, x6, x7) + +inst_393:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x80800000; +valaddr_reg:x9; val_offset:740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 740*FLEN/8, x10, x6, x7) + +inst_394:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x800001; +valaddr_reg:x9; val_offset:742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 742*FLEN/8, x10, x6, x7) + +inst_395:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x80855555; +valaddr_reg:x9; val_offset:744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 744*FLEN/8, x10, x6, x7) + +inst_396:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 746*FLEN/8, x10, x6, x7) + +inst_397:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 748*FLEN/8, x10, x6, x7) + +inst_398:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 750*FLEN/8, x10, x6, x7) + +inst_399:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xff800000; +valaddr_reg:x9; val_offset:752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 752*FLEN/8, x10, x6, x7) + +inst_400:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 754*FLEN/8, x10, x6, x7) + +inst_401:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 756*FLEN/8, x10, x6, x7) + +inst_402:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 758*FLEN/8, x10, x6, x7) + +inst_403:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 760*FLEN/8, x10, x6, x7) + +inst_404:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 762*FLEN/8, x10, x6, x7) + +inst_405:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 764*FLEN/8, x10, x6, x7) + +inst_406:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 766*FLEN/8, x10, x6, x7) + +inst_407:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 768*FLEN/8, x10, x6, x7) + +inst_408:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x0; +valaddr_reg:x9; val_offset:770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 770*FLEN/8, x10, x6, x7) + +inst_409:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x80000000; +valaddr_reg:x9; val_offset:772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 772*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_3) + +inst_410:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x1; +valaddr_reg:x9; val_offset:774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 774*FLEN/8, x10, x6, x7) + +inst_411:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x80000001; +valaddr_reg:x9; val_offset:776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 776*FLEN/8, x10, x6, x7) + +inst_412:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x2; +valaddr_reg:x9; val_offset:778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 778*FLEN/8, x10, x6, x7) + +inst_413:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 780*FLEN/8, x10, x6, x7) + +inst_414:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 782*FLEN/8, x10, x6, x7) + +inst_415:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 784*FLEN/8, x10, x6, x7) + +inst_416:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x800000; +valaddr_reg:x9; val_offset:786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 786*FLEN/8, x10, x6, x7) + +inst_417:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x80800000; +valaddr_reg:x9; val_offset:788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 788*FLEN/8, x10, x6, x7) + +inst_418:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x800001; +valaddr_reg:x9; val_offset:790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 790*FLEN/8, x10, x6, x7) + +inst_419:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x80855555; +valaddr_reg:x9; val_offset:792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 792*FLEN/8, x10, x6, x7) + +inst_420:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 794*FLEN/8, x10, x6, x7) + +inst_421:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 796*FLEN/8, x10, x6, x7) + +inst_422:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 798*FLEN/8, x10, x6, x7) + +inst_423:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xff800000; +valaddr_reg:x9; val_offset:800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 800*FLEN/8, x10, x6, x7) + +inst_424:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 802*FLEN/8, x10, x6, x7) + +inst_425:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 804*FLEN/8, x10, x6, x7) + +inst_426:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 806*FLEN/8, x10, x6, x7) + +inst_427:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 808*FLEN/8, x10, x6, x7) + +inst_428:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 810*FLEN/8, x10, x6, x7) + +inst_429:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 812*FLEN/8, x10, x6, x7) + +inst_430:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 814*FLEN/8, x10, x6, x7) + +inst_431:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 816*FLEN/8, x10, x6, x7) + +inst_432:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x0; +valaddr_reg:x9; val_offset:818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 818*FLEN/8, x10, x6, x7) + +inst_433:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x80000000; +valaddr_reg:x9; val_offset:820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 820*FLEN/8, x10, x6, x7) + +inst_434:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x1; +valaddr_reg:x9; val_offset:822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 822*FLEN/8, x10, x6, x7) + +inst_435:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x80000001; +valaddr_reg:x9; val_offset:824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 824*FLEN/8, x10, x6, x7) + +inst_436:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x2; +valaddr_reg:x9; val_offset:826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 826*FLEN/8, x10, x6, x7) + +inst_437:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 828*FLEN/8, x10, x6, x7) + +inst_438:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7fffff; +valaddr_reg:x9; val_offset:830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 830*FLEN/8, x10, x6, x7) + +inst_439:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x807fffff; +valaddr_reg:x9; val_offset:832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 832*FLEN/8, x10, x6, x7) + +inst_440:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x800000; +valaddr_reg:x9; val_offset:834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 834*FLEN/8, x10, x6, x7) + +inst_441:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x80800000; +valaddr_reg:x9; val_offset:836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 836*FLEN/8, x10, x6, x7) + +inst_442:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x800001; +valaddr_reg:x9; val_offset:838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 838*FLEN/8, x10, x6, x7) + +inst_443:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x80855555; +valaddr_reg:x9; val_offset:840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 840*FLEN/8, x10, x6, x7) + +inst_444:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 842*FLEN/8, x10, x6, x7) + +inst_445:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 844*FLEN/8, x10, x6, x7) + +inst_446:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7f800000; +valaddr_reg:x9; val_offset:846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 846*FLEN/8, x10, x6, x7) + +inst_447:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xff800000; +valaddr_reg:x9; val_offset:848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 848*FLEN/8, x10, x6, x7) + +inst_448:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 850*FLEN/8, x10, x6, x7) + +inst_449:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xffc00000; +valaddr_reg:x9; val_offset:852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 852*FLEN/8, x10, x6, x7) + +inst_450:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 854*FLEN/8, x10, x6, x7) + +inst_451:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xffc55555; +valaddr_reg:x9; val_offset:856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 856*FLEN/8, x10, x6, x7) + +inst_452:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7f800001; +valaddr_reg:x9; val_offset:858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 858*FLEN/8, x10, x6, x7) + +inst_453:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 860*FLEN/8, x10, x6, x7) + +inst_454:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x3f800000; +valaddr_reg:x9; val_offset:862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 862*FLEN/8, x10, x6, x7) + +inst_455:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xbf800000; +valaddr_reg:x9; val_offset:864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 864*FLEN/8, x10, x6, x7) + +inst_456:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x0; +valaddr_reg:x9; val_offset:866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 866*FLEN/8, x10, x6, x7) + +inst_457:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x80000000; +valaddr_reg:x9; val_offset:868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 868*FLEN/8, x10, x6, x7) + +inst_458:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x1; +valaddr_reg:x9; val_offset:870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 870*FLEN/8, x10, x6, x7) + +inst_459:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x80000001; +valaddr_reg:x9; val_offset:872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 872*FLEN/8, x10, x6, x7) + +inst_460:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x2; +valaddr_reg:x9; val_offset:874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 874*FLEN/8, x10, x6, x7) + +inst_461:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 876*FLEN/8, x10, x6, x7) + +inst_462:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7fffff; +valaddr_reg:x9; val_offset:878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 878*FLEN/8, x10, x6, x7) + +inst_463:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x807fffff; +valaddr_reg:x9; val_offset:880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 880*FLEN/8, x10, x6, x7) + +inst_464:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x800000; +valaddr_reg:x9; val_offset:882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 882*FLEN/8, x10, x6, x7) + +inst_465:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x80800000; +valaddr_reg:x9; val_offset:884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 884*FLEN/8, x10, x6, x7) + +inst_466:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x800001; +valaddr_reg:x9; val_offset:886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 886*FLEN/8, x10, x6, x7) + +inst_467:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x80855555; +valaddr_reg:x9; val_offset:888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 888*FLEN/8, x10, x6, x7) + +inst_468:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 890*FLEN/8, x10, x6, x7) + +inst_469:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 892*FLEN/8, x10, x6, x7) + +inst_470:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7f800000; +valaddr_reg:x9; val_offset:894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 894*FLEN/8, x10, x6, x7) + +inst_471:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xff800000; +valaddr_reg:x9; val_offset:896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 896*FLEN/8, x10, x6, x7) + +inst_472:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 898*FLEN/8, x10, x6, x7) + +inst_473:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xffc00000; +valaddr_reg:x9; val_offset:900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 900*FLEN/8, x10, x6, x7) + +inst_474:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 902*FLEN/8, x10, x6, x7) + +inst_475:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xffc55555; +valaddr_reg:x9; val_offset:904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 904*FLEN/8, x10, x6, x7) + +inst_476:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7f800001; +valaddr_reg:x9; val_offset:906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 906*FLEN/8, x10, x6, x7) + +inst_477:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 908*FLEN/8, x10, x6, x7) + +inst_478:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x3f800000; +valaddr_reg:x9; val_offset:910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 910*FLEN/8, x10, x6, x7) + +inst_479:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xbf800000; +valaddr_reg:x9; val_offset:912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 912*FLEN/8, x10, x6, x7) + +inst_480:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x0; +valaddr_reg:x9; val_offset:914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 914*FLEN/8, x10, x6, x7) + +inst_481:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x80000000; +valaddr_reg:x9; val_offset:916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 916*FLEN/8, x10, x6, x7) + +inst_482:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x1; +valaddr_reg:x9; val_offset:918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 918*FLEN/8, x10, x6, x7) + +inst_483:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x80000001; +valaddr_reg:x9; val_offset:920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 920*FLEN/8, x10, x6, x7) + +inst_484:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x2; +valaddr_reg:x9; val_offset:922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 922*FLEN/8, x10, x6, x7) + +inst_485:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 924*FLEN/8, x10, x6, x7) + +inst_486:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7fffff; +valaddr_reg:x9; val_offset:926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 926*FLEN/8, x10, x6, x7) + +inst_487:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x807fffff; +valaddr_reg:x9; val_offset:928*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 928*FLEN/8, x10, x6, x7) + +inst_488:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x800000; +valaddr_reg:x9; val_offset:930*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 930*FLEN/8, x10, x6, x7) + +inst_489:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x80800000; +valaddr_reg:x9; val_offset:932*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 932*FLEN/8, x10, x6, x7) + +inst_490:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x800001; +valaddr_reg:x9; val_offset:934*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 934*FLEN/8, x10, x6, x7) + +inst_491:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x80855555; +valaddr_reg:x9; val_offset:936*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 936*FLEN/8, x10, x6, x7) + +inst_492:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:938*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 938*FLEN/8, x10, x6, x7) + +inst_493:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:940*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 940*FLEN/8, x10, x6, x7) + +inst_494:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7f800000; +valaddr_reg:x9; val_offset:942*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 942*FLEN/8, x10, x6, x7) + +inst_495:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xff800000; +valaddr_reg:x9; val_offset:944*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 944*FLEN/8, x10, x6, x7) + +inst_496:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:946*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 946*FLEN/8, x10, x6, x7) + +inst_497:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xffc00000; +valaddr_reg:x9; val_offset:948*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 948*FLEN/8, x10, x6, x7) + +inst_498:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:950*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 950*FLEN/8, x10, x6, x7) + +inst_499:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xffc55555; +valaddr_reg:x9; val_offset:952*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 952*FLEN/8, x10, x6, x7) + +inst_500:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7f800001; +valaddr_reg:x9; val_offset:954*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 954*FLEN/8, x10, x6, x7) + +inst_501:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:956*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 956*FLEN/8, x10, x6, x7) + +inst_502:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x3f800000; +valaddr_reg:x9; val_offset:958*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 958*FLEN/8, x10, x6, x7) + +inst_503:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xbf800000; +valaddr_reg:x9; val_offset:960*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 960*FLEN/8, x10, x6, x7) + +inst_504:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x0; +valaddr_reg:x9; val_offset:962*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 962*FLEN/8, x10, x6, x7) + +inst_505:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x80000000; +valaddr_reg:x9; val_offset:964*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 964*FLEN/8, x10, x6, x7) + +inst_506:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x1; +valaddr_reg:x9; val_offset:966*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 966*FLEN/8, x10, x6, x7) + +inst_507:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x80000001; +valaddr_reg:x9; val_offset:968*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 968*FLEN/8, x10, x6, x7) + +inst_508:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x2; +valaddr_reg:x9; val_offset:970*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 970*FLEN/8, x10, x6, x7) + +inst_509:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:972*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 972*FLEN/8, x10, x6, x7) + +inst_510:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7fffff; +valaddr_reg:x9; val_offset:974*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 974*FLEN/8, x10, x6, x7) + +inst_511:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x807fffff; +valaddr_reg:x9; val_offset:976*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 976*FLEN/8, x10, x6, x7) + +inst_512:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x800000; +valaddr_reg:x9; val_offset:978*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 978*FLEN/8, x10, x6, x7) + +inst_513:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x80800000; +valaddr_reg:x9; val_offset:980*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 980*FLEN/8, x10, x6, x7) + +inst_514:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x800001; +valaddr_reg:x9; val_offset:982*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 982*FLEN/8, x10, x6, x7) + +inst_515:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x80855555; +valaddr_reg:x9; val_offset:984*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 984*FLEN/8, x10, x6, x7) + +inst_516:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:986*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 986*FLEN/8, x10, x6, x7) + +inst_517:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:988*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 988*FLEN/8, x10, x6, x7) + +inst_518:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7f800000; +valaddr_reg:x9; val_offset:990*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 990*FLEN/8, x10, x6, x7) + +inst_519:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xff800000; +valaddr_reg:x9; val_offset:992*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 992*FLEN/8, x10, x6, x7) + +inst_520:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:994*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 994*FLEN/8, x10, x6, x7) + +inst_521:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xffc00000; +valaddr_reg:x9; val_offset:996*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 996*FLEN/8, x10, x6, x7) + +inst_522:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:998*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 998*FLEN/8, x10, x6, x7) + +inst_523:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xffc55555; +valaddr_reg:x9; val_offset:1000*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1000*FLEN/8, x10, x6, x7) + +inst_524:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7f800001; +valaddr_reg:x9; val_offset:1002*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1002*FLEN/8, x10, x6, x7) + +inst_525:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:1004*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1004*FLEN/8, x10, x6, x7) + +inst_526:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x3f800000; +valaddr_reg:x9; val_offset:1006*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1006*FLEN/8, x10, x6, x7) + +inst_527:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xbf800000; +valaddr_reg:x9; val_offset:1008*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1008*FLEN/8, x10, x6, x7) + +inst_528:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x0; +valaddr_reg:x9; val_offset:1010*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1010*FLEN/8, x10, x6, x7) + +inst_529:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:1012*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1012*FLEN/8, x10, x6, x7) + +inst_530:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x1; +valaddr_reg:x9; val_offset:1014*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1014*FLEN/8, x10, x6, x7) + +inst_531:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:1016*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1016*FLEN/8, x10, x6, x7) + +inst_532:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x2; +valaddr_reg:x9; val_offset:1018*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1018*FLEN/8, x10, x6, x7) + +inst_533:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:1020*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1020*FLEN/8, x10, x6, x7) + +inst_534:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:1022*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1022*FLEN/8, x10, x6, x7) + +inst_535:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:1024*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1024*FLEN/8, x10, x6, x7) + +inst_536:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x800000; +valaddr_reg:x9; val_offset:1026*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1026*FLEN/8, x10, x6, x7) + +inst_537:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:1028*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1028*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_4) + +inst_538:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x800001; +valaddr_reg:x9; val_offset:1030*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1030*FLEN/8, x10, x6, x7) + +inst_539:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:1032*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1032*FLEN/8, x10, x6, x7) + +inst_540:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1034*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1034*FLEN/8, x10, x6, x7) + +inst_541:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1036*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1036*FLEN/8, x10, x6, x7) + +inst_542:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:1038*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1038*FLEN/8, x10, x6, x7) + +inst_543:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:1040*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1040*FLEN/8, x10, x6, x7) + +inst_544:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:1042*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1042*FLEN/8, x10, x6, x7) + +inst_545:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:1044*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1044*FLEN/8, x10, x6, x7) + +inst_546:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:1046*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1046*FLEN/8, x10, x6, x7) + +inst_547:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:1048*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1048*FLEN/8, x10, x6, x7) + +inst_548:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:1050*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1050*FLEN/8, x10, x6, x7) + +inst_549:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:1052*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1052*FLEN/8, x10, x6, x7) + +inst_550:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:1054*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1054*FLEN/8, x10, x6, x7) + +inst_551:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:1056*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1056*FLEN/8, x10, x6, x7) + +inst_552:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x0; +valaddr_reg:x9; val_offset:1058*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1058*FLEN/8, x10, x6, x7) + +inst_553:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:1060*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1060*FLEN/8, x10, x6, x7) + +inst_554:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x1; +valaddr_reg:x9; val_offset:1062*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1062*FLEN/8, x10, x6, x7) + +inst_555:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:1064*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1064*FLEN/8, x10, x6, x7) + +inst_556:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x2; +valaddr_reg:x9; val_offset:1066*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1066*FLEN/8, x10, x6, x7) + +inst_557:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:1068*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1068*FLEN/8, x10, x6, x7) + +inst_558:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:1070*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1070*FLEN/8, x10, x6, x7) + +inst_559:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:1072*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1072*FLEN/8, x10, x6, x7) + +inst_560:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x800000; +valaddr_reg:x9; val_offset:1074*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1074*FLEN/8, x10, x6, x7) + +inst_561:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:1076*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1076*FLEN/8, x10, x6, x7) + +inst_562:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x800001; +valaddr_reg:x9; val_offset:1078*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1078*FLEN/8, x10, x6, x7) + +inst_563:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:1080*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1080*FLEN/8, x10, x6, x7) + +inst_564:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1082*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1082*FLEN/8, x10, x6, x7) + +inst_565:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1084*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1084*FLEN/8, x10, x6, x7) + +inst_566:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:1086*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1086*FLEN/8, x10, x6, x7) + +inst_567:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:1088*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1088*FLEN/8, x10, x6, x7) + +inst_568:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:1090*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1090*FLEN/8, x10, x6, x7) + +inst_569:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:1092*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1092*FLEN/8, x10, x6, x7) + +inst_570:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:1094*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1094*FLEN/8, x10, x6, x7) + +inst_571:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:1096*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1096*FLEN/8, x10, x6, x7) + +inst_572:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:1098*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1098*FLEN/8, x10, x6, x7) + +inst_573:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:1100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1100*FLEN/8, x10, x6, x7) + +inst_574:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:1102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1102*FLEN/8, x10, x6, x7) + +inst_575:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:1104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1104*FLEN/8, x10, x6, x7) + +inst_576:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x0; +valaddr_reg:x9; val_offset:1106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1106*FLEN/8, x10, x6, x7) + +inst_577:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x80800000; +valaddr_reg:x9; val_offset:1108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1108*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +test_dataset_1: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_4: + .fill 80*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/F_Zfa/src/fleq_b19-01.S b/riscv-test-suite/rv64i_m/F_Zfa/src/fleq_b19-01.S new file mode 100644 index 000000000..884a7e460 --- /dev/null +++ b/riscv-test-suite/rv64i_m/F_Zfa/src/fleq_b19-01.S @@ -0,0 +1,8712 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:40:22 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fleq.s.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fleq.s instruction of the RISC-V RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fleq_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fleq_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 == rs2, rs1==f31, rs2==f31, rd==x31,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f31; dest:x31; op1val:0x7e36c1bf; op2val:0x7e36c1bf; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 != rs2, rs1==f30, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f30; op2:f29; dest:x30; op1val:0x7e36c1bf; op2val:0x7ef046ce; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x30, f30, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f29, rs2==f30, rd==x29,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x4038a5 and fcsr == 0 +/* opcode: fleq.s ; op1:f29; op2:f30; dest:x29; op1val:0x7f7fffff; op2val:0x7d4038a5; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x29, f29, f30, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0xfa and fm1 == 0x4038a5 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f28; op2:f27; dest:x28; op1val:0x7d4038a5; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f27; op2:f28; dest:x27; op1val:0x7f7fffff; op2val:0x7ef046ce; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f26; op2:f25; dest:x26; op1val:0x7e36c1bf; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f25; op2:f26; dest:x25; op1val:0x7e36c1bf; op2val:0x7e472f12; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f24; op2:f23; dest:x24; op1val:0x7e472f12; op2val:0x7e36c1bf; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f23; op2:f24; dest:x23; op1val:0x7e36c1bf; op2val:0x7f2099c0; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x007b00 and fcsr == 0 +/* opcode: fleq.s ; op1:f22; op2:f21; dest:x22; op1val:0x7f7fffff; op2val:0x7d807b00; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0xfb and fm1 == 0x007b00 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f21; op2:f22; dest:x21; op1val:0x7d807b00; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f20; op2:f19; dest:x20; op1val:0x7f7fffff; op2val:0x7f2099c0; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f19; op2:f20; dest:x19; op1val:0x7e36c1bf; op2val:0x7ef3c956; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x430778 and fcsr == 0 +/* opcode: fleq.s ; op1:f18; op2:f17; dest:x18; op1val:0x7f7fffff; op2val:0x7d430778; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 0 and fe1 == 0xfa and fm1 == 0x430778 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f17; op2:f18; dest:x17; op1val:0x7d430778; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f16; op2:f15; dest:x16; op1val:0x7f7fffff; op2val:0x7ef3c956; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f15; op2:f16; dest:x15; op1val:0x7e36c1bf; op2val:0xfeaf0416; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x0c0345 and fcsr == 0 +/* opcode: fleq.s ; op1:f14; op2:f13; dest:x14; op1val:0x7f7fffff; op2val:0xfd0c0345; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 1 and fe1 == 0xfa and fm1 == 0x0c0345 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f13; op2:f14; dest:x13; op1val:0xfd0c0345; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f12; op2:f11; dest:x12; op1val:0x7f7fffff; op2val:0xfeaf0416; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f11; op2:f12; dest:x11; op1val:0x7e36c1bf; op2val:0xff336b1f; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfb and fm2 == 0x0f88e6 and fcsr == 0 +/* opcode: fleq.s ; op1:f10; op2:f9; dest:x10; op1val:0x7f7fffff; op2val:0xfd8f88e6; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 1 and fe1 == 0xfb and fm1 == 0x0f88e6 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f9; op2:f10; dest:x9; op1val:0xfd8f88e6; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f8; op2:f7; dest:x8; op1val:0x7f7fffff; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f7; op2:f8; dest:x7; op1val:0x7e36c1bf; op2val:0xff130229; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x6b36a9 and fcsr == 0 +/* opcode: fleq.s ; op1:f6; op2:f5; dest:x6; op1val:0x7f7fffff; op2val:0xfd6b36a9; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 1 and fe1 == 0xfa and fm1 == 0x6b36a9 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f5; op2:f6; dest:x5; op1val:0xfd6b36a9; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f4; op2:f3; dest:x4; op1val:0x7f7fffff; op2val:0xff130229; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f3; op2:f4; dest:x3; op1val:0x7e36c1bf; op2val:0xfec91492; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x20dd41 and fcsr == 0 +/* opcode: fleq.s ; op1:f2; op2:f1; dest:x2; op1val:0x7f7fffff; op2val:0xfd20dd41; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 1 and fe1 == 0xfa and fm1 == 0x20dd41 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f1; op2:f2; dest:x1; op1val:0xfd20dd41; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f0; op2:f31; dest:x31; op1val:0x7f7fffff; op2val:0xfec91492; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f0; dest:x31; op1val:0x7e36c1bf; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x0; op1val:0xfdcaaeb1; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) + +inst_34:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x17ad58; +valaddr_reg:x9; val_offset:22*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 22*FLEN/8, x10, x6, x7) + +inst_35:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 0 and fe2 == 0xfb and fm2 == 0x02ddf4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x7d82ddf4; +valaddr_reg:x9; val_offset:24*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 24*FLEN/8, x10, x6, x7) + +inst_36:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x02ddf4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x42216f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d82ddf4; op2val:0x42216f; +valaddr_reg:x9; val_offset:26*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 26*FLEN/8, x10, x6, x7) + +inst_37:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x17ad58; +valaddr_reg:x9; val_offset:28*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 28*FLEN/8, x10, x6, x7) + +inst_38:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x42216f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x42216f; +valaddr_reg:x9; val_offset:30*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 30*FLEN/8, x10, x6, x7) + +inst_39:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0xd7bf; +valaddr_reg:x9; val_offset:32*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 32*FLEN/8, x10, x6, x7) + +inst_40:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00a94b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x68e714 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xa94b; op2val:0x7e68e714; +valaddr_reg:x9; val_offset:34*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 34*FLEN/8, x10, x6, x7) + +inst_41:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x68e714 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00a94b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e68e714; op2val:0xa94b; +valaddr_reg:x9; val_offset:36*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 36*FLEN/8, x10, x6, x7) + +inst_42:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00a94b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xa94b; op2val:0xd7bf; +valaddr_reg:x9; val_offset:38*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 38*FLEN/8, x10, x6, x7) + +inst_43:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00a94b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0xa94b; +valaddr_reg:x9; val_offset:40*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 40*FLEN/8, x10, x6, x7) + +inst_44:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:42*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 42*FLEN/8, x10, x6, x7) + +inst_45:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 0 and fe2 == 0xfc and fm2 == 0x301931 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x7e301931; +valaddr_reg:x9; val_offset:44*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 44*FLEN/8, x10, x6, x7) + +inst_46:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x301931 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x42216f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e301931; op2val:0x42216f; +valaddr_reg:x9; val_offset:46*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 46*FLEN/8, x10, x6, x7) + +inst_47:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:48*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 48*FLEN/8, x10, x6, x7) + +inst_48:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x28e67d; +valaddr_reg:x9; val_offset:50*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 50*FLEN/8, x10, x6, x7) + +inst_49:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 0 and fe2 == 0xfb and fm2 == 0x620ff4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x7de20ff4; +valaddr_reg:x9; val_offset:52*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 52*FLEN/8, x10, x6, x7) + +inst_50:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x620ff4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x42216f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7de20ff4; op2val:0x42216f; +valaddr_reg:x9; val_offset:54*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 54*FLEN/8, x10, x6, x7) + +inst_51:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x28e67d; +valaddr_reg:x9; val_offset:56*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 56*FLEN/8, x10, x6, x7) + +inst_52:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x217bcd; +valaddr_reg:x9; val_offset:58*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 58*FLEN/8, x10, x6, x7) + +inst_53:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 0 and fe2 == 0xfb and fm2 == 0x39119c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x7db9119c; +valaddr_reg:x9; val_offset:60*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 60*FLEN/8, x10, x6, x7) + +inst_54:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x39119c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x42216f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7db9119c; op2val:0x42216f; +valaddr_reg:x9; val_offset:62*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 62*FLEN/8, x10, x6, x7) + +inst_55:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x217bcd; +valaddr_reg:x9; val_offset:64*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 64*FLEN/8, x10, x6, x7) + +inst_56:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x8019595f; +valaddr_reg:x9; val_offset:66*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 66*FLEN/8, x10, x6, x7) + +inst_57:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 1 and fe2 == 0xfb and fm2 == 0x0c1bbb and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0xfd8c1bbb; +valaddr_reg:x9; val_offset:68*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 68*FLEN/8, x10, x6, x7) + +inst_58:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x0c1bbb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x42216f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd8c1bbb; op2val:0x42216f; +valaddr_reg:x9; val_offset:70*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 70*FLEN/8, x10, x6, x7) + +inst_59:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x8019595f; +valaddr_reg:x9; val_offset:72*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 72*FLEN/8, x10, x6, x7) + +inst_60:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:74*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 74*FLEN/8, x10, x6, x7) + +inst_61:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x069cf1 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x0fbbb6 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x69cf1; op2val:0xfe8fbbb6; +valaddr_reg:x9; val_offset:76*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 76*FLEN/8, x10, x6, x7) + +inst_62:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x0fbbb6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x069cf1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe8fbbb6; op2val:0x69cf1; +valaddr_reg:x9; val_offset:78*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 78*FLEN/8, x10, x6, x7) + +inst_63:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x069cf1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x69cf1; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:80*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 80*FLEN/8, x10, x6, x7) + +inst_64:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x069cf1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x69cf1; +valaddr_reg:x9; val_offset:82*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 82*FLEN/8, x10, x6, x7) + +inst_65:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x8021e733; +valaddr_reg:x9; val_offset:84*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 84*FLEN/8, x10, x6, x7) + +inst_66:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 1 and fe2 == 0xfb and fm2 == 0x3b633c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0xfdbb633c; +valaddr_reg:x9; val_offset:86*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 86*FLEN/8, x10, x6, x7) + +inst_67:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x3b633c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x42216f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdbb633c; op2val:0x42216f; +valaddr_reg:x9; val_offset:88*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 88*FLEN/8, x10, x6, x7) + +inst_68:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x8021e733; +valaddr_reg:x9; val_offset:90*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 90*FLEN/8, x10, x6, x7) + +inst_69:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:92*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 92*FLEN/8, x10, x6, x7) + +inst_70:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 1 and fe2 == 0xfc and fm2 == 0x163ab8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0xfe163ab8; +valaddr_reg:x9; val_offset:94*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 94*FLEN/8, x10, x6, x7) + +inst_71:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x163ab8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x42216f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe163ab8; op2val:0x42216f; +valaddr_reg:x9; val_offset:96*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 96*FLEN/8, x10, x6, x7) + +inst_72:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:98*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 98*FLEN/8, x10, x6, x7) + +inst_73:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x80108f54; +valaddr_reg:x9; val_offset:100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 100*FLEN/8, x10, x6, x7) + +inst_74:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 1 and fe2 == 0xfa and fm2 == 0x370ed0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0xfd370ed0; +valaddr_reg:x9; val_offset:102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 102*FLEN/8, x10, x6, x7) + +inst_75:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x370ed0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x42216f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd370ed0; op2val:0x42216f; +valaddr_reg:x9; val_offset:104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 104*FLEN/8, x10, x6, x7) + +inst_76:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x42216f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x42216f; op2val:0x80108f54; +valaddr_reg:x9; val_offset:106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 106*FLEN/8, x10, x6, x7) + +inst_77:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x7f0; +valaddr_reg:x9; val_offset:108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 108*FLEN/8, x10, x6, x7) + +inst_78:// fs1 == 0 and fe1 == 0x81 and fm1 == 0x425723 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x40c25723; op2val:0x7f0; +valaddr_reg:x9; val_offset:110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 110*FLEN/8, x10, x6, x7) + +inst_79:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x425723 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x40c25723; +valaddr_reg:x9; val_offset:112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 112*FLEN/8, x10, x6, x7) + +inst_80:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0x81 and fm2 == 0x425723 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x40c25723; +valaddr_reg:x9; val_offset:114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 114*FLEN/8, x10, x6, x7) + +inst_81:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 116*FLEN/8, x10, x6, x7) + +inst_82:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x4038a5 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d4038a5; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 118*FLEN/8, x10, x6, x7) + +inst_83:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0xfa and fm2 == 0x4038a5 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x7d4038a5; +valaddr_reg:x9; val_offset:120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 120*FLEN/8, x10, x6, x7) + +inst_84:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 122*FLEN/8, x10, x6, x7) + +inst_85:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 124*FLEN/8, x10, x6, x7) + +inst_86:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x4038a5 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d4038a5; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 126*FLEN/8, x10, x6, x7) + +inst_87:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 128*FLEN/8, x10, x6, x7) + +inst_88:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 130*FLEN/8, x10, x6, x7) + +inst_89:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 132*FLEN/8, x10, x6, x7) + +inst_90:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 134*FLEN/8, x10, x6, x7) + +inst_91:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 136*FLEN/8, x10, x6, x7) + +inst_92:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 138*FLEN/8, x10, x6, x7) + +inst_93:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 140*FLEN/8, x10, x6, x7) + +inst_94:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 142*FLEN/8, x10, x6, x7) + +inst_95:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0xff130229; +valaddr_reg:x9; val_offset:144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 144*FLEN/8, x10, x6, x7) + +inst_96:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 146*FLEN/8, x10, x6, x7) + +inst_97:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0xfec91492; +valaddr_reg:x9; val_offset:148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 148*FLEN/8, x10, x6, x7) + +inst_98:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 150*FLEN/8, x10, x6, x7) + +inst_99:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 152*FLEN/8, x10, x6, x7) + +inst_100:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x4038a5 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7d5a5e and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d4038a5; op2val:0xff7d5a5e; +valaddr_reg:x9; val_offset:154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 154*FLEN/8, x10, x6, x7) + +inst_101:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 0 and fe2 == 0xfa and fm2 == 0x4038a5 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0x7d4038a5; +valaddr_reg:x9; val_offset:156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 156*FLEN/8, x10, x6, x7) + +inst_102:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x4038a5 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d4038a5; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 158*FLEN/8, x10, x6, x7) + +inst_103:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x17ad58; +valaddr_reg:x9; val_offset:160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 160*FLEN/8, x10, x6, x7) + +inst_104:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x239571 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0x7f239571; +valaddr_reg:x9; val_offset:162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 162*FLEN/8, x10, x6, x7) + +inst_105:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11638a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0x11638a; +valaddr_reg:x9; val_offset:164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 164*FLEN/8, x10, x6, x7) + +inst_106:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0x17ad58; +valaddr_reg:x9; val_offset:166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 166*FLEN/8, x10, x6, x7) + +inst_107:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11638a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x11638a; +valaddr_reg:x9; val_offset:168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 168*FLEN/8, x10, x6, x7) + +inst_108:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0xd7bf; +valaddr_reg:x9; val_offset:170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 170*FLEN/8, x10, x6, x7) + +inst_109:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x002c83 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2c83; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 172*FLEN/8, x10, x6, x7) + +inst_110:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x002c83 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x2c83; +valaddr_reg:x9; val_offset:174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 174*FLEN/8, x10, x6, x7) + +inst_111:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x002c83 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2c83; op2val:0xd7bf; +valaddr_reg:x9; val_offset:176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 176*FLEN/8, x10, x6, x7) + +inst_112:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x002c83 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x2c83; +valaddr_reg:x9; val_offset:178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 178*FLEN/8, x10, x6, x7) + +inst_113:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 180*FLEN/8, x10, x6, x7) + +inst_114:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 182*FLEN/8, x10, x6, x7) + +inst_115:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11638a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x11638a; +valaddr_reg:x9; val_offset:184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 184*FLEN/8, x10, x6, x7) + +inst_116:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 186*FLEN/8, x10, x6, x7) + +inst_117:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x28e67d; +valaddr_reg:x9; val_offset:188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 188*FLEN/8, x10, x6, x7) + +inst_118:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0x28e67d; +valaddr_reg:x9; val_offset:190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 190*FLEN/8, x10, x6, x7) + +inst_119:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x217bcd; +valaddr_reg:x9; val_offset:192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 192*FLEN/8, x10, x6, x7) + +inst_120:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x675603 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0x7f675603; +valaddr_reg:x9; val_offset:194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 194*FLEN/8, x10, x6, x7) + +inst_121:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11638a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0x11638a; +valaddr_reg:x9; val_offset:196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 196*FLEN/8, x10, x6, x7) + +inst_122:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0x217bcd; +valaddr_reg:x9; val_offset:198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 198*FLEN/8, x10, x6, x7) + +inst_123:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x8019595f; +valaddr_reg:x9; val_offset:200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 200*FLEN/8, x10, x6, x7) + +inst_124:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 1 and fe2 == 0xfe and fm2 == 0x2f22aa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0xff2f22aa; +valaddr_reg:x9; val_offset:202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 202*FLEN/8, x10, x6, x7) + +inst_125:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11638a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0x11638a; +valaddr_reg:x9; val_offset:204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 204*FLEN/8, x10, x6, x7) + +inst_126:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0x8019595f; +valaddr_reg:x9; val_offset:206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 206*FLEN/8, x10, x6, x7) + +inst_127:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 208*FLEN/8, x10, x6, x7) + +inst_128:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x01bd27 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1bd27; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 210*FLEN/8, x10, x6, x7) + +inst_129:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x01bd27 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x1bd27; +valaddr_reg:x9; val_offset:212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 212*FLEN/8, x10, x6, x7) + +inst_130:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x01bd27 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1bd27; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 214*FLEN/8, x10, x6, x7) + +inst_131:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x01bd27 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x1bd27; +valaddr_reg:x9; val_offset:216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 216*FLEN/8, x10, x6, x7) + +inst_132:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x8021e733; +valaddr_reg:x9; val_offset:218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 218*FLEN/8, x10, x6, x7) + +inst_133:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6a3c0b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0xff6a3c0b; +valaddr_reg:x9; val_offset:220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 220*FLEN/8, x10, x6, x7) + +inst_134:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11638a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0x11638a; +valaddr_reg:x9; val_offset:222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 222*FLEN/8, x10, x6, x7) + +inst_135:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0x8021e733; +valaddr_reg:x9; val_offset:224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 224*FLEN/8, x10, x6, x7) + +inst_136:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 226*FLEN/8, x10, x6, x7) + +inst_137:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 228*FLEN/8, x10, x6, x7) + +inst_138:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11638a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x11638a; +valaddr_reg:x9; val_offset:230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 230*FLEN/8, x10, x6, x7) + +inst_139:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 232*FLEN/8, x10, x6, x7) + +inst_140:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x80108f54; +valaddr_reg:x9; val_offset:234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 234*FLEN/8, x10, x6, x7) + +inst_141:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64d284 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0xfee4d284; +valaddr_reg:x9; val_offset:236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 236*FLEN/8, x10, x6, x7) + +inst_142:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11638a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0x11638a; +valaddr_reg:x9; val_offset:238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 238*FLEN/8, x10, x6, x7) + +inst_143:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11638a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11638a; op2val:0x80108f54; +valaddr_reg:x9; val_offset:240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 240*FLEN/8, x10, x6, x7) + +inst_144:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x7f0; +valaddr_reg:x9; val_offset:242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 242*FLEN/8, x10, x6, x7) + +inst_145:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x4c679b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fcc679b; op2val:0x7f0; +valaddr_reg:x9; val_offset:244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 244*FLEN/8, x10, x6, x7) + +inst_146:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x4c679b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x3fcc679b; +valaddr_reg:x9; val_offset:246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 246*FLEN/8, x10, x6, x7) + +inst_147:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x7046ce and fs2 == 0 and fe2 == 0x7f and fm2 == 0x4c679b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef046ce; op2val:0x3fcc679b; +valaddr_reg:x9; val_offset:248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 248*FLEN/8, x10, x6, x7) + +inst_148:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 250*FLEN/8, x10, x6, x7) + +inst_149:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 252*FLEN/8, x10, x6, x7) + +inst_150:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 254*FLEN/8, x10, x6, x7) + +inst_151:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 256*FLEN/8, x10, x6, x7) + +inst_152:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 258*FLEN/8, x10, x6, x7) + +inst_153:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 260*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_1) + +inst_154:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 262*FLEN/8, x10, x6, x7) + +inst_155:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0xff130229; +valaddr_reg:x9; val_offset:264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 264*FLEN/8, x10, x6, x7) + +inst_156:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0xfec91492; +valaddr_reg:x9; val_offset:266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 266*FLEN/8, x10, x6, x7) + +inst_157:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 268*FLEN/8, x10, x6, x7) + +inst_158:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 270*FLEN/8, x10, x6, x7) + +inst_159:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x17ad58; +valaddr_reg:x9; val_offset:272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 272*FLEN/8, x10, x6, x7) + +inst_160:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x02ddf4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x7d82ddf4; +valaddr_reg:x9; val_offset:274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 274*FLEN/8, x10, x6, x7) + +inst_161:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x02ddf4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x481322 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d82ddf4; op2val:0x481322; +valaddr_reg:x9; val_offset:276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 276*FLEN/8, x10, x6, x7) + +inst_162:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x17ad58; +valaddr_reg:x9; val_offset:278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 278*FLEN/8, x10, x6, x7) + +inst_163:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x481322 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x481322; +valaddr_reg:x9; val_offset:280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 280*FLEN/8, x10, x6, x7) + +inst_164:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0xd7bf; +valaddr_reg:x9; val_offset:282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 282*FLEN/8, x10, x6, x7) + +inst_165:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00b882 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x68e714 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xb882; op2val:0x7e68e714; +valaddr_reg:x9; val_offset:284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 284*FLEN/8, x10, x6, x7) + +inst_166:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x68e714 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00b882 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e68e714; op2val:0xb882; +valaddr_reg:x9; val_offset:286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 286*FLEN/8, x10, x6, x7) + +inst_167:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00b882 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xb882; op2val:0xd7bf; +valaddr_reg:x9; val_offset:288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 288*FLEN/8, x10, x6, x7) + +inst_168:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00b882 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0xb882; +valaddr_reg:x9; val_offset:290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 290*FLEN/8, x10, x6, x7) + +inst_169:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 292*FLEN/8, x10, x6, x7) + +inst_170:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x301931 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x7e301931; +valaddr_reg:x9; val_offset:294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 294*FLEN/8, x10, x6, x7) + +inst_171:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x301931 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x481322 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e301931; op2val:0x481322; +valaddr_reg:x9; val_offset:296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 296*FLEN/8, x10, x6, x7) + +inst_172:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 298*FLEN/8, x10, x6, x7) + +inst_173:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x28e67d; +valaddr_reg:x9; val_offset:300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 300*FLEN/8, x10, x6, x7) + +inst_174:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x620ff4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x7de20ff4; +valaddr_reg:x9; val_offset:302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 302*FLEN/8, x10, x6, x7) + +inst_175:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x620ff4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x481322 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7de20ff4; op2val:0x481322; +valaddr_reg:x9; val_offset:304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 304*FLEN/8, x10, x6, x7) + +inst_176:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x28e67d; +valaddr_reg:x9; val_offset:306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 306*FLEN/8, x10, x6, x7) + +inst_177:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x217bcd; +valaddr_reg:x9; val_offset:308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 308*FLEN/8, x10, x6, x7) + +inst_178:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x39119c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x7db9119c; +valaddr_reg:x9; val_offset:310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 310*FLEN/8, x10, x6, x7) + +inst_179:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x39119c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x481322 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7db9119c; op2val:0x481322; +valaddr_reg:x9; val_offset:312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 312*FLEN/8, x10, x6, x7) + +inst_180:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x217bcd; +valaddr_reg:x9; val_offset:314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 314*FLEN/8, x10, x6, x7) + +inst_181:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x8019595f; +valaddr_reg:x9; val_offset:316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 316*FLEN/8, x10, x6, x7) + +inst_182:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x0c1bbb and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0xfd8c1bbb; +valaddr_reg:x9; val_offset:318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 318*FLEN/8, x10, x6, x7) + +inst_183:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x0c1bbb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x481322 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd8c1bbb; op2val:0x481322; +valaddr_reg:x9; val_offset:320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 320*FLEN/8, x10, x6, x7) + +inst_184:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x8019595f; +valaddr_reg:x9; val_offset:322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 322*FLEN/8, x10, x6, x7) + +inst_185:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 324*FLEN/8, x10, x6, x7) + +inst_186:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x07351d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x0fbbb6 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7351d; op2val:0xfe8fbbb6; +valaddr_reg:x9; val_offset:326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 326*FLEN/8, x10, x6, x7) + +inst_187:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x0fbbb6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x07351d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe8fbbb6; op2val:0x7351d; +valaddr_reg:x9; val_offset:328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 328*FLEN/8, x10, x6, x7) + +inst_188:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x07351d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7351d; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 330*FLEN/8, x10, x6, x7) + +inst_189:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x07351d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x7351d; +valaddr_reg:x9; val_offset:332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 332*FLEN/8, x10, x6, x7) + +inst_190:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x8021e733; +valaddr_reg:x9; val_offset:334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 334*FLEN/8, x10, x6, x7) + +inst_191:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x3b633c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0xfdbb633c; +valaddr_reg:x9; val_offset:336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 336*FLEN/8, x10, x6, x7) + +inst_192:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x3b633c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x481322 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdbb633c; op2val:0x481322; +valaddr_reg:x9; val_offset:338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 338*FLEN/8, x10, x6, x7) + +inst_193:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x8021e733; +valaddr_reg:x9; val_offset:340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 340*FLEN/8, x10, x6, x7) + +inst_194:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 342*FLEN/8, x10, x6, x7) + +inst_195:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x163ab8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0xfe163ab8; +valaddr_reg:x9; val_offset:344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 344*FLEN/8, x10, x6, x7) + +inst_196:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x163ab8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x481322 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe163ab8; op2val:0x481322; +valaddr_reg:x9; val_offset:346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 346*FLEN/8, x10, x6, x7) + +inst_197:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 348*FLEN/8, x10, x6, x7) + +inst_198:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x80108f54; +valaddr_reg:x9; val_offset:350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 350*FLEN/8, x10, x6, x7) + +inst_199:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x370ed0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0xfd370ed0; +valaddr_reg:x9; val_offset:352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 352*FLEN/8, x10, x6, x7) + +inst_200:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x370ed0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x481322 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd370ed0; op2val:0x481322; +valaddr_reg:x9; val_offset:354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 354*FLEN/8, x10, x6, x7) + +inst_201:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x481322 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x481322; op2val:0x80108f54; +valaddr_reg:x9; val_offset:356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 356*FLEN/8, x10, x6, x7) + +inst_202:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x7f0; +valaddr_reg:x9; val_offset:358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 358*FLEN/8, x10, x6, x7) + +inst_203:// fs1 == 0 and fe1 == 0x81 and fm1 == 0x53cf02 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x40d3cf02; op2val:0x7f0; +valaddr_reg:x9; val_offset:360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 360*FLEN/8, x10, x6, x7) + +inst_204:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x53cf02 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x40d3cf02; +valaddr_reg:x9; val_offset:362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 362*FLEN/8, x10, x6, x7) + +inst_205:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x472f12 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x53cf02 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e472f12; op2val:0x40d3cf02; +valaddr_reg:x9; val_offset:364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 364*FLEN/8, x10, x6, x7) + +inst_206:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 366*FLEN/8, x10, x6, x7) + +inst_207:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x007b00 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d807b00; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 368*FLEN/8, x10, x6, x7) + +inst_208:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x007b00 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x7d807b00; +valaddr_reg:x9; val_offset:370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 370*FLEN/8, x10, x6, x7) + +inst_209:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 372*FLEN/8, x10, x6, x7) + +inst_210:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 374*FLEN/8, x10, x6, x7) + +inst_211:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x007b00 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d807b00; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 376*FLEN/8, x10, x6, x7) + +inst_212:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 378*FLEN/8, x10, x6, x7) + +inst_213:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 380*FLEN/8, x10, x6, x7) + +inst_214:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 382*FLEN/8, x10, x6, x7) + +inst_215:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 384*FLEN/8, x10, x6, x7) + +inst_216:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 386*FLEN/8, x10, x6, x7) + +inst_217:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 388*FLEN/8, x10, x6, x7) + +inst_218:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0xff130229; +valaddr_reg:x9; val_offset:390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 390*FLEN/8, x10, x6, x7) + +inst_219:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 392*FLEN/8, x10, x6, x7) + +inst_220:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0xfec91492; +valaddr_reg:x9; val_offset:394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 394*FLEN/8, x10, x6, x7) + +inst_221:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 396*FLEN/8, x10, x6, x7) + +inst_222:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 398*FLEN/8, x10, x6, x7) + +inst_223:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x007b00 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7d5a5e and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d807b00; op2val:0xff7d5a5e; +valaddr_reg:x9; val_offset:400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 400*FLEN/8, x10, x6, x7) + +inst_224:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 0 and fe2 == 0xfb and fm2 == 0x007b00 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0x7d807b00; +valaddr_reg:x9; val_offset:402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 402*FLEN/8, x10, x6, x7) + +inst_225:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x007b00 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d807b00; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 404*FLEN/8, x10, x6, x7) + +inst_226:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x17ad58; +valaddr_reg:x9; val_offset:406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 406*FLEN/8, x10, x6, x7) + +inst_227:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 0 and fe2 == 0xfe and fm2 == 0x239571 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0x7f239571; +valaddr_reg:x9; val_offset:408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 408*FLEN/8, x10, x6, x7) + +inst_228:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x173ecf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0x173ecf; +valaddr_reg:x9; val_offset:410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 410*FLEN/8, x10, x6, x7) + +inst_229:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0x17ad58; +valaddr_reg:x9; val_offset:412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 412*FLEN/8, x10, x6, x7) + +inst_230:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x173ecf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x173ecf; +valaddr_reg:x9; val_offset:414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 414*FLEN/8, x10, x6, x7) + +inst_231:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0xd7bf; +valaddr_reg:x9; val_offset:416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 416*FLEN/8, x10, x6, x7) + +inst_232:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x003b82 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3b82; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 418*FLEN/8, x10, x6, x7) + +inst_233:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x003b82 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x3b82; +valaddr_reg:x9; val_offset:420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 420*FLEN/8, x10, x6, x7) + +inst_234:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x003b82 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3b82; op2val:0xd7bf; +valaddr_reg:x9; val_offset:422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 422*FLEN/8, x10, x6, x7) + +inst_235:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x003b82 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x3b82; +valaddr_reg:x9; val_offset:424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 424*FLEN/8, x10, x6, x7) + +inst_236:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 426*FLEN/8, x10, x6, x7) + +inst_237:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 428*FLEN/8, x10, x6, x7) + +inst_238:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x173ecf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x173ecf; +valaddr_reg:x9; val_offset:430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 430*FLEN/8, x10, x6, x7) + +inst_239:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 432*FLEN/8, x10, x6, x7) + +inst_240:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x28e67d; +valaddr_reg:x9; val_offset:434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 434*FLEN/8, x10, x6, x7) + +inst_241:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0x28e67d; +valaddr_reg:x9; val_offset:436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 436*FLEN/8, x10, x6, x7) + +inst_242:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x217bcd; +valaddr_reg:x9; val_offset:438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 438*FLEN/8, x10, x6, x7) + +inst_243:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 0 and fe2 == 0xfe and fm2 == 0x675603 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0x7f675603; +valaddr_reg:x9; val_offset:440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 440*FLEN/8, x10, x6, x7) + +inst_244:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x173ecf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0x173ecf; +valaddr_reg:x9; val_offset:442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 442*FLEN/8, x10, x6, x7) + +inst_245:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0x217bcd; +valaddr_reg:x9; val_offset:444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 444*FLEN/8, x10, x6, x7) + +inst_246:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x8019595f; +valaddr_reg:x9; val_offset:446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 446*FLEN/8, x10, x6, x7) + +inst_247:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x2f22aa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0xff2f22aa; +valaddr_reg:x9; val_offset:448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 448*FLEN/8, x10, x6, x7) + +inst_248:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x173ecf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0x173ecf; +valaddr_reg:x9; val_offset:450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 450*FLEN/8, x10, x6, x7) + +inst_249:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0x8019595f; +valaddr_reg:x9; val_offset:452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 452*FLEN/8, x10, x6, x7) + +inst_250:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 454*FLEN/8, x10, x6, x7) + +inst_251:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x025314 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x25314; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 456*FLEN/8, x10, x6, x7) + +inst_252:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x025314 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x25314; +valaddr_reg:x9; val_offset:458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 458*FLEN/8, x10, x6, x7) + +inst_253:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x025314 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x25314; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 460*FLEN/8, x10, x6, x7) + +inst_254:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x025314 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x25314; +valaddr_reg:x9; val_offset:462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 462*FLEN/8, x10, x6, x7) + +inst_255:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x8021e733; +valaddr_reg:x9; val_offset:464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 464*FLEN/8, x10, x6, x7) + +inst_256:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6a3c0b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0xff6a3c0b; +valaddr_reg:x9; val_offset:466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 466*FLEN/8, x10, x6, x7) + +inst_257:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x173ecf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0x173ecf; +valaddr_reg:x9; val_offset:468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 468*FLEN/8, x10, x6, x7) + +inst_258:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0x8021e733; +valaddr_reg:x9; val_offset:470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 470*FLEN/8, x10, x6, x7) + +inst_259:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 472*FLEN/8, x10, x6, x7) + +inst_260:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 474*FLEN/8, x10, x6, x7) + +inst_261:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x173ecf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x173ecf; +valaddr_reg:x9; val_offset:476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 476*FLEN/8, x10, x6, x7) + +inst_262:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 478*FLEN/8, x10, x6, x7) + +inst_263:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x80108f54; +valaddr_reg:x9; val_offset:480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 480*FLEN/8, x10, x6, x7) + +inst_264:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64d284 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0xfee4d284; +valaddr_reg:x9; val_offset:482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 482*FLEN/8, x10, x6, x7) + +inst_265:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x173ecf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0x173ecf; +valaddr_reg:x9; val_offset:484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 484*FLEN/8, x10, x6, x7) + +inst_266:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x173ecf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x173ecf; op2val:0x80108f54; +valaddr_reg:x9; val_offset:486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 486*FLEN/8, x10, x6, x7) + +inst_267:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x7f0; +valaddr_reg:x9; val_offset:488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 488*FLEN/8, x10, x6, x7) + +inst_268:// fs1 == 0 and fe1 == 0x80 and fm1 == 0x089fb6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x40089fb6; op2val:0x7f0; +valaddr_reg:x9; val_offset:490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 490*FLEN/8, x10, x6, x7) + +inst_269:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x089fb6 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x40089fb6; +valaddr_reg:x9; val_offset:492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 492*FLEN/8, x10, x6, x7) + +inst_270:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2099c0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x089fb6 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f2099c0; op2val:0x40089fb6; +valaddr_reg:x9; val_offset:494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 494*FLEN/8, x10, x6, x7) + +inst_271:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 496*FLEN/8, x10, x6, x7) + +inst_272:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x430778 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d430778; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 498*FLEN/8, x10, x6, x7) + +inst_273:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0xfa and fm2 == 0x430778 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x7d430778; +valaddr_reg:x9; val_offset:500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 500*FLEN/8, x10, x6, x7) + +inst_274:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 502*FLEN/8, x10, x6, x7) + +inst_275:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 504*FLEN/8, x10, x6, x7) + +inst_276:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x430778 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d430778; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 506*FLEN/8, x10, x6, x7) + +inst_277:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 508*FLEN/8, x10, x6, x7) + +inst_278:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 510*FLEN/8, x10, x6, x7) + +inst_279:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 512*FLEN/8, x10, x6, x7) + +inst_280:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 514*FLEN/8, x10, x6, x7) + +inst_281:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0xff130229; +valaddr_reg:x9; val_offset:516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 516*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_2) + +inst_282:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 518*FLEN/8, x10, x6, x7) + +inst_283:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0xfec91492; +valaddr_reg:x9; val_offset:520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 520*FLEN/8, x10, x6, x7) + +inst_284:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 522*FLEN/8, x10, x6, x7) + +inst_285:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 524*FLEN/8, x10, x6, x7) + +inst_286:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x430778 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7d5a5e and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d430778; op2val:0xff7d5a5e; +valaddr_reg:x9; val_offset:526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 526*FLEN/8, x10, x6, x7) + +inst_287:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 0 and fe2 == 0xfa and fm2 == 0x430778 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0x7d430778; +valaddr_reg:x9; val_offset:528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 528*FLEN/8, x10, x6, x7) + +inst_288:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x430778 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d430778; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 530*FLEN/8, x10, x6, x7) + +inst_289:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x17ad58; +valaddr_reg:x9; val_offset:532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 532*FLEN/8, x10, x6, x7) + +inst_290:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x239571 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0x7f239571; +valaddr_reg:x9; val_offset:534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 534*FLEN/8, x10, x6, x7) + +inst_291:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11a491 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0x11a491; +valaddr_reg:x9; val_offset:536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 536*FLEN/8, x10, x6, x7) + +inst_292:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0x17ad58; +valaddr_reg:x9; val_offset:538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 538*FLEN/8, x10, x6, x7) + +inst_293:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11a491 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x11a491; +valaddr_reg:x9; val_offset:540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 540*FLEN/8, x10, x6, x7) + +inst_294:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0xd7bf; +valaddr_reg:x9; val_offset:542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 542*FLEN/8, x10, x6, x7) + +inst_295:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x002d2a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2d2a; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 544*FLEN/8, x10, x6, x7) + +inst_296:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x002d2a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x2d2a; +valaddr_reg:x9; val_offset:546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 546*FLEN/8, x10, x6, x7) + +inst_297:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x002d2a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2d2a; op2val:0xd7bf; +valaddr_reg:x9; val_offset:548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 548*FLEN/8, x10, x6, x7) + +inst_298:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x002d2a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x2d2a; +valaddr_reg:x9; val_offset:550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 550*FLEN/8, x10, x6, x7) + +inst_299:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 552*FLEN/8, x10, x6, x7) + +inst_300:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 554*FLEN/8, x10, x6, x7) + +inst_301:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11a491 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x11a491; +valaddr_reg:x9; val_offset:556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 556*FLEN/8, x10, x6, x7) + +inst_302:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 558*FLEN/8, x10, x6, x7) + +inst_303:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x28e67d; +valaddr_reg:x9; val_offset:560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 560*FLEN/8, x10, x6, x7) + +inst_304:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0x28e67d; +valaddr_reg:x9; val_offset:562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 562*FLEN/8, x10, x6, x7) + +inst_305:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x217bcd; +valaddr_reg:x9; val_offset:564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 564*FLEN/8, x10, x6, x7) + +inst_306:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x675603 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0x7f675603; +valaddr_reg:x9; val_offset:566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 566*FLEN/8, x10, x6, x7) + +inst_307:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11a491 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0x11a491; +valaddr_reg:x9; val_offset:568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 568*FLEN/8, x10, x6, x7) + +inst_308:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0x217bcd; +valaddr_reg:x9; val_offset:570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 570*FLEN/8, x10, x6, x7) + +inst_309:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x8019595f; +valaddr_reg:x9; val_offset:572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 572*FLEN/8, x10, x6, x7) + +inst_310:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x2f22aa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0xff2f22aa; +valaddr_reg:x9; val_offset:574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 574*FLEN/8, x10, x6, x7) + +inst_311:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11a491 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0x11a491; +valaddr_reg:x9; val_offset:576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 576*FLEN/8, x10, x6, x7) + +inst_312:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0x8019595f; +valaddr_reg:x9; val_offset:578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 578*FLEN/8, x10, x6, x7) + +inst_313:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 580*FLEN/8, x10, x6, x7) + +inst_314:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x01c3a8 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c3a8; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 582*FLEN/8, x10, x6, x7) + +inst_315:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x01c3a8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x1c3a8; +valaddr_reg:x9; val_offset:584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 584*FLEN/8, x10, x6, x7) + +inst_316:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x01c3a8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c3a8; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 586*FLEN/8, x10, x6, x7) + +inst_317:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x01c3a8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x1c3a8; +valaddr_reg:x9; val_offset:588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 588*FLEN/8, x10, x6, x7) + +inst_318:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x8021e733; +valaddr_reg:x9; val_offset:590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 590*FLEN/8, x10, x6, x7) + +inst_319:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6a3c0b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0xff6a3c0b; +valaddr_reg:x9; val_offset:592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 592*FLEN/8, x10, x6, x7) + +inst_320:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11a491 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0x11a491; +valaddr_reg:x9; val_offset:594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 594*FLEN/8, x10, x6, x7) + +inst_321:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0x8021e733; +valaddr_reg:x9; val_offset:596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 596*FLEN/8, x10, x6, x7) + +inst_322:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 598*FLEN/8, x10, x6, x7) + +inst_323:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 600*FLEN/8, x10, x6, x7) + +inst_324:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11a491 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x11a491; +valaddr_reg:x9; val_offset:602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 602*FLEN/8, x10, x6, x7) + +inst_325:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 604*FLEN/8, x10, x6, x7) + +inst_326:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x80108f54; +valaddr_reg:x9; val_offset:606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 606*FLEN/8, x10, x6, x7) + +inst_327:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64d284 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0xfee4d284; +valaddr_reg:x9; val_offset:608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 608*FLEN/8, x10, x6, x7) + +inst_328:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x11a491 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0x11a491; +valaddr_reg:x9; val_offset:610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 610*FLEN/8, x10, x6, x7) + +inst_329:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x11a491 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x11a491; op2val:0x80108f54; +valaddr_reg:x9; val_offset:612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 612*FLEN/8, x10, x6, x7) + +inst_330:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x7f0; +valaddr_reg:x9; val_offset:614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 614*FLEN/8, x10, x6, x7) + +inst_331:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x4f63fe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fcf63fe; op2val:0x7f0; +valaddr_reg:x9; val_offset:616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 616*FLEN/8, x10, x6, x7) + +inst_332:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x4f63fe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x3fcf63fe; +valaddr_reg:x9; val_offset:618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 618*FLEN/8, x10, x6, x7) + +inst_333:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x73c956 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x4f63fe and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ef3c956; op2val:0x3fcf63fe; +valaddr_reg:x9; val_offset:620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 620*FLEN/8, x10, x6, x7) + +inst_334:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 622*FLEN/8, x10, x6, x7) + +inst_335:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x0c0345 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd0c0345; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 624*FLEN/8, x10, x6, x7) + +inst_336:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x0c0345 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0xfd0c0345; +valaddr_reg:x9; val_offset:626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 626*FLEN/8, x10, x6, x7) + +inst_337:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 628*FLEN/8, x10, x6, x7) + +inst_338:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 630*FLEN/8, x10, x6, x7) + +inst_339:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x0c0345 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd0c0345; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 632*FLEN/8, x10, x6, x7) + +inst_340:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 634*FLEN/8, x10, x6, x7) + +inst_341:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 636*FLEN/8, x10, x6, x7) + +inst_342:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0xff130229; +valaddr_reg:x9; val_offset:638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 638*FLEN/8, x10, x6, x7) + +inst_343:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 640*FLEN/8, x10, x6, x7) + +inst_344:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0xfec91492; +valaddr_reg:x9; val_offset:642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 642*FLEN/8, x10, x6, x7) + +inst_345:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 644*FLEN/8, x10, x6, x7) + +inst_346:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 646*FLEN/8, x10, x6, x7) + +inst_347:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x0c0345 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7d5a5e and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd0c0345; op2val:0xff7d5a5e; +valaddr_reg:x9; val_offset:648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 648*FLEN/8, x10, x6, x7) + +inst_348:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 1 and fe2 == 0xfa and fm2 == 0x0c0345 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0xfd0c0345; +valaddr_reg:x9; val_offset:650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 650*FLEN/8, x10, x6, x7) + +inst_349:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x0c0345 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd0c0345; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 652*FLEN/8, x10, x6, x7) + +inst_350:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x17ad58; +valaddr_reg:x9; val_offset:654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 654*FLEN/8, x10, x6, x7) + +inst_351:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x239571 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0x7f239571; +valaddr_reg:x9; val_offset:656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 656*FLEN/8, x10, x6, x7) + +inst_352:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caa79 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0x800caa79; +valaddr_reg:x9; val_offset:658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 658*FLEN/8, x10, x6, x7) + +inst_353:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0x17ad58; +valaddr_reg:x9; val_offset:660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 660*FLEN/8, x10, x6, x7) + +inst_354:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caa79 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x800caa79; +valaddr_reg:x9; val_offset:662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 662*FLEN/8, x10, x6, x7) + +inst_355:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0xd7bf; +valaddr_reg:x9; val_offset:664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 664*FLEN/8, x10, x6, x7) + +inst_356:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00206c and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000206c; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 666*FLEN/8, x10, x6, x7) + +inst_357:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00206c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x8000206c; +valaddr_reg:x9; val_offset:668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 668*FLEN/8, x10, x6, x7) + +inst_358:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00206c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000206c; op2val:0xd7bf; +valaddr_reg:x9; val_offset:670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 670*FLEN/8, x10, x6, x7) + +inst_359:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00206c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x8000206c; +valaddr_reg:x9; val_offset:672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 672*FLEN/8, x10, x6, x7) + +inst_360:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 674*FLEN/8, x10, x6, x7) + +inst_361:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 676*FLEN/8, x10, x6, x7) + +inst_362:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caa79 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x800caa79; +valaddr_reg:x9; val_offset:678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 678*FLEN/8, x10, x6, x7) + +inst_363:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 680*FLEN/8, x10, x6, x7) + +inst_364:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x28e67d; +valaddr_reg:x9; val_offset:682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 682*FLEN/8, x10, x6, x7) + +inst_365:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0x28e67d; +valaddr_reg:x9; val_offset:684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 684*FLEN/8, x10, x6, x7) + +inst_366:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x217bcd; +valaddr_reg:x9; val_offset:686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 686*FLEN/8, x10, x6, x7) + +inst_367:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x675603 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0x7f675603; +valaddr_reg:x9; val_offset:688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 688*FLEN/8, x10, x6, x7) + +inst_368:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caa79 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0x800caa79; +valaddr_reg:x9; val_offset:690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 690*FLEN/8, x10, x6, x7) + +inst_369:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0x217bcd; +valaddr_reg:x9; val_offset:692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 692*FLEN/8, x10, x6, x7) + +inst_370:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x8019595f; +valaddr_reg:x9; val_offset:694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 694*FLEN/8, x10, x6, x7) + +inst_371:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x2f22aa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0xff2f22aa; +valaddr_reg:x9; val_offset:696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 696*FLEN/8, x10, x6, x7) + +inst_372:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caa79 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0x800caa79; +valaddr_reg:x9; val_offset:698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 698*FLEN/8, x10, x6, x7) + +inst_373:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0x8019595f; +valaddr_reg:x9; val_offset:700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 700*FLEN/8, x10, x6, x7) + +inst_374:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 702*FLEN/8, x10, x6, x7) + +inst_375:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x01443f and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8001443f; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 704*FLEN/8, x10, x6, x7) + +inst_376:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x01443f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x8001443f; +valaddr_reg:x9; val_offset:706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 706*FLEN/8, x10, x6, x7) + +inst_377:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x01443f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8001443f; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 708*FLEN/8, x10, x6, x7) + +inst_378:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x01443f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x8001443f; +valaddr_reg:x9; val_offset:710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 710*FLEN/8, x10, x6, x7) + +inst_379:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x8021e733; +valaddr_reg:x9; val_offset:712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 712*FLEN/8, x10, x6, x7) + +inst_380:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6a3c0b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0xff6a3c0b; +valaddr_reg:x9; val_offset:714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 714*FLEN/8, x10, x6, x7) + +inst_381:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caa79 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0x800caa79; +valaddr_reg:x9; val_offset:716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 716*FLEN/8, x10, x6, x7) + +inst_382:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0x8021e733; +valaddr_reg:x9; val_offset:718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 718*FLEN/8, x10, x6, x7) + +inst_383:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 720*FLEN/8, x10, x6, x7) + +inst_384:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 722*FLEN/8, x10, x6, x7) + +inst_385:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caa79 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x800caa79; +valaddr_reg:x9; val_offset:724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 724*FLEN/8, x10, x6, x7) + +inst_386:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 726*FLEN/8, x10, x6, x7) + +inst_387:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x80108f54; +valaddr_reg:x9; val_offset:728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 728*FLEN/8, x10, x6, x7) + +inst_388:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64d284 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0xfee4d284; +valaddr_reg:x9; val_offset:730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 730*FLEN/8, x10, x6, x7) + +inst_389:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caa79 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0x800caa79; +valaddr_reg:x9; val_offset:732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 732*FLEN/8, x10, x6, x7) + +inst_390:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caa79 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caa79; op2val:0x80108f54; +valaddr_reg:x9; val_offset:734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 734*FLEN/8, x10, x6, x7) + +inst_391:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0x7f0; +valaddr_reg:x9; val_offset:736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 736*FLEN/8, x10, x6, x7) + +inst_392:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x14e31a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf94e31a; op2val:0x7f0; +valaddr_reg:x9; val_offset:738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 738*FLEN/8, x10, x6, x7) + +inst_393:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x14e31a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xbf94e31a; +valaddr_reg:x9; val_offset:740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 740*FLEN/8, x10, x6, x7) + +inst_394:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0416 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x14e31a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0416; op2val:0xbf94e31a; +valaddr_reg:x9; val_offset:742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 742*FLEN/8, x10, x6, x7) + +inst_395:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 744*FLEN/8, x10, x6, x7) + +inst_396:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x0f88e6 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd8f88e6; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 746*FLEN/8, x10, x6, x7) + +inst_397:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0xfb and fm2 == 0x0f88e6 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0xfd8f88e6; +valaddr_reg:x9; val_offset:748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 748*FLEN/8, x10, x6, x7) + +inst_398:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 750*FLEN/8, x10, x6, x7) + +inst_399:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 752*FLEN/8, x10, x6, x7) + +inst_400:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x0f88e6 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd8f88e6; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 754*FLEN/8, x10, x6, x7) + +inst_401:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0xff130229; +valaddr_reg:x9; val_offset:756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 756*FLEN/8, x10, x6, x7) + +inst_402:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 758*FLEN/8, x10, x6, x7) + +inst_403:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0xfec91492; +valaddr_reg:x9; val_offset:760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 760*FLEN/8, x10, x6, x7) + +inst_404:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 762*FLEN/8, x10, x6, x7) + +inst_405:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 764*FLEN/8, x10, x6, x7) + +inst_406:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x0f88e6 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7d5a5e and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd8f88e6; op2val:0xff7d5a5e; +valaddr_reg:x9; val_offset:766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 766*FLEN/8, x10, x6, x7) + +inst_407:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 1 and fe2 == 0xfb and fm2 == 0x0f88e6 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0xfd8f88e6; +valaddr_reg:x9; val_offset:768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 768*FLEN/8, x10, x6, x7) + +inst_408:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x0f88e6 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd8f88e6; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 770*FLEN/8, x10, x6, x7) + +inst_409:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x17ad58; +valaddr_reg:x9; val_offset:772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 772*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_3) + +inst_410:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x239571 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0x7f239571; +valaddr_reg:x9; val_offset:774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 774*FLEN/8, x10, x6, x7) + +inst_411:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19f813 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0x8019f813; +valaddr_reg:x9; val_offset:776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 776*FLEN/8, x10, x6, x7) + +inst_412:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0x17ad58; +valaddr_reg:x9; val_offset:778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 778*FLEN/8, x10, x6, x7) + +inst_413:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19f813 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x8019f813; +valaddr_reg:x9; val_offset:780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 780*FLEN/8, x10, x6, x7) + +inst_414:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0xd7bf; +valaddr_reg:x9; val_offset:782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 782*FLEN/8, x10, x6, x7) + +inst_415:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00427b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000427b; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 784*FLEN/8, x10, x6, x7) + +inst_416:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00427b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x8000427b; +valaddr_reg:x9; val_offset:786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 786*FLEN/8, x10, x6, x7) + +inst_417:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00427b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000427b; op2val:0xd7bf; +valaddr_reg:x9; val_offset:788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 788*FLEN/8, x10, x6, x7) + +inst_418:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00427b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x8000427b; +valaddr_reg:x9; val_offset:790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 790*FLEN/8, x10, x6, x7) + +inst_419:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 792*FLEN/8, x10, x6, x7) + +inst_420:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 794*FLEN/8, x10, x6, x7) + +inst_421:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19f813 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x8019f813; +valaddr_reg:x9; val_offset:796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 796*FLEN/8, x10, x6, x7) + +inst_422:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 798*FLEN/8, x10, x6, x7) + +inst_423:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x28e67d; +valaddr_reg:x9; val_offset:800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 800*FLEN/8, x10, x6, x7) + +inst_424:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0x28e67d; +valaddr_reg:x9; val_offset:802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 802*FLEN/8, x10, x6, x7) + +inst_425:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x217bcd; +valaddr_reg:x9; val_offset:804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 804*FLEN/8, x10, x6, x7) + +inst_426:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x675603 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0x7f675603; +valaddr_reg:x9; val_offset:806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 806*FLEN/8, x10, x6, x7) + +inst_427:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19f813 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0x8019f813; +valaddr_reg:x9; val_offset:808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 808*FLEN/8, x10, x6, x7) + +inst_428:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0x217bcd; +valaddr_reg:x9; val_offset:810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 810*FLEN/8, x10, x6, x7) + +inst_429:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x8019595f; +valaddr_reg:x9; val_offset:812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 812*FLEN/8, x10, x6, x7) + +inst_430:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x2f22aa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0xff2f22aa; +valaddr_reg:x9; val_offset:814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 814*FLEN/8, x10, x6, x7) + +inst_431:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19f813 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0x8019f813; +valaddr_reg:x9; val_offset:816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 816*FLEN/8, x10, x6, x7) + +inst_432:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0x8019595f; +valaddr_reg:x9; val_offset:818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 818*FLEN/8, x10, x6, x7) + +inst_433:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 820*FLEN/8, x10, x6, x7) + +inst_434:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0298ce and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800298ce; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 822*FLEN/8, x10, x6, x7) + +inst_435:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0298ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x800298ce; +valaddr_reg:x9; val_offset:824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 824*FLEN/8, x10, x6, x7) + +inst_436:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0298ce and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800298ce; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 826*FLEN/8, x10, x6, x7) + +inst_437:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0298ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x800298ce; +valaddr_reg:x9; val_offset:828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 828*FLEN/8, x10, x6, x7) + +inst_438:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x8021e733; +valaddr_reg:x9; val_offset:830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 830*FLEN/8, x10, x6, x7) + +inst_439:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6a3c0b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0xff6a3c0b; +valaddr_reg:x9; val_offset:832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 832*FLEN/8, x10, x6, x7) + +inst_440:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19f813 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0x8019f813; +valaddr_reg:x9; val_offset:834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 834*FLEN/8, x10, x6, x7) + +inst_441:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0x8021e733; +valaddr_reg:x9; val_offset:836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 836*FLEN/8, x10, x6, x7) + +inst_442:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 838*FLEN/8, x10, x6, x7) + +inst_443:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 840*FLEN/8, x10, x6, x7) + +inst_444:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19f813 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x8019f813; +valaddr_reg:x9; val_offset:842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 842*FLEN/8, x10, x6, x7) + +inst_445:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 844*FLEN/8, x10, x6, x7) + +inst_446:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x80108f54; +valaddr_reg:x9; val_offset:846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 846*FLEN/8, x10, x6, x7) + +inst_447:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64d284 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0xfee4d284; +valaddr_reg:x9; val_offset:848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 848*FLEN/8, x10, x6, x7) + +inst_448:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19f813 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0x8019f813; +valaddr_reg:x9; val_offset:850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 850*FLEN/8, x10, x6, x7) + +inst_449:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19f813 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019f813; op2val:0x80108f54; +valaddr_reg:x9; val_offset:852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 852*FLEN/8, x10, x6, x7) + +inst_450:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0x7f0; +valaddr_reg:x9; val_offset:854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 854*FLEN/8, x10, x6, x7) + +inst_451:// fs1 == 1 and fe1 == 0x80 and fm1 == 0x18a1e0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc018a1e0; op2val:0x7f0; +valaddr_reg:x9; val_offset:856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 856*FLEN/8, x10, x6, x7) + +inst_452:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x18a1e0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc018a1e0; +valaddr_reg:x9; val_offset:858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 858*FLEN/8, x10, x6, x7) + +inst_453:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x336b1f and fs2 == 1 and fe2 == 0x80 and fm2 == 0x18a1e0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff336b1f; op2val:0xc018a1e0; +valaddr_reg:x9; val_offset:860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 860*FLEN/8, x10, x6, x7) + +inst_454:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 862*FLEN/8, x10, x6, x7) + +inst_455:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x6b36a9 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd6b36a9; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 864*FLEN/8, x10, x6, x7) + +inst_456:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x6b36a9 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0xfd6b36a9; +valaddr_reg:x9; val_offset:866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 866*FLEN/8, x10, x6, x7) + +inst_457:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0xff130229; +valaddr_reg:x9; val_offset:868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 868*FLEN/8, x10, x6, x7) + +inst_458:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 870*FLEN/8, x10, x6, x7) + +inst_459:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x6b36a9 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd6b36a9; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 872*FLEN/8, x10, x6, x7) + +inst_460:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0xfec91492; +valaddr_reg:x9; val_offset:874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 874*FLEN/8, x10, x6, x7) + +inst_461:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0xff130229; +valaddr_reg:x9; val_offset:876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 876*FLEN/8, x10, x6, x7) + +inst_462:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 878*FLEN/8, x10, x6, x7) + +inst_463:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x6b36a9 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7d5a5e and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd6b36a9; op2val:0xff7d5a5e; +valaddr_reg:x9; val_offset:880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 880*FLEN/8, x10, x6, x7) + +inst_464:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 1 and fe2 == 0xfa and fm2 == 0x6b36a9 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0xfd6b36a9; +valaddr_reg:x9; val_offset:882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 882*FLEN/8, x10, x6, x7) + +inst_465:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x6b36a9 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd6b36a9; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 884*FLEN/8, x10, x6, x7) + +inst_466:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x17ad58; +valaddr_reg:x9; val_offset:886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 886*FLEN/8, x10, x6, x7) + +inst_467:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 0 and fe2 == 0xfe and fm2 == 0x239571 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0x7f239571; +valaddr_reg:x9; val_offset:888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 888*FLEN/8, x10, x6, x7) + +inst_468:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x15472c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0x8015472c; +valaddr_reg:x9; val_offset:890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 890*FLEN/8, x10, x6, x7) + +inst_469:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0x17ad58; +valaddr_reg:x9; val_offset:892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 892*FLEN/8, x10, x6, x7) + +inst_470:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x15472c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x8015472c; +valaddr_reg:x9; val_offset:894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 894*FLEN/8, x10, x6, x7) + +inst_471:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0xd7bf; +valaddr_reg:x9; val_offset:896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 896*FLEN/8, x10, x6, x7) + +inst_472:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x003678 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80003678; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 898*FLEN/8, x10, x6, x7) + +inst_473:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x003678 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x80003678; +valaddr_reg:x9; val_offset:900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 900*FLEN/8, x10, x6, x7) + +inst_474:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x003678 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80003678; op2val:0xd7bf; +valaddr_reg:x9; val_offset:902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 902*FLEN/8, x10, x6, x7) + +inst_475:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x003678 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x80003678; +valaddr_reg:x9; val_offset:904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 904*FLEN/8, x10, x6, x7) + +inst_476:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 906*FLEN/8, x10, x6, x7) + +inst_477:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 908*FLEN/8, x10, x6, x7) + +inst_478:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x15472c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x8015472c; +valaddr_reg:x9; val_offset:910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 910*FLEN/8, x10, x6, x7) + +inst_479:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 912*FLEN/8, x10, x6, x7) + +inst_480:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x28e67d; +valaddr_reg:x9; val_offset:914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 914*FLEN/8, x10, x6, x7) + +inst_481:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0x28e67d; +valaddr_reg:x9; val_offset:916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 916*FLEN/8, x10, x6, x7) + +inst_482:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x217bcd; +valaddr_reg:x9; val_offset:918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 918*FLEN/8, x10, x6, x7) + +inst_483:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 0 and fe2 == 0xfe and fm2 == 0x675603 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0x7f675603; +valaddr_reg:x9; val_offset:920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 920*FLEN/8, x10, x6, x7) + +inst_484:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x15472c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0x8015472c; +valaddr_reg:x9; val_offset:922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 922*FLEN/8, x10, x6, x7) + +inst_485:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0x217bcd; +valaddr_reg:x9; val_offset:924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 924*FLEN/8, x10, x6, x7) + +inst_486:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x8019595f; +valaddr_reg:x9; val_offset:926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 926*FLEN/8, x10, x6, x7) + +inst_487:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x2f22aa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0xff2f22aa; +valaddr_reg:x9; val_offset:928*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 928*FLEN/8, x10, x6, x7) + +inst_488:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x15472c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0x8015472c; +valaddr_reg:x9; val_offset:930*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 930*FLEN/8, x10, x6, x7) + +inst_489:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0x8019595f; +valaddr_reg:x9; val_offset:932*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 932*FLEN/8, x10, x6, x7) + +inst_490:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:934*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 934*FLEN/8, x10, x6, x7) + +inst_491:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0220b7 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800220b7; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:936*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 936*FLEN/8, x10, x6, x7) + +inst_492:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0220b7 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x800220b7; +valaddr_reg:x9; val_offset:938*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 938*FLEN/8, x10, x6, x7) + +inst_493:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0220b7 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800220b7; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:940*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 940*FLEN/8, x10, x6, x7) + +inst_494:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0220b7 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x800220b7; +valaddr_reg:x9; val_offset:942*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 942*FLEN/8, x10, x6, x7) + +inst_495:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x8021e733; +valaddr_reg:x9; val_offset:944*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 944*FLEN/8, x10, x6, x7) + +inst_496:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6a3c0b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0xff6a3c0b; +valaddr_reg:x9; val_offset:946*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 946*FLEN/8, x10, x6, x7) + +inst_497:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x15472c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0x8015472c; +valaddr_reg:x9; val_offset:948*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 948*FLEN/8, x10, x6, x7) + +inst_498:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0x8021e733; +valaddr_reg:x9; val_offset:950*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 950*FLEN/8, x10, x6, x7) + +inst_499:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:952*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 952*FLEN/8, x10, x6, x7) + +inst_500:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:954*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 954*FLEN/8, x10, x6, x7) + +inst_501:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x15472c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x8015472c; +valaddr_reg:x9; val_offset:956*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 956*FLEN/8, x10, x6, x7) + +inst_502:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:958*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 958*FLEN/8, x10, x6, x7) + +inst_503:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x80108f54; +valaddr_reg:x9; val_offset:960*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 960*FLEN/8, x10, x6, x7) + +inst_504:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64d284 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0xfee4d284; +valaddr_reg:x9; val_offset:962*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 962*FLEN/8, x10, x6, x7) + +inst_505:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x15472c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0x8015472c; +valaddr_reg:x9; val_offset:964*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 964*FLEN/8, x10, x6, x7) + +inst_506:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x15472c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8015472c; op2val:0x80108f54; +valaddr_reg:x9; val_offset:966*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 966*FLEN/8, x10, x6, x7) + +inst_507:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0x7f0; +valaddr_reg:x9; val_offset:968*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 968*FLEN/8, x10, x6, x7) + +inst_508:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x7a1f35 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbffa1f35; op2val:0x7f0; +valaddr_reg:x9; val_offset:970*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 970*FLEN/8, x10, x6, x7) + +inst_509:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x7a1f35 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xbffa1f35; +valaddr_reg:x9; val_offset:972*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 972*FLEN/8, x10, x6, x7) + +inst_510:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x130229 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x7a1f35 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff130229; op2val:0xbffa1f35; +valaddr_reg:x9; val_offset:974*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 974*FLEN/8, x10, x6, x7) + +inst_511:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:976*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 976*FLEN/8, x10, x6, x7) + +inst_512:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x20dd41 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd20dd41; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:978*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 978*FLEN/8, x10, x6, x7) + +inst_513:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x20dd41 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0xfd20dd41; +valaddr_reg:x9; val_offset:980*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 980*FLEN/8, x10, x6, x7) + +inst_514:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0xfec91492; +valaddr_reg:x9; val_offset:982*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 982*FLEN/8, x10, x6, x7) + +inst_515:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:984*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 984*FLEN/8, x10, x6, x7) + +inst_516:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x20dd41 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd20dd41; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:986*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 986*FLEN/8, x10, x6, x7) + +inst_517:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:988*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 988*FLEN/8, x10, x6, x7) + +inst_518:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x20dd41 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7d5a5e and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd20dd41; op2val:0xff7d5a5e; +valaddr_reg:x9; val_offset:990*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 990*FLEN/8, x10, x6, x7) + +inst_519:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 1 and fe2 == 0xfa and fm2 == 0x20dd41 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0xfd20dd41; +valaddr_reg:x9; val_offset:992*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 992*FLEN/8, x10, x6, x7) + +inst_520:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x20dd41 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd20dd41; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:994*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 994*FLEN/8, x10, x6, x7) + +inst_521:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x17ad58; +valaddr_reg:x9; val_offset:996*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 996*FLEN/8, x10, x6, x7) + +inst_522:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 0 and fe2 == 0xfe and fm2 == 0x239571 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0x7f239571; +valaddr_reg:x9; val_offset:998*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 998*FLEN/8, x10, x6, x7) + +inst_523:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e8d5c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0x800e8d5c; +valaddr_reg:x9; val_offset:1000*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1000*FLEN/8, x10, x6, x7) + +inst_524:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1002*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1002*FLEN/8, x10, x6, x7) + +inst_525:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e8d5c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x800e8d5c; +valaddr_reg:x9; val_offset:1004*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1004*FLEN/8, x10, x6, x7) + +inst_526:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1006*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1006*FLEN/8, x10, x6, x7) + +inst_527:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x002540 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80002540; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1008*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1008*FLEN/8, x10, x6, x7) + +inst_528:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x002540 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x80002540; +valaddr_reg:x9; val_offset:1010*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1010*FLEN/8, x10, x6, x7) + +inst_529:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x002540 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80002540; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1012*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1012*FLEN/8, x10, x6, x7) + +inst_530:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x002540 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x80002540; +valaddr_reg:x9; val_offset:1014*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1014*FLEN/8, x10, x6, x7) + +inst_531:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1016*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1016*FLEN/8, x10, x6, x7) + +inst_532:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1018*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1018*FLEN/8, x10, x6, x7) + +inst_533:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e8d5c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x800e8d5c; +valaddr_reg:x9; val_offset:1020*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1020*FLEN/8, x10, x6, x7) + +inst_534:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1022*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1022*FLEN/8, x10, x6, x7) + +inst_535:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1024*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1024*FLEN/8, x10, x6, x7) + +inst_536:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1026*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1026*FLEN/8, x10, x6, x7) + +inst_537:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1028*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1028*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_4) + +inst_538:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 0 and fe2 == 0xfe and fm2 == 0x675603 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0x7f675603; +valaddr_reg:x9; val_offset:1030*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1030*FLEN/8, x10, x6, x7) + +inst_539:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e8d5c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0x800e8d5c; +valaddr_reg:x9; val_offset:1032*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1032*FLEN/8, x10, x6, x7) + +inst_540:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1034*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1034*FLEN/8, x10, x6, x7) + +inst_541:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1036*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1036*FLEN/8, x10, x6, x7) + +inst_542:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x2f22aa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0xff2f22aa; +valaddr_reg:x9; val_offset:1038*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1038*FLEN/8, x10, x6, x7) + +inst_543:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e8d5c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0x800e8d5c; +valaddr_reg:x9; val_offset:1040*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1040*FLEN/8, x10, x6, x7) + +inst_544:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1042*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1042*FLEN/8, x10, x6, x7) + +inst_545:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1044*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1044*FLEN/8, x10, x6, x7) + +inst_546:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x017489 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80017489; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1046*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1046*FLEN/8, x10, x6, x7) + +inst_547:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x017489 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x80017489; +valaddr_reg:x9; val_offset:1048*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1048*FLEN/8, x10, x6, x7) + +inst_548:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x017489 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80017489; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1050*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1050*FLEN/8, x10, x6, x7) + +inst_549:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x017489 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x80017489; +valaddr_reg:x9; val_offset:1052*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1052*FLEN/8, x10, x6, x7) + +inst_550:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1054*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1054*FLEN/8, x10, x6, x7) + +inst_551:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6a3c0b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0xff6a3c0b; +valaddr_reg:x9; val_offset:1056*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1056*FLEN/8, x10, x6, x7) + +inst_552:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e8d5c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0x800e8d5c; +valaddr_reg:x9; val_offset:1058*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1058*FLEN/8, x10, x6, x7) + +inst_553:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1060*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1060*FLEN/8, x10, x6, x7) + +inst_554:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1062*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1062*FLEN/8, x10, x6, x7) + +inst_555:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1064*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1064*FLEN/8, x10, x6, x7) + +inst_556:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e8d5c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x800e8d5c; +valaddr_reg:x9; val_offset:1066*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1066*FLEN/8, x10, x6, x7) + +inst_557:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1068*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1068*FLEN/8, x10, x6, x7) + +inst_558:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1070*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1070*FLEN/8, x10, x6, x7) + +inst_559:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64d284 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0xfee4d284; +valaddr_reg:x9; val_offset:1072*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1072*FLEN/8, x10, x6, x7) + +inst_560:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e8d5c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0x800e8d5c; +valaddr_reg:x9; val_offset:1074*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1074*FLEN/8, x10, x6, x7) + +inst_561:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e8d5c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e8d5c; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1076*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1076*FLEN/8, x10, x6, x7) + +inst_562:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0x7f0; +valaddr_reg:x9; val_offset:1078*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1078*FLEN/8, x10, x6, x7) + +inst_563:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x2b0f6c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbfab0f6c; op2val:0x7f0; +valaddr_reg:x9; val_offset:1080*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1080*FLEN/8, x10, x6, x7) + +inst_564:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x2b0f6c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xbfab0f6c; +valaddr_reg:x9; val_offset:1082*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1082*FLEN/8, x10, x6, x7) + +inst_565:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x491492 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x2b0f6c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec91492; op2val:0xbfab0f6c; +valaddr_reg:x9; val_offset:1084*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1084*FLEN/8, x10, x6, x7) + +inst_566:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1086*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1086*FLEN/8, x10, x6, x7) + +inst_567:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1088*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1088*FLEN/8, x10, x6, x7) + +inst_568:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1090*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1090*FLEN/8, x10, x6, x7) + +inst_569:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7d5a5e and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0xff7d5a5e; +valaddr_reg:x9; val_offset:1092*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1092*FLEN/8, x10, x6, x7) + +inst_570:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1094*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1094*FLEN/8, x10, x6, x7) + +inst_571:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1096*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1096*FLEN/8, x10, x6, x7) + +inst_572:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1098*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1098*FLEN/8, x10, x6, x7) + +inst_573:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1100*FLEN/8, x10, x6, x7) + +inst_574:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1102*FLEN/8, x10, x6, x7) + +inst_575:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1104*FLEN/8, x10, x6, x7) + +inst_576:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1106*FLEN/8, x10, x6, x7) + +inst_577:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1108*FLEN/8, x10, x6, x7) + +inst_578:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0xff130229; +valaddr_reg:x9; val_offset:1110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1110*FLEN/8, x10, x6, x7) + +inst_579:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0xff130229; +valaddr_reg:x9; val_offset:1112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1112*FLEN/8, x10, x6, x7) + +inst_580:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1114*FLEN/8, x10, x6, x7) + +inst_581:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7d5a5e and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7d5a5e; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1116*FLEN/8, x10, x6, x7) + +inst_582:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1118*FLEN/8, x10, x6, x7) + +inst_583:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x02ddf4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x7d82ddf4; +valaddr_reg:x9; val_offset:1120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1120*FLEN/8, x10, x6, x7) + +inst_584:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x02ddf4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x24ab9b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d82ddf4; op2val:0x8024ab9b; +valaddr_reg:x9; val_offset:1122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1122*FLEN/8, x10, x6, x7) + +inst_585:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1124*FLEN/8, x10, x6, x7) + +inst_586:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x24ab9b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x8024ab9b; +valaddr_reg:x9; val_offset:1126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1126*FLEN/8, x10, x6, x7) + +inst_587:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1128*FLEN/8, x10, x6, x7) + +inst_588:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x005de0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x68e714 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80005de0; op2val:0x7e68e714; +valaddr_reg:x9; val_offset:1130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1130*FLEN/8, x10, x6, x7) + +inst_589:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x68e714 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x005de0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e68e714; op2val:0x80005de0; +valaddr_reg:x9; val_offset:1132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1132*FLEN/8, x10, x6, x7) + +inst_590:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x005de0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80005de0; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1134*FLEN/8, x10, x6, x7) + +inst_591:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x005de0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x80005de0; +valaddr_reg:x9; val_offset:1136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1136*FLEN/8, x10, x6, x7) + +inst_592:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1138*FLEN/8, x10, x6, x7) + +inst_593:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x301931 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x7e301931; +valaddr_reg:x9; val_offset:1140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1140*FLEN/8, x10, x6, x7) + +inst_594:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x301931 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x24ab9b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e301931; op2val:0x8024ab9b; +valaddr_reg:x9; val_offset:1142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1142*FLEN/8, x10, x6, x7) + +inst_595:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1144*FLEN/8, x10, x6, x7) + +inst_596:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1146*FLEN/8, x10, x6, x7) + +inst_597:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x620ff4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x7de20ff4; +valaddr_reg:x9; val_offset:1148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1148*FLEN/8, x10, x6, x7) + +inst_598:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x620ff4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x24ab9b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7de20ff4; op2val:0x8024ab9b; +valaddr_reg:x9; val_offset:1150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1150*FLEN/8, x10, x6, x7) + +inst_599:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1152*FLEN/8, x10, x6, x7) + +inst_600:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1154*FLEN/8, x10, x6, x7) + +inst_601:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x39119c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x7db9119c; +valaddr_reg:x9; val_offset:1156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1156*FLEN/8, x10, x6, x7) + +inst_602:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x39119c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x24ab9b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7db9119c; op2val:0x8024ab9b; +valaddr_reg:x9; val_offset:1158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1158*FLEN/8, x10, x6, x7) + +inst_603:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1160*FLEN/8, x10, x6, x7) + +inst_604:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1162*FLEN/8, x10, x6, x7) + +inst_605:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 1 and fe2 == 0xfb and fm2 == 0x0c1bbb and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0xfd8c1bbb; +valaddr_reg:x9; val_offset:1164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1164*FLEN/8, x10, x6, x7) + +inst_606:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x0c1bbb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x24ab9b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd8c1bbb; op2val:0x8024ab9b; +valaddr_reg:x9; val_offset:1166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1166*FLEN/8, x10, x6, x7) + +inst_607:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1168*FLEN/8, x10, x6, x7) + +inst_608:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1170*FLEN/8, x10, x6, x7) + +inst_609:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x03aac2 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x0fbbb6 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8003aac2; op2val:0xfe8fbbb6; +valaddr_reg:x9; val_offset:1172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1172*FLEN/8, x10, x6, x7) + +inst_610:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x0fbbb6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x03aac2 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe8fbbb6; op2val:0x8003aac2; +valaddr_reg:x9; val_offset:1174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1174*FLEN/8, x10, x6, x7) + +inst_611:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x03aac2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8003aac2; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1176*FLEN/8, x10, x6, x7) + +inst_612:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x03aac2 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x8003aac2; +valaddr_reg:x9; val_offset:1178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1178*FLEN/8, x10, x6, x7) + +inst_613:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1180*FLEN/8, x10, x6, x7) + +inst_614:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 1 and fe2 == 0xfb and fm2 == 0x3b633c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0xfdbb633c; +valaddr_reg:x9; val_offset:1182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1182*FLEN/8, x10, x6, x7) + +inst_615:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x3b633c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x24ab9b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdbb633c; op2val:0x8024ab9b; +valaddr_reg:x9; val_offset:1184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1184*FLEN/8, x10, x6, x7) + +inst_616:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1186*FLEN/8, x10, x6, x7) + +inst_617:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1188*FLEN/8, x10, x6, x7) + +inst_618:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 1 and fe2 == 0xfc and fm2 == 0x163ab8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0xfe163ab8; +valaddr_reg:x9; val_offset:1190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1190*FLEN/8, x10, x6, x7) + +inst_619:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x163ab8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x24ab9b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe163ab8; op2val:0x8024ab9b; +valaddr_reg:x9; val_offset:1192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1192*FLEN/8, x10, x6, x7) + +inst_620:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1194*FLEN/8, x10, x6, x7) + +inst_621:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1196*FLEN/8, x10, x6, x7) + +inst_622:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 1 and fe2 == 0xfa and fm2 == 0x370ed0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0xfd370ed0; +valaddr_reg:x9; val_offset:1198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1198*FLEN/8, x10, x6, x7) + +inst_623:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x370ed0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x24ab9b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd370ed0; op2val:0x8024ab9b; +valaddr_reg:x9; val_offset:1200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1200*FLEN/8, x10, x6, x7) + +inst_624:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x24ab9b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8024ab9b; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1202*FLEN/8, x10, x6, x7) + +inst_625:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x7f0; +valaddr_reg:x9; val_offset:1204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1204*FLEN/8, x10, x6, x7) + +inst_626:// fs1 == 1 and fe1 == 0x80 and fm1 == 0x578765 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc0578765; op2val:0x7f0; +valaddr_reg:x9; val_offset:1206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1206*FLEN/8, x10, x6, x7) + +inst_627:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x578765 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc0578765; +valaddr_reg:x9; val_offset:1208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1208*FLEN/8, x10, x6, x7) + +inst_628:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x578765 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0xc0578765; +valaddr_reg:x9; val_offset:1210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1210*FLEN/8, x10, x6, x7) + +inst_629:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1212*FLEN/8, x10, x6, x7) + +inst_630:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x02ddf4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d82ddf4; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1214*FLEN/8, x10, x6, x7) + +inst_631:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x02ddf4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x7d82ddf4; +valaddr_reg:x9; val_offset:1216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1216*FLEN/8, x10, x6, x7) + +inst_632:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1218*FLEN/8, x10, x6, x7) + +inst_633:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1220*FLEN/8, x10, x6, x7) + +inst_634:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1222*FLEN/8, x10, x6, x7) + +inst_635:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x239571 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x7f239571; +valaddr_reg:x9; val_offset:1224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1224*FLEN/8, x10, x6, x7) + +inst_636:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1226*FLEN/8, x10, x6, x7) + +inst_637:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x02ddf4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d82ddf4; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1228*FLEN/8, x10, x6, x7) + +inst_638:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1230*FLEN/8, x10, x6, x7) + +inst_639:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1232*FLEN/8, x10, x6, x7) + +inst_640:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1234*FLEN/8, x10, x6, x7) + +inst_641:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1236*FLEN/8, x10, x6, x7) + +inst_642:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1238*FLEN/8, x10, x6, x7) + +inst_643:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1240*FLEN/8, x10, x6, x7) + +inst_644:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1242*FLEN/8, x10, x6, x7) + +inst_645:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1244*FLEN/8, x10, x6, x7) + +inst_646:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0xff130229; +valaddr_reg:x9; val_offset:1246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1246*FLEN/8, x10, x6, x7) + +inst_647:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0xff130229; +valaddr_reg:x9; val_offset:1248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1248*FLEN/8, x10, x6, x7) + +inst_648:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1250*FLEN/8, x10, x6, x7) + +inst_649:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x239571 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f239571; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1252*FLEN/8, x10, x6, x7) + +inst_650:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1254*FLEN/8, x10, x6, x7) + +inst_651:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x02ddf4 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d82ddf4; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1256*FLEN/8, x10, x6, x7) + +inst_652:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1258*FLEN/8, x10, x6, x7) + +inst_653:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x003c9d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x5446a0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3c9d; op2val:0x5446a0; +valaddr_reg:x9; val_offset:1260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1260*FLEN/8, x10, x6, x7) + +inst_654:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x003c9d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x3c9d; +valaddr_reg:x9; val_offset:1262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1262*FLEN/8, x10, x6, x7) + +inst_655:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x003c9d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3c9d; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1264*FLEN/8, x10, x6, x7) + +inst_656:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x003c9d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x3c9d; +valaddr_reg:x9; val_offset:1266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1266*FLEN/8, x10, x6, x7) + +inst_657:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1268*FLEN/8, x10, x6, x7) + +inst_658:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1270*FLEN/8, x10, x6, x7) + +inst_659:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1272*FLEN/8, x10, x6, x7) + +inst_660:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1274*FLEN/8, x10, x6, x7) + +inst_661:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1276*FLEN/8, x10, x6, x7) + +inst_662:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1278*FLEN/8, x10, x6, x7) + +inst_663:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1280*FLEN/8, x10, x6, x7) + +inst_664:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1282*FLEN/8, x10, x6, x7) + +inst_665:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1284*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_5) + +inst_666:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x025e22 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x680514 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x25e22; op2val:0x80680514; +valaddr_reg:x9; val_offset:1286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1286*FLEN/8, x10, x6, x7) + +inst_667:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x025e22 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x25e22; +valaddr_reg:x9; val_offset:1288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1288*FLEN/8, x10, x6, x7) + +inst_668:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x025e22 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x25e22; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1290*FLEN/8, x10, x6, x7) + +inst_669:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x025e22 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x25e22; +valaddr_reg:x9; val_offset:1292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1292*FLEN/8, x10, x6, x7) + +inst_670:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1294*FLEN/8, x10, x6, x7) + +inst_671:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1296*FLEN/8, x10, x6, x7) + +inst_672:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1298*FLEN/8, x10, x6, x7) + +inst_673:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1300*FLEN/8, x10, x6, x7) + +inst_674:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1302*FLEN/8, x10, x6, x7) + +inst_675:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1304*FLEN/8, x10, x6, x7) + +inst_676:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x7f0; +valaddr_reg:x9; val_offset:1306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1306*FLEN/8, x10, x6, x7) + +inst_677:// fs1 == 0 and fe1 == 0x80 and fm1 == 0x0b2963 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x400b2963; op2val:0x7f0; +valaddr_reg:x9; val_offset:1308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1308*FLEN/8, x10, x6, x7) + +inst_678:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x0b2963 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x400b2963; +valaddr_reg:x9; val_offset:1310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1310*FLEN/8, x10, x6, x7) + +inst_679:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x17ad58 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x0b2963 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x17ad58; op2val:0x400b2963; +valaddr_reg:x9; val_offset:1312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1312*FLEN/8, x10, x6, x7) + +inst_680:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1314*FLEN/8, x10, x6, x7) + +inst_681:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x68e714 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e68e714; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1316*FLEN/8, x10, x6, x7) + +inst_682:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0xfc and fm2 == 0x68e714 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x7e68e714; +valaddr_reg:x9; val_offset:1318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1318*FLEN/8, x10, x6, x7) + +inst_683:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1320*FLEN/8, x10, x6, x7) + +inst_684:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1322*FLEN/8, x10, x6, x7) + +inst_685:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1324*FLEN/8, x10, x6, x7) + +inst_686:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1326*FLEN/8, x10, x6, x7) + +inst_687:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x68e714 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e68e714; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1328*FLEN/8, x10, x6, x7) + +inst_688:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1330*FLEN/8, x10, x6, x7) + +inst_689:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1332*FLEN/8, x10, x6, x7) + +inst_690:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1334*FLEN/8, x10, x6, x7) + +inst_691:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1336*FLEN/8, x10, x6, x7) + +inst_692:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0xff130229; +valaddr_reg:x9; val_offset:1338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1338*FLEN/8, x10, x6, x7) + +inst_693:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1340*FLEN/8, x10, x6, x7) + +inst_694:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1342*FLEN/8, x10, x6, x7) + +inst_695:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x68e714 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e68e714; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1344*FLEN/8, x10, x6, x7) + +inst_696:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1346*FLEN/8, x10, x6, x7) + +inst_697:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1348*FLEN/8, x10, x6, x7) + +inst_698:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x5446a0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x5446a0; +valaddr_reg:x9; val_offset:1350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1350*FLEN/8, x10, x6, x7) + +inst_699:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1352*FLEN/8, x10, x6, x7) + +inst_700:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00a320 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0xa320; +valaddr_reg:x9; val_offset:1354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1354*FLEN/8, x10, x6, x7) + +inst_701:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00a320 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x5446a0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xa320; op2val:0x5446a0; +valaddr_reg:x9; val_offset:1356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1356*FLEN/8, x10, x6, x7) + +inst_702:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1358*FLEN/8, x10, x6, x7) + +inst_703:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1360*FLEN/8, x10, x6, x7) + +inst_704:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0068b4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x68b4; +valaddr_reg:x9; val_offset:1362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1362*FLEN/8, x10, x6, x7) + +inst_705:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0068b4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x5446a0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x68b4; op2val:0x5446a0; +valaddr_reg:x9; val_offset:1364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1364*FLEN/8, x10, x6, x7) + +inst_706:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1366*FLEN/8, x10, x6, x7) + +inst_707:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1368*FLEN/8, x10, x6, x7) + +inst_708:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0055b7 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x55b7; +valaddr_reg:x9; val_offset:1370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1370*FLEN/8, x10, x6, x7) + +inst_709:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0055b7 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x5446a0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x55b7; op2val:0x5446a0; +valaddr_reg:x9; val_offset:1372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1372*FLEN/8, x10, x6, x7) + +inst_710:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1374*FLEN/8, x10, x6, x7) + +inst_711:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1376*FLEN/8, x10, x6, x7) + +inst_712:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0040e4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x800040e4; +valaddr_reg:x9; val_offset:1378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1378*FLEN/8, x10, x6, x7) + +inst_713:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0040e4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x5446a0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800040e4; op2val:0x5446a0; +valaddr_reg:x9; val_offset:1380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1380*FLEN/8, x10, x6, x7) + +inst_714:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1382*FLEN/8, x10, x6, x7) + +inst_715:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1384*FLEN/8, x10, x6, x7) + +inst_716:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x086d76 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x010a4a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x86d76; op2val:0x80010a4a; +valaddr_reg:x9; val_offset:1386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1386*FLEN/8, x10, x6, x7) + +inst_717:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x010a4a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x086d76 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80010a4a; op2val:0x86d76; +valaddr_reg:x9; val_offset:1388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1388*FLEN/8, x10, x6, x7) + +inst_718:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x086d76 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x86d76; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1390*FLEN/8, x10, x6, x7) + +inst_719:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x086d76 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x86d76; +valaddr_reg:x9; val_offset:1392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1392*FLEN/8, x10, x6, x7) + +inst_720:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1394*FLEN/8, x10, x6, x7) + +inst_721:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0056ca and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x800056ca; +valaddr_reg:x9; val_offset:1396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1396*FLEN/8, x10, x6, x7) + +inst_722:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0056ca and fs2 == 0 and fe2 == 0x00 and fm2 == 0x5446a0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800056ca; op2val:0x5446a0; +valaddr_reg:x9; val_offset:1398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1398*FLEN/8, x10, x6, x7) + +inst_723:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1400*FLEN/8, x10, x6, x7) + +inst_724:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1402*FLEN/8, x10, x6, x7) + +inst_725:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x008b29 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x80008b29; +valaddr_reg:x9; val_offset:1404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1404*FLEN/8, x10, x6, x7) + +inst_726:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x008b29 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x5446a0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80008b29; op2val:0x5446a0; +valaddr_reg:x9; val_offset:1406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1406*FLEN/8, x10, x6, x7) + +inst_727:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1408*FLEN/8, x10, x6, x7) + +inst_728:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1410*FLEN/8, x10, x6, x7) + +inst_729:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x002a64 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x80002a64; +valaddr_reg:x9; val_offset:1412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1412*FLEN/8, x10, x6, x7) + +inst_730:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x002a64 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x5446a0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80002a64; op2val:0x5446a0; +valaddr_reg:x9; val_offset:1414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1414*FLEN/8, x10, x6, x7) + +inst_731:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x5446a0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5446a0; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1416*FLEN/8, x10, x6, x7) + +inst_732:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x7f0; +valaddr_reg:x9; val_offset:1418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1418*FLEN/8, x10, x6, x7) + +inst_733:// fs1 == 0 and fe1 == 0x81 and fm1 == 0x77aa21 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x40f7aa21; op2val:0x7f0; +valaddr_reg:x9; val_offset:1420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1420*FLEN/8, x10, x6, x7) + +inst_734:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x77aa21 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x40f7aa21; +valaddr_reg:x9; val_offset:1422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1422*FLEN/8, x10, x6, x7) + +inst_735:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00d7bf and fs2 == 0 and fe2 == 0x81 and fm2 == 0x77aa21 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xd7bf; op2val:0x40f7aa21; +valaddr_reg:x9; val_offset:1424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1424*FLEN/8, x10, x6, x7) + +inst_736:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1426*FLEN/8, x10, x6, x7) + +inst_737:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x301931 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e301931; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1428*FLEN/8, x10, x6, x7) + +inst_738:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x301931 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x7e301931; +valaddr_reg:x9; val_offset:1430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1430*FLEN/8, x10, x6, x7) + +inst_739:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1432*FLEN/8, x10, x6, x7) + +inst_740:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1434*FLEN/8, x10, x6, x7) + +inst_741:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1436*FLEN/8, x10, x6, x7) + +inst_742:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1438*FLEN/8, x10, x6, x7) + +inst_743:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x301931 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e301931; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1440*FLEN/8, x10, x6, x7) + +inst_744:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1442*FLEN/8, x10, x6, x7) + +inst_745:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1444*FLEN/8, x10, x6, x7) + +inst_746:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1446*FLEN/8, x10, x6, x7) + +inst_747:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1448*FLEN/8, x10, x6, x7) + +inst_748:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0xff130229; +valaddr_reg:x9; val_offset:1450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1450*FLEN/8, x10, x6, x7) + +inst_749:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1452*FLEN/8, x10, x6, x7) + +inst_750:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1454*FLEN/8, x10, x6, x7) + +inst_751:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x301931 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e301931; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1456*FLEN/8, x10, x6, x7) + +inst_752:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1458*FLEN/8, x10, x6, x7) + +inst_753:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00a320 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xa320; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1460*FLEN/8, x10, x6, x7) + +inst_754:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00a320 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0xa320; +valaddr_reg:x9; val_offset:1462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1462*FLEN/8, x10, x6, x7) + +inst_755:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1464*FLEN/8, x10, x6, x7) + +inst_756:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1466*FLEN/8, x10, x6, x7) + +inst_757:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1468*FLEN/8, x10, x6, x7) + +inst_758:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1470*FLEN/8, x10, x6, x7) + +inst_759:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1472*FLEN/8, x10, x6, x7) + +inst_760:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1474*FLEN/8, x10, x6, x7) + +inst_761:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1476*FLEN/8, x10, x6, x7) + +inst_762:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x065f43 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x680514 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x65f43; op2val:0x80680514; +valaddr_reg:x9; val_offset:1478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1478*FLEN/8, x10, x6, x7) + +inst_763:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x065f43 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x65f43; +valaddr_reg:x9; val_offset:1480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1480*FLEN/8, x10, x6, x7) + +inst_764:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x065f43 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x65f43; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1482*FLEN/8, x10, x6, x7) + +inst_765:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x065f43 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x65f43; +valaddr_reg:x9; val_offset:1484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1484*FLEN/8, x10, x6, x7) + +inst_766:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1486*FLEN/8, x10, x6, x7) + +inst_767:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1488*FLEN/8, x10, x6, x7) + +inst_768:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1490*FLEN/8, x10, x6, x7) + +inst_769:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1492*FLEN/8, x10, x6, x7) + +inst_770:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1494*FLEN/8, x10, x6, x7) + +inst_771:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1496*FLEN/8, x10, x6, x7) + +inst_772:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x7f0; +valaddr_reg:x9; val_offset:1498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1498*FLEN/8, x10, x6, x7) + +inst_773:// fs1 == 0 and fe1 == 0x81 and fm1 == 0x3b428c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x40bb428c; op2val:0x7f0; +valaddr_reg:x9; val_offset:1500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1500*FLEN/8, x10, x6, x7) + +inst_774:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x3b428c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x40bb428c; +valaddr_reg:x9; val_offset:1502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1502*FLEN/8, x10, x6, x7) + +inst_775:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3fb8a4 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x3b428c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fb8a4; op2val:0x40bb428c; +valaddr_reg:x9; val_offset:1504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1504*FLEN/8, x10, x6, x7) + +inst_776:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1506*FLEN/8, x10, x6, x7) + +inst_777:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x620ff4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7de20ff4; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1508*FLEN/8, x10, x6, x7) + +inst_778:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0xfb and fm2 == 0x620ff4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x7de20ff4; +valaddr_reg:x9; val_offset:1510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1510*FLEN/8, x10, x6, x7) + +inst_779:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1512*FLEN/8, x10, x6, x7) + +inst_780:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1514*FLEN/8, x10, x6, x7) + +inst_781:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1516*FLEN/8, x10, x6, x7) + +inst_782:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1518*FLEN/8, x10, x6, x7) + +inst_783:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x620ff4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7de20ff4; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1520*FLEN/8, x10, x6, x7) + +inst_784:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1522*FLEN/8, x10, x6, x7) + +inst_785:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1524*FLEN/8, x10, x6, x7) + +inst_786:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1526*FLEN/8, x10, x6, x7) + +inst_787:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1528*FLEN/8, x10, x6, x7) + +inst_788:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0xff130229; +valaddr_reg:x9; val_offset:1530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1530*FLEN/8, x10, x6, x7) + +inst_789:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1532*FLEN/8, x10, x6, x7) + +inst_790:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1534*FLEN/8, x10, x6, x7) + +inst_791:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x620ff4 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7de20ff4; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1536*FLEN/8, x10, x6, x7) + +inst_792:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1538*FLEN/8, x10, x6, x7) + +inst_793:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0068b4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x68b4; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1540*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_6) + +inst_794:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0068b4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x68b4; +valaddr_reg:x9; val_offset:1542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1542*FLEN/8, x10, x6, x7) + +inst_795:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1544*FLEN/8, x10, x6, x7) + +inst_796:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1546*FLEN/8, x10, x6, x7) + +inst_797:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1548*FLEN/8, x10, x6, x7) + +inst_798:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1550*FLEN/8, x10, x6, x7) + +inst_799:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1552*FLEN/8, x10, x6, x7) + +inst_800:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x04170c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x680514 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x4170c; op2val:0x80680514; +valaddr_reg:x9; val_offset:1554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1554*FLEN/8, x10, x6, x7) + +inst_801:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x04170c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x4170c; +valaddr_reg:x9; val_offset:1556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1556*FLEN/8, x10, x6, x7) + +inst_802:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x04170c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x4170c; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1558*FLEN/8, x10, x6, x7) + +inst_803:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x04170c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x4170c; +valaddr_reg:x9; val_offset:1560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1560*FLEN/8, x10, x6, x7) + +inst_804:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1562*FLEN/8, x10, x6, x7) + +inst_805:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1564*FLEN/8, x10, x6, x7) + +inst_806:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1566*FLEN/8, x10, x6, x7) + +inst_807:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1568*FLEN/8, x10, x6, x7) + +inst_808:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1570*FLEN/8, x10, x6, x7) + +inst_809:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1572*FLEN/8, x10, x6, x7) + +inst_810:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x7f0; +valaddr_reg:x9; val_offset:1574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1574*FLEN/8, x10, x6, x7) + +inst_811:// fs1 == 0 and fe1 == 0x80 and fm1 == 0x706405 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x40706405; op2val:0x7f0; +valaddr_reg:x9; val_offset:1576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1576*FLEN/8, x10, x6, x7) + +inst_812:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x706405 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x40706405; +valaddr_reg:x9; val_offset:1578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1578*FLEN/8, x10, x6, x7) + +inst_813:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x28e67d and fs2 == 0 and fe2 == 0x80 and fm2 == 0x706405 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x28e67d; op2val:0x40706405; +valaddr_reg:x9; val_offset:1580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1580*FLEN/8, x10, x6, x7) + +inst_814:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1582*FLEN/8, x10, x6, x7) + +inst_815:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x39119c and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7db9119c; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1584*FLEN/8, x10, x6, x7) + +inst_816:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0xfb and fm2 == 0x39119c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x7db9119c; +valaddr_reg:x9; val_offset:1586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1586*FLEN/8, x10, x6, x7) + +inst_817:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1588*FLEN/8, x10, x6, x7) + +inst_818:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1590*FLEN/8, x10, x6, x7) + +inst_819:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1592*FLEN/8, x10, x6, x7) + +inst_820:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0xfe and fm2 == 0x675603 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x7f675603; +valaddr_reg:x9; val_offset:1594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1594*FLEN/8, x10, x6, x7) + +inst_821:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1596*FLEN/8, x10, x6, x7) + +inst_822:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x39119c and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7db9119c; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1598*FLEN/8, x10, x6, x7) + +inst_823:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1600*FLEN/8, x10, x6, x7) + +inst_824:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1602*FLEN/8, x10, x6, x7) + +inst_825:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1604*FLEN/8, x10, x6, x7) + +inst_826:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1606*FLEN/8, x10, x6, x7) + +inst_827:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1608*FLEN/8, x10, x6, x7) + +inst_828:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1610*FLEN/8, x10, x6, x7) + +inst_829:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1612*FLEN/8, x10, x6, x7) + +inst_830:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1614*FLEN/8, x10, x6, x7) + +inst_831:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0xff130229; +valaddr_reg:x9; val_offset:1616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1616*FLEN/8, x10, x6, x7) + +inst_832:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0xff130229; +valaddr_reg:x9; val_offset:1618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1618*FLEN/8, x10, x6, x7) + +inst_833:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1620*FLEN/8, x10, x6, x7) + +inst_834:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x675603 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f675603; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1622*FLEN/8, x10, x6, x7) + +inst_835:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1624*FLEN/8, x10, x6, x7) + +inst_836:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x39119c and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7db9119c; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1626*FLEN/8, x10, x6, x7) + +inst_837:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1628*FLEN/8, x10, x6, x7) + +inst_838:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0055b7 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x55b7; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1630*FLEN/8, x10, x6, x7) + +inst_839:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0055b7 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x55b7; +valaddr_reg:x9; val_offset:1632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1632*FLEN/8, x10, x6, x7) + +inst_840:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1634*FLEN/8, x10, x6, x7) + +inst_841:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1636*FLEN/8, x10, x6, x7) + +inst_842:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1638*FLEN/8, x10, x6, x7) + +inst_843:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x03592e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x680514 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3592e; op2val:0x80680514; +valaddr_reg:x9; val_offset:1640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1640*FLEN/8, x10, x6, x7) + +inst_844:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x03592e and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x3592e; +valaddr_reg:x9; val_offset:1642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1642*FLEN/8, x10, x6, x7) + +inst_845:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x03592e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3592e; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1644*FLEN/8, x10, x6, x7) + +inst_846:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0x00 and fm2 == 0x03592e and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x3592e; +valaddr_reg:x9; val_offset:1646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1646*FLEN/8, x10, x6, x7) + +inst_847:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1648*FLEN/8, x10, x6, x7) + +inst_848:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1650*FLEN/8, x10, x6, x7) + +inst_849:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1652*FLEN/8, x10, x6, x7) + +inst_850:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1654*FLEN/8, x10, x6, x7) + +inst_851:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1656*FLEN/8, x10, x6, x7) + +inst_852:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1658*FLEN/8, x10, x6, x7) + +inst_853:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x7f0; +valaddr_reg:x9; val_offset:1660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1660*FLEN/8, x10, x6, x7) + +inst_854:// fs1 == 0 and fe1 == 0x80 and fm1 == 0x44cc84 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x4044cc84; op2val:0x7f0; +valaddr_reg:x9; val_offset:1662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1662*FLEN/8, x10, x6, x7) + +inst_855:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x44cc84 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x4044cc84; +valaddr_reg:x9; val_offset:1664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1664*FLEN/8, x10, x6, x7) + +inst_856:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x217bcd and fs2 == 0 and fe2 == 0x80 and fm2 == 0x44cc84 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x217bcd; op2val:0x4044cc84; +valaddr_reg:x9; val_offset:1666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1666*FLEN/8, x10, x6, x7) + +inst_857:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1668*FLEN/8, x10, x6, x7) + +inst_858:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x0c1bbb and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd8c1bbb; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1670*FLEN/8, x10, x6, x7) + +inst_859:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0xfb and fm2 == 0x0c1bbb and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0xfd8c1bbb; +valaddr_reg:x9; val_offset:1672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1672*FLEN/8, x10, x6, x7) + +inst_860:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1674*FLEN/8, x10, x6, x7) + +inst_861:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1676*FLEN/8, x10, x6, x7) + +inst_862:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1678*FLEN/8, x10, x6, x7) + +inst_863:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0xfe and fm2 == 0x2f22aa and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0xff2f22aa; +valaddr_reg:x9; val_offset:1680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1680*FLEN/8, x10, x6, x7) + +inst_864:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1682*FLEN/8, x10, x6, x7) + +inst_865:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x0c1bbb and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd8c1bbb; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1684*FLEN/8, x10, x6, x7) + +inst_866:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1686*FLEN/8, x10, x6, x7) + +inst_867:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1688*FLEN/8, x10, x6, x7) + +inst_868:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1690*FLEN/8, x10, x6, x7) + +inst_869:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1692*FLEN/8, x10, x6, x7) + +inst_870:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1694*FLEN/8, x10, x6, x7) + +inst_871:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1696*FLEN/8, x10, x6, x7) + +inst_872:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1698*FLEN/8, x10, x6, x7) + +inst_873:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1700*FLEN/8, x10, x6, x7) + +inst_874:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0xff130229; +valaddr_reg:x9; val_offset:1702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1702*FLEN/8, x10, x6, x7) + +inst_875:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0xff130229; +valaddr_reg:x9; val_offset:1704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1704*FLEN/8, x10, x6, x7) + +inst_876:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1706*FLEN/8, x10, x6, x7) + +inst_877:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x2f22aa and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff2f22aa; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1708*FLEN/8, x10, x6, x7) + +inst_878:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1710*FLEN/8, x10, x6, x7) + +inst_879:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x0c1bbb and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd8c1bbb; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1712*FLEN/8, x10, x6, x7) + +inst_880:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1714*FLEN/8, x10, x6, x7) + +inst_881:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0040e4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800040e4; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1716*FLEN/8, x10, x6, x7) + +inst_882:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0040e4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x800040e4; +valaddr_reg:x9; val_offset:1718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1718*FLEN/8, x10, x6, x7) + +inst_883:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1720*FLEN/8, x10, x6, x7) + +inst_884:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0288ef and fs2 == 1 and fe2 == 0x00 and fm2 == 0x680514 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800288ef; op2val:0x80680514; +valaddr_reg:x9; val_offset:1722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1722*FLEN/8, x10, x6, x7) + +inst_885:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0288ef and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x800288ef; +valaddr_reg:x9; val_offset:1724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1724*FLEN/8, x10, x6, x7) + +inst_886:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0288ef and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800288ef; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1726*FLEN/8, x10, x6, x7) + +inst_887:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0288ef and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x800288ef; +valaddr_reg:x9; val_offset:1728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1728*FLEN/8, x10, x6, x7) + +inst_888:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1730*FLEN/8, x10, x6, x7) + +inst_889:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1732*FLEN/8, x10, x6, x7) + +inst_890:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1734*FLEN/8, x10, x6, x7) + +inst_891:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1736*FLEN/8, x10, x6, x7) + +inst_892:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1738*FLEN/8, x10, x6, x7) + +inst_893:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1740*FLEN/8, x10, x6, x7) + +inst_894:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0x7f0; +valaddr_reg:x9; val_offset:1742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1742*FLEN/8, x10, x6, x7) + +inst_895:// fs1 == 1 and fe1 == 0x80 and fm1 == 0x14fd1d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc014fd1d; op2val:0x7f0; +valaddr_reg:x9; val_offset:1744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1744*FLEN/8, x10, x6, x7) + +inst_896:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x14fd1d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc014fd1d; +valaddr_reg:x9; val_offset:1746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1746*FLEN/8, x10, x6, x7) + +inst_897:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x19595f and fs2 == 1 and fe2 == 0x80 and fm2 == 0x14fd1d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8019595f; op2val:0xc014fd1d; +valaddr_reg:x9; val_offset:1748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1748*FLEN/8, x10, x6, x7) + +inst_898:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1750*FLEN/8, x10, x6, x7) + +inst_899:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x0fbbb6 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe8fbbb6; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1752*FLEN/8, x10, x6, x7) + +inst_900:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x0fbbb6 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0xfe8fbbb6; +valaddr_reg:x9; val_offset:1754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1754*FLEN/8, x10, x6, x7) + +inst_901:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1756*FLEN/8, x10, x6, x7) + +inst_902:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1758*FLEN/8, x10, x6, x7) + +inst_903:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1760*FLEN/8, x10, x6, x7) + +inst_904:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1762*FLEN/8, x10, x6, x7) + +inst_905:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1764*FLEN/8, x10, x6, x7) + +inst_906:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x0fbbb6 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe8fbbb6; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1766*FLEN/8, x10, x6, x7) + +inst_907:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1768*FLEN/8, x10, x6, x7) + +inst_908:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1770*FLEN/8, x10, x6, x7) + +inst_909:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1772*FLEN/8, x10, x6, x7) + +inst_910:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1774*FLEN/8, x10, x6, x7) + +inst_911:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1776*FLEN/8, x10, x6, x7) + +inst_912:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1778*FLEN/8, x10, x6, x7) + +inst_913:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1780*FLEN/8, x10, x6, x7) + +inst_914:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1782*FLEN/8, x10, x6, x7) + +inst_915:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0xff130229; +valaddr_reg:x9; val_offset:1784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1784*FLEN/8, x10, x6, x7) + +inst_916:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xff130229; +valaddr_reg:x9; val_offset:1786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1786*FLEN/8, x10, x6, x7) + +inst_917:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1788*FLEN/8, x10, x6, x7) + +inst_918:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1790*FLEN/8, x10, x6, x7) + +inst_919:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1792*FLEN/8, x10, x6, x7) + +inst_920:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x0fbbb6 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe8fbbb6; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1794*FLEN/8, x10, x6, x7) + +inst_921:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1796*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_7) + +inst_922:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x17ad58; +valaddr_reg:x9; val_offset:1798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1798*FLEN/8, x10, x6, x7) + +inst_923:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x680514 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x80680514; +valaddr_reg:x9; val_offset:1800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1800*FLEN/8, x10, x6, x7) + +inst_924:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1802*FLEN/8, x10, x6, x7) + +inst_925:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x010a4a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80010a4a; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1804*FLEN/8, x10, x6, x7) + +inst_926:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x010a4a and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x80010a4a; +valaddr_reg:x9; val_offset:1806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1806*FLEN/8, x10, x6, x7) + +inst_927:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1808*FLEN/8, x10, x6, x7) + +inst_928:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:1810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1810*FLEN/8, x10, x6, x7) + +inst_929:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1812*FLEN/8, x10, x6, x7) + +inst_930:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x28e67d; +valaddr_reg:x9; val_offset:1814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1814*FLEN/8, x10, x6, x7) + +inst_931:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1816*FLEN/8, x10, x6, x7) + +inst_932:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x217bcd; +valaddr_reg:x9; val_offset:1818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1818*FLEN/8, x10, x6, x7) + +inst_933:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1820*FLEN/8, x10, x6, x7) + +inst_934:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x8019595f; +valaddr_reg:x9; val_offset:1822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1822*FLEN/8, x10, x6, x7) + +inst_935:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1824*FLEN/8, x10, x6, x7) + +inst_936:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0363eb and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x800363eb; +valaddr_reg:x9; val_offset:1826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1826*FLEN/8, x10, x6, x7) + +inst_937:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0363eb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x680514 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800363eb; op2val:0x80680514; +valaddr_reg:x9; val_offset:1828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1828*FLEN/8, x10, x6, x7) + +inst_938:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1830*FLEN/8, x10, x6, x7) + +inst_939:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1832*FLEN/8, x10, x6, x7) + +inst_940:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x056fa1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x80056fa1; +valaddr_reg:x9; val_offset:1834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1834*FLEN/8, x10, x6, x7) + +inst_941:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x056fa1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x680514 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80056fa1; op2val:0x80680514; +valaddr_reg:x9; val_offset:1836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1836*FLEN/8, x10, x6, x7) + +inst_942:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1838*FLEN/8, x10, x6, x7) + +inst_943:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1840*FLEN/8, x10, x6, x7) + +inst_944:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x01a7ee and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x8001a7ee; +valaddr_reg:x9; val_offset:1842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1842*FLEN/8, x10, x6, x7) + +inst_945:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x01a7ee and fs2 == 1 and fe2 == 0x00 and fm2 == 0x680514 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8001a7ee; op2val:0x80680514; +valaddr_reg:x9; val_offset:1844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1844*FLEN/8, x10, x6, x7) + +inst_946:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x680514 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80680514; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1846*FLEN/8, x10, x6, x7) + +inst_947:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0x7f0; +valaddr_reg:x9; val_offset:1848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1848*FLEN/8, x10, x6, x7) + +inst_948:// fs1 == 1 and fe1 == 0x82 and fm1 == 0x18d7ea and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc118d7ea; op2val:0x7f0; +valaddr_reg:x9; val_offset:1850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1850*FLEN/8, x10, x6, x7) + +inst_949:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x82 and fm2 == 0x18d7ea and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc118d7ea; +valaddr_reg:x9; val_offset:1852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1852*FLEN/8, x10, x6, x7) + +inst_950:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0a66e8 and fs2 == 1 and fe2 == 0x82 and fm2 == 0x18d7ea and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800a66e8; op2val:0xc118d7ea; +valaddr_reg:x9; val_offset:1854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1854*FLEN/8, x10, x6, x7) + +inst_951:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1856*FLEN/8, x10, x6, x7) + +inst_952:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x3b633c and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdbb633c; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1858*FLEN/8, x10, x6, x7) + +inst_953:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x3b633c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0xfdbb633c; +valaddr_reg:x9; val_offset:1860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1860*FLEN/8, x10, x6, x7) + +inst_954:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1862*FLEN/8, x10, x6, x7) + +inst_955:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1864*FLEN/8, x10, x6, x7) + +inst_956:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1866*FLEN/8, x10, x6, x7) + +inst_957:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6a3c0b and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0xff6a3c0b; +valaddr_reg:x9; val_offset:1868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1868*FLEN/8, x10, x6, x7) + +inst_958:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1870*FLEN/8, x10, x6, x7) + +inst_959:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x3b633c and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdbb633c; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1872*FLEN/8, x10, x6, x7) + +inst_960:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1874*FLEN/8, x10, x6, x7) + +inst_961:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1876*FLEN/8, x10, x6, x7) + +inst_962:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1878*FLEN/8, x10, x6, x7) + +inst_963:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1880*FLEN/8, x10, x6, x7) + +inst_964:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1882*FLEN/8, x10, x6, x7) + +inst_965:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1884*FLEN/8, x10, x6, x7) + +inst_966:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1886*FLEN/8, x10, x6, x7) + +inst_967:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1888*FLEN/8, x10, x6, x7) + +inst_968:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0xff130229; +valaddr_reg:x9; val_offset:1890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1890*FLEN/8, x10, x6, x7) + +inst_969:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0xff130229; +valaddr_reg:x9; val_offset:1892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1892*FLEN/8, x10, x6, x7) + +inst_970:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1894*FLEN/8, x10, x6, x7) + +inst_971:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6a3c0b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6a3c0b; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1896*FLEN/8, x10, x6, x7) + +inst_972:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1898*FLEN/8, x10, x6, x7) + +inst_973:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x3b633c and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdbb633c; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1900*FLEN/8, x10, x6, x7) + +inst_974:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1902*FLEN/8, x10, x6, x7) + +inst_975:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0056ca and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800056ca; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1904*FLEN/8, x10, x6, x7) + +inst_976:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0056ca and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x800056ca; +valaddr_reg:x9; val_offset:1906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1906*FLEN/8, x10, x6, x7) + +inst_977:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1908*FLEN/8, x10, x6, x7) + +inst_978:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0363eb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800363eb; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1910*FLEN/8, x10, x6, x7) + +inst_979:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0363eb and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x800363eb; +valaddr_reg:x9; val_offset:1912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1912*FLEN/8, x10, x6, x7) + +inst_980:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1914*FLEN/8, x10, x6, x7) + +inst_981:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1916*FLEN/8, x10, x6, x7) + +inst_982:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1918*FLEN/8, x10, x6, x7) + +inst_983:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x8021e733; +valaddr_reg:x9; val_offset:1920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1920*FLEN/8, x10, x6, x7) + +inst_984:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0x7f0; +valaddr_reg:x9; val_offset:1922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1922*FLEN/8, x10, x6, x7) + +inst_985:// fs1 == 1 and fe1 == 0x80 and fm1 == 0x4743c4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc04743c4; op2val:0x7f0; +valaddr_reg:x9; val_offset:1924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1924*FLEN/8, x10, x6, x7) + +inst_986:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x4743c4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc04743c4; +valaddr_reg:x9; val_offset:1926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1926*FLEN/8, x10, x6, x7) + +inst_987:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x21e733 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x4743c4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8021e733; op2val:0xc04743c4; +valaddr_reg:x9; val_offset:1928*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1928*FLEN/8, x10, x6, x7) + +inst_988:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1930*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1930*FLEN/8, x10, x6, x7) + +inst_989:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x163ab8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe163ab8; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1932*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1932*FLEN/8, x10, x6, x7) + +inst_990:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0xfc and fm2 == 0x163ab8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0xfe163ab8; +valaddr_reg:x9; val_offset:1934*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1934*FLEN/8, x10, x6, x7) + +inst_991:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1936*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1936*FLEN/8, x10, x6, x7) + +inst_992:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1938*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1938*FLEN/8, x10, x6, x7) + +inst_993:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1940*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1940*FLEN/8, x10, x6, x7) + +inst_994:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1942*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1942*FLEN/8, x10, x6, x7) + +inst_995:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x163ab8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe163ab8; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:1944*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1944*FLEN/8, x10, x6, x7) + +inst_996:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:1946*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1946*FLEN/8, x10, x6, x7) + +inst_997:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:1948*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1948*FLEN/8, x10, x6, x7) + +inst_998:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:1950*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1950*FLEN/8, x10, x6, x7) + +inst_999:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:1952*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1952*FLEN/8, x10, x6, x7) + +inst_1000:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0xff130229; +valaddr_reg:x9; val_offset:1954*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1954*FLEN/8, x10, x6, x7) + +inst_1001:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0xfec91492; +valaddr_reg:x9; val_offset:1956*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1956*FLEN/8, x10, x6, x7) + +inst_1002:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1958*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1958*FLEN/8, x10, x6, x7) + +inst_1003:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x163ab8 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe163ab8; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:1960*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1960*FLEN/8, x10, x6, x7) + +inst_1004:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1962*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1962*FLEN/8, x10, x6, x7) + +inst_1005:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x008b29 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80008b29; op2val:0xd7bf; +valaddr_reg:x9; val_offset:1964*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1964*FLEN/8, x10, x6, x7) + +inst_1006:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x008b29 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x80008b29; +valaddr_reg:x9; val_offset:1966*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1966*FLEN/8, x10, x6, x7) + +inst_1007:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1968*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1968*FLEN/8, x10, x6, x7) + +inst_1008:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x056fa1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80056fa1; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:1970*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1970*FLEN/8, x10, x6, x7) + +inst_1009:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x056fa1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x80056fa1; +valaddr_reg:x9; val_offset:1972*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1972*FLEN/8, x10, x6, x7) + +inst_1010:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1974*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1974*FLEN/8, x10, x6, x7) + +inst_1011:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:1976*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1976*FLEN/8, x10, x6, x7) + +inst_1012:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0x7f0; +valaddr_reg:x9; val_offset:1978*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1978*FLEN/8, x10, x6, x7) + +inst_1013:// fs1 == 1 and fe1 == 0x81 and fm1 == 0x1fc053 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc09fc053; op2val:0x7f0; +valaddr_reg:x9; val_offset:1980*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1980*FLEN/8, x10, x6, x7) + +inst_1014:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x1fc053 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc09fc053; +valaddr_reg:x9; val_offset:1982*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1982*FLEN/8, x10, x6, x7) + +inst_1015:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x365c4c and fs2 == 1 and fe2 == 0x81 and fm2 == 0x1fc053 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80365c4c; op2val:0xc09fc053; +valaddr_reg:x9; val_offset:1984*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1984*FLEN/8, x10, x6, x7) + +inst_1016:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1986*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1986*FLEN/8, x10, x6, x7) + +inst_1017:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x370ed0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd370ed0; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:1988*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1988*FLEN/8, x10, x6, x7) + +inst_1018:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x370ed0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0xfd370ed0; +valaddr_reg:x9; val_offset:1990*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1990*FLEN/8, x10, x6, x7) + +inst_1019:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x80108f54; +valaddr_reg:x9; val_offset:1992*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1992*FLEN/8, x10, x6, x7) + +inst_1020:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1994*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1994*FLEN/8, x10, x6, x7) + +inst_1021:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:1996*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1996*FLEN/8, x10, x6, x7) + +inst_1022:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64d284 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0xfee4d284; +valaddr_reg:x9; val_offset:1998*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 1998*FLEN/8, x10, x6, x7) + +inst_1023:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:2000*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2000*FLEN/8, x10, x6, x7) + +inst_1024:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x370ed0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd370ed0; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:2002*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2002*FLEN/8, x10, x6, x7) + +inst_1025:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:2004*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2004*FLEN/8, x10, x6, x7) + +inst_1026:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:2006*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2006*FLEN/8, x10, x6, x7) + +inst_1027:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:2008*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2008*FLEN/8, x10, x6, x7) + +inst_1028:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:2010*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2010*FLEN/8, x10, x6, x7) + +inst_1029:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:2012*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2012*FLEN/8, x10, x6, x7) + +inst_1030:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:2014*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2014*FLEN/8, x10, x6, x7) + +inst_1031:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:2016*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2016*FLEN/8, x10, x6, x7) + +inst_1032:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:2018*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2018*FLEN/8, x10, x6, x7) + +inst_1033:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0xff130229; +valaddr_reg:x9; val_offset:2020*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2020*FLEN/8, x10, x6, x7) + +inst_1034:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0xff130229; +valaddr_reg:x9; val_offset:2022*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2022*FLEN/8, x10, x6, x7) + +inst_1035:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0xfec91492; +valaddr_reg:x9; val_offset:2024*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2024*FLEN/8, x10, x6, x7) + +inst_1036:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64d284 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee4d284; op2val:0xfec91492; +valaddr_reg:x9; val_offset:2026*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2026*FLEN/8, x10, x6, x7) + +inst_1037:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:2028*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2028*FLEN/8, x10, x6, x7) + +inst_1038:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x370ed0 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd370ed0; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:2030*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2030*FLEN/8, x10, x6, x7) + +inst_1039:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0xd7bf; +valaddr_reg:x9; val_offset:2032*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2032*FLEN/8, x10, x6, x7) + +inst_1040:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x002a64 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80002a64; op2val:0xd7bf; +valaddr_reg:x9; val_offset:2034*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2034*FLEN/8, x10, x6, x7) + +inst_1041:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x002a64 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x80002a64; +valaddr_reg:x9; val_offset:2036*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2036*FLEN/8, x10, x6, x7) + +inst_1042:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:2038*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2038*FLEN/8, x10, x6, x7) + +inst_1043:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x01a7ee and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8001a7ee; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:2040*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2040*FLEN/8, x10, x6, x7) + +inst_1044:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x01a7ee and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x8001a7ee; +valaddr_reg:x9; val_offset:2042*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2042*FLEN/8, x10, x6, x7) + +inst_1045:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0x7f0; +valaddr_reg:x9; val_offset:2044*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2044*FLEN/8, x10, x6, x7) + +inst_1046:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x42a917 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbfc2a917; op2val:0x7f0; +valaddr_reg:x9; val_offset:2046*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2046*FLEN/8, x10, x6, x7) + +inst_1047:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x42a917 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xbfc2a917; +valaddr_reg:x9; val_offset:2048*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2048*FLEN/8, x10, x6, x7) + +inst_1048:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108f54 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x42a917 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80108f54; op2val:0xbfc2a917; +valaddr_reg:x9; val_offset:2050*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2050*FLEN/8, x10, x6, x7) + +inst_1049:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:2052*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2052*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_8) + +inst_1050:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7f0; +valaddr_reg:x9; val_offset:2054*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2054*FLEN/8, x10, x6, x7) + +inst_1051:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x7046ce and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7ef046ce; +valaddr_reg:x9; val_offset:2056*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2056*FLEN/8, x10, x6, x7) + +inst_1052:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x472f12 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7e472f12; +valaddr_reg:x9; val_offset:2058*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2058*FLEN/8, x10, x6, x7) + +inst_1053:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2099c0 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7f2099c0; +valaddr_reg:x9; val_offset:2060*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2060*FLEN/8, x10, x6, x7) + +inst_1054:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x73c956 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7ef3c956; +valaddr_reg:x9; val_offset:2062*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2062*FLEN/8, x10, x6, x7) + +inst_1055:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0416 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xfeaf0416; +valaddr_reg:x9; val_offset:2064*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2064*FLEN/8, x10, x6, x7) + +inst_1056:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x336b1f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xff336b1f; +valaddr_reg:x9; val_offset:2066*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2066*FLEN/8, x10, x6, x7) + +inst_1057:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x130229 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xff130229; +valaddr_reg:x9; val_offset:2068*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2068*FLEN/8, x10, x6, x7) + +inst_1058:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x491492 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xfec91492; +valaddr_reg:x9; val_offset:2070*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2070*FLEN/8, x10, x6, x7) + +inst_1059:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x4aaeb1 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xfdcaaeb1; +valaddr_reg:x9; val_offset:2072*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2072*FLEN/8, x10, x6, x7) + +inst_1060:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x17ad58 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x17ad58; +valaddr_reg:x9; val_offset:2074*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2074*FLEN/8, x10, x6, x7) + +inst_1061:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00d7bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xd7bf; +valaddr_reg:x9; val_offset:2076*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2076*FLEN/8, x10, x6, x7) + +inst_1062:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3fb8a4 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x3fb8a4; +valaddr_reg:x9; val_offset:2078*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2078*FLEN/8, x10, x6, x7) + +inst_1063:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x28e67d and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x28e67d; +valaddr_reg:x9; val_offset:2080*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2080*FLEN/8, x10, x6, x7) + +inst_1064:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x217bcd and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x217bcd; +valaddr_reg:x9; val_offset:2082*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2082*FLEN/8, x10, x6, x7) + +inst_1065:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x19595f and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x8019595f; +valaddr_reg:x9; val_offset:2084*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2084*FLEN/8, x10, x6, x7) + +inst_1066:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0a66e8 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x800a66e8; +valaddr_reg:x9; val_offset:2086*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2086*FLEN/8, x10, x6, x7) + +inst_1067:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x21e733 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x8021e733; +valaddr_reg:x9; val_offset:2088*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2088*FLEN/8, x10, x6, x7) + +inst_1068:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x365c4c and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x80365c4c; +valaddr_reg:x9; val_offset:2090*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2090*FLEN/8, x10, x6, x7) + +inst_1069:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108f54 and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x80108f54; +valaddr_reg:x9; val_offset:2092*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2092*FLEN/8, x10, x6, x7) + +inst_1070:// fs1 == 0 and fe1 == 0xfc and fm1 == 0x36c1bf and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7e36c1bf; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:2094*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2094*FLEN/8, x10, x6, x7) + +inst_1071:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x4aaeb1 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x36c1bf and fcsr == 0 +/* opcode: fleq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdcaaeb1; op2val:0x7e36c1bf; +valaddr_reg:x9; val_offset:2096*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fleq.s, x31, f31, f30, 0, 0, x9, 2096*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2101360805,32,FLEN) +NAN_BOXED(2101360805,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2105572096,32,FLEN) +NAN_BOXED(2105572096,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2101544824,32,FLEN) +NAN_BOXED(2101544824,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4245422917,32,FLEN) +NAN_BOXED(4245422917,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4254042342,32,FLEN) +NAN_BOXED(4254042342,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +test_dataset_1: +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4251661993,32,FLEN) +NAN_BOXED(4251661993,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4246789441,32,FLEN) +NAN_BOXED(4246789441,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(2105728500,32,FLEN) +NAN_BOXED(2105728500,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(43339,32,FLEN) +NAN_BOXED(2120804116,32,FLEN) +NAN_BOXED(2120804116,32,FLEN) +NAN_BOXED(43339,32,FLEN) +NAN_BOXED(43339,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(43339,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(2117081393,32,FLEN) +NAN_BOXED(2117081393,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(2111967220,32,FLEN) +NAN_BOXED(2111967220,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(2109280668,32,FLEN) +NAN_BOXED(2109280668,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4253817787,32,FLEN) +NAN_BOXED(4253817787,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(433393,32,FLEN) +NAN_BOXED(4270832566,32,FLEN) +NAN_BOXED(4270832566,32,FLEN) +NAN_BOXED(433393,32,FLEN) +NAN_BOXED(433393,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(433393,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4256916284,32,FLEN) +NAN_BOXED(4256916284,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4262869688,32,FLEN) +NAN_BOXED(4262869688,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4248243920,32,FLEN) +NAN_BOXED(4248243920,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(4333935,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1086478115,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1086478115,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(1086478115,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2101360805,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2101360805,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2101360805,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2101360805,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(2101360805,32,FLEN) +NAN_BOXED(2101360805,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(11395,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(11395,32,FLEN) +NAN_BOXED(11395,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(11395,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(113959,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(113959,32,FLEN) +NAN_BOXED(113959,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(113959,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(1139594,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1070360475,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1070360475,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(1070360475,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(2105728500,32,FLEN) +NAN_BOXED(2105728500,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(47234,32,FLEN) +NAN_BOXED(2120804116,32,FLEN) +NAN_BOXED(2120804116,32,FLEN) +NAN_BOXED(47234,32,FLEN) +NAN_BOXED(47234,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(47234,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(2117081393,32,FLEN) +NAN_BOXED(2117081393,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(2111967220,32,FLEN) +NAN_BOXED(2111967220,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(2109280668,32,FLEN) +NAN_BOXED(2109280668,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4253817787,32,FLEN) +NAN_BOXED(4253817787,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(472349,32,FLEN) +NAN_BOXED(4270832566,32,FLEN) +NAN_BOXED(4270832566,32,FLEN) +NAN_BOXED(472349,32,FLEN) +NAN_BOXED(472349,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(472349,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4256916284,32,FLEN) +NAN_BOXED(4256916284,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4262869688,32,FLEN) +NAN_BOXED(4262869688,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4248243920,32,FLEN) +NAN_BOXED(4248243920,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(4723490,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1087622914,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1087622914,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(1087622914,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2105572096,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2105572096,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2105572096,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2105572096,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(2105572096,32,FLEN) +NAN_BOXED(2105572096,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(15234,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(15234,32,FLEN) +NAN_BOXED(15234,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(15234,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(152340,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(152340,32,FLEN) +NAN_BOXED(152340,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(152340,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(1523407,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1074306998,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1074306998,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(1074306998,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2101544824,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2101544824,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2101544824,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2101544824,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(2101544824,32,FLEN) +NAN_BOXED(2101544824,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(11562,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(11562,32,FLEN) +NAN_BOXED(11562,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(11562,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(115624,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(115624,32,FLEN) +NAN_BOXED(115624,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(115624,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(1156241,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1070556158,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1070556158,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(1070556158,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4245422917,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4245422917,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4245422917,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4245422917,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4245422917,32,FLEN) +NAN_BOXED(4245422917,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2147491948,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147491948,32,FLEN) +NAN_BOXED(2147491948,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2147491948,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2147566655,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147566655,32,FLEN) +NAN_BOXED(2147566655,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2147566655,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2148313721,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3214205722,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3214205722,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(3214205722,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4254042342,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4254042342,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4254042342,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4254042342,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4254042342,32,FLEN) +NAN_BOXED(4254042342,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2147500667,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147500667,32,FLEN) +NAN_BOXED(2147500667,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2147500667,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2147653838,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147653838,32,FLEN) +NAN_BOXED(2147653838,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2147653838,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2149185555,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3222839776,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3222839776,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(3222839776,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4251661993,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4251661993,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4251661993,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4251661993,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4251661993,32,FLEN) +NAN_BOXED(4251661993,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2147497592,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147497592,32,FLEN) +NAN_BOXED(2147497592,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2147497592,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2147623095,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147623095,32,FLEN) +NAN_BOXED(2147623095,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2147623095,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2148878124,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3220840245,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3220840245,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(3220840245,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4246789441,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4246789441,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4246789441,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4246789441,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4246789441,32,FLEN) +NAN_BOXED(4246789441,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2147493184,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147493184,32,FLEN) +NAN_BOXED(2147493184,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2147493184,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2147579017,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147579017,32,FLEN) +NAN_BOXED(2147579017,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2147579017,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2148437340,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3215658860,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3215658860,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(3215658860,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4286405214,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2105728500,32,FLEN) +NAN_BOXED(2105728500,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2147507680,32,FLEN) +NAN_BOXED(2120804116,32,FLEN) +NAN_BOXED(2120804116,32,FLEN) +NAN_BOXED(2147507680,32,FLEN) +NAN_BOXED(2147507680,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2147507680,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2117081393,32,FLEN) +NAN_BOXED(2117081393,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2111967220,32,FLEN) +NAN_BOXED(2111967220,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2109280668,32,FLEN) +NAN_BOXED(2109280668,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(4253817787,32,FLEN) +NAN_BOXED(4253817787,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2147723970,32,FLEN) +NAN_BOXED(4270832566,32,FLEN) +NAN_BOXED(4270832566,32,FLEN) +NAN_BOXED(2147723970,32,FLEN) +NAN_BOXED(2147723970,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2147723970,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(4256916284,32,FLEN) +NAN_BOXED(4256916284,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(4262869688,32,FLEN) +NAN_BOXED(4262869688,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(4248243920,32,FLEN) +NAN_BOXED(4248243920,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2149886875,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3226961765,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3226961765,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(3226961765,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2105728500,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2105728500,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2105728500,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2133038449,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2105728500,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(15517,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(15517,32,FLEN) +NAN_BOXED(15517,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(15517,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(155170,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(155170,32,FLEN) +NAN_BOXED(155170,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(155170,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1074473315,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1074473315,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(1074473315,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2120804116,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2120804116,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2120804116,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2120804116,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(41760,32,FLEN) +NAN_BOXED(41760,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(26804,32,FLEN) +NAN_BOXED(26804,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(21943,32,FLEN) +NAN_BOXED(21943,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(2147500260,32,FLEN) +NAN_BOXED(2147500260,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(552310,32,FLEN) +NAN_BOXED(2147551818,32,FLEN) +NAN_BOXED(2147551818,32,FLEN) +NAN_BOXED(552310,32,FLEN) +NAN_BOXED(552310,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(552310,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(2147505866,32,FLEN) +NAN_BOXED(2147505866,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(2147519273,32,FLEN) +NAN_BOXED(2147519273,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(2147494500,32,FLEN) +NAN_BOXED(2147494500,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(5523104,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1089972769,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1089972769,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(1089972769,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2117081393,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2117081393,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2117081393,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2117081393,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(41760,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(41760,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(417603,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(417603,32,FLEN) +NAN_BOXED(417603,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(417603,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1086014092,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1086014092,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(1086014092,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2111967220,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2111967220,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2111967220,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2111967220,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(26804,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(26804,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(268044,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(268044,32,FLEN) +NAN_BOXED(268044,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(268044,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1081107461,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1081107461,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(1081107461,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2109280668,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2109280668,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2109280668,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2137478659,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2109280668,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(21943,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(21943,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(219438,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(219438,32,FLEN) +NAN_BOXED(219438,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(219438,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1078250628,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1078250628,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(1078250628,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4253817787,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4253817787,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4253817787,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4281279146,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4253817787,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2147500260,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2147500260,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2147649775,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2147649775,32,FLEN) +NAN_BOXED(2147649775,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2147649775,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3222600989,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3222600989,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(3222600989,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4270832566,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4270832566,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4270832566,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4270832566,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2147551818,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2147551818,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2147705835,32,FLEN) +NAN_BOXED(2147705835,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2147839905,32,FLEN) +NAN_BOXED(2147839905,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2147592174,32,FLEN) +NAN_BOXED(2147592174,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2154300692,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3239630826,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3239630826,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(3239630826,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4256916284,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4256916284,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4256916284,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4285152267,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4256916284,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2147505866,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2147505866,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2147705835,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2147705835,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3225895876,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3225895876,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(3225895876,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4262869688,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4262869688,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4262869688,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4262869688,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2147519273,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2147519273,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2147839905,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2147839905,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3231694931,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3231694931,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(3231694931,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4248243920,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4248243920,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(4248243920,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(4276408964,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(4248243920,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2147494500,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2147494500,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2147592174,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2147592174,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3217205527,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3217205527,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(3217205527,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2129675982,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2118594322,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2132842944,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2129906006,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4272882710,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4281559839,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4279435817,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4274590866,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1551704,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(55231,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4176036,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2680445,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2194381,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2149144927,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2148165352,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2149705523,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2151046220,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2148568916,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +NAN_BOXED(4257918641,32,FLEN) +NAN_BOXED(2117517759,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_5: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_6: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_7: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_8: + .fill 44*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/F_Zfa/src/fli.s-01.S b/riscv-test-suite/rv64i_m/F_Zfa/src/fli.s-01.S new file mode 100644 index 000000000..1558be873 --- /dev/null +++ b/riscv-test-suite/rv64i_m/F_Zfa/src/fli.s-01.S @@ -0,0 +1,204 @@ +// Copyright (c) 2023. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fli.s instruction +// for the following ISA configurations: +// * RV32IF_Zfa +// * RV64IF_Zfa + +#include "model_test.h" +#include "arch_test.h" + +RVTEST_ISA("RV32IF_Zfa,RV64IF_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: + +RVMODEL_BOOT + +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*);def TEST_CASE_1=True;",fli.s) + +// Registers with a special purpose +#define SIG_BASEREG x1 +#define FCSR_REG x2 +#define DATA_BASEREG x3 + +// Initialize the FPU +RVTEST_FP_ENABLE() +// Prepare the DATA_BASEREG register +RVTEST_VALBASEUPD(DATA_BASEREG, dataset_tc1) +// Prepare the SIG_BASEREG register +RVTEST_SIGBASE(SIG_BASEREG, signature_tc1) + +// FLI.S loads a pre-defined constant into a FP register. +// FLI.S has the following inputs and outputs: +// - input rs1: 5-bit immediate holding the constants ID +// - output fld: FP register + +// TEST_CASE_FLI_S executes a FLI.S insn and stores the result in the sig +// 1) the FCSR_OLD value will be store into FCSR using FCSR_REG +// 2) fli.s is executed using FLD as dest register and FLI_CONST as constant +// 3) The constents of FLD and FCSR are stored in the signature +#define TEST_CASE_FLI_S(fld, fli_const, fcsr_old, fcsr_reg) \ + li fcsr_reg, fcsr_old ;\ + csrw fcsr, fcsr_reg ;\ + fli.s fld, fli_const ;\ + csrr fcsr_reg, fcsr ;\ + RVTEST_SIGUPD_F(SIG_BASEREG, fld, fcsr_reg) ;\ + +// Below we have one instruction test per constant + +inst_0: +TEST_CASE_FLI_S(f16, -0x1p+0, 0, FCSR_REG) + +inst_1: +TEST_CASE_FLI_S(f17, min, 0, FCSR_REG) + +inst_2: +TEST_CASE_FLI_S(f18, 0x1p-16, 0, FCSR_REG) + +inst_3: +TEST_CASE_FLI_S(f19, 0x1p-15, 0, FCSR_REG) + +inst_4: +TEST_CASE_FLI_S(f20, 0x1p-8, 0, FCSR_REG) + +inst_5: +TEST_CASE_FLI_S(f21, 0x1p-7, 0, FCSR_REG) + +inst_6: +TEST_CASE_FLI_S(f22, 0x1p-4, 0, FCSR_REG) + +inst_7: +TEST_CASE_FLI_S(f23, 0x1p-3, 0, FCSR_REG) + +inst_8: +TEST_CASE_FLI_S(f24, 0x1p-2, 0, FCSR_REG) + +inst_9: +TEST_CASE_FLI_S(f25, 0x1.4p-2, 0, FCSR_REG) + +inst_10: +TEST_CASE_FLI_S(f26, 0x1.8p-2, 0, FCSR_REG) + +inst_11: +TEST_CASE_FLI_S(f27, 0x1.cp-2, 0, FCSR_REG) + +inst_12: +TEST_CASE_FLI_S(f28, 0x1p-1, 0, FCSR_REG) + +inst_13: +TEST_CASE_FLI_S(f29, 0x1.4p-1, 0, FCSR_REG) + +inst_14: +TEST_CASE_FLI_S(f30, 0x1.8p-1, 0, FCSR_REG) + +inst_15: +TEST_CASE_FLI_S(f31, 0x1.cp-1, 0, FCSR_REG) + +inst_16: +TEST_CASE_FLI_S(f0, 0x1p0, 0, FCSR_REG) + +inst_17: +TEST_CASE_FLI_S(f1, 0x1.4p+0, 0, FCSR_REG) + +inst_18: +TEST_CASE_FLI_S(f2, 0x1.8p+0, 0, FCSR_REG) + +inst_19: +TEST_CASE_FLI_S(f3, 0x1.cp+0, 0, FCSR_REG) + +inst_20: +TEST_CASE_FLI_S(f4, 0x1p+1, 0, FCSR_REG) + +inst_21: +TEST_CASE_FLI_S(f5, 0x1.4p+1, 0, FCSR_REG) + +inst_22: +TEST_CASE_FLI_S(f6, 0x1.8p+1, 0, FCSR_REG) + +inst_23: +TEST_CASE_FLI_S(f7, 0x1p+2, 0, FCSR_REG) + +inst_24: +TEST_CASE_FLI_S(f8, 0x1p+3, 0, FCSR_REG) + +inst_25: +TEST_CASE_FLI_S(f9, 0x1p+4, 0, FCSR_REG) + +inst_26: +TEST_CASE_FLI_S(f10, 0x1p+7, 0, FCSR_REG) + +inst_27: +TEST_CASE_FLI_S(f11, 0x1p+8, 0, FCSR_REG) + +inst_28: +TEST_CASE_FLI_S(f12, 0x1p+15, 0, FCSR_REG) + +inst_29: +TEST_CASE_FLI_S(f13, 0x1p+16, 0, FCSR_REG) + +inst_30: +TEST_CASE_FLI_S(f14, inf, 0, FCSR_REG) + +inst_31: +TEST_CASE_FLI_S(f15, nan, 0, FCSR_REG) + +#endif // TEST_CASE_1 + +RVTEST_CODE_END + +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.word 0xbabecafe // trapreg_sv +.word 0xabecafeb // tramptbl_sv +.word 0xbecafeba // mtvec_save +.word 0xecafebab // mscratch_save +dataset_tc1: +/* empty */ +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + +signature_tc1: +// We have 32 test cases and store for each test case: +// - 32-bit FP register (fld) +// - 32-bit FCSR content after the instruction + .fill 64*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +tsig_begin_canary: +CANARY; +tsig_begin_canary: +CANARY; +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef +tsig_end_canary: +CANARY; +tsig_end_canary: +CANARY; + +#endif // rvtest_mtrap_routine + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif // rvtest_gpr_save + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/F_Zfa/src/fltq_b1-01.S b/riscv-test-suite/rv64i_m/F_Zfa/src/fltq_b1-01.S new file mode 100644 index 000000000..16cb310c4 --- /dev/null +++ b/riscv-test-suite/rv64i_m/F_Zfa/src/fltq_b1-01.S @@ -0,0 +1,4740 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:43:34 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fltq.s.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fltq.s instruction of the RISC-V RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fltq_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fltq_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 == rs2, rs1==f31, rs2==f31, rd==x31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f31; dest:x31; op1val:0x0; op2val:0x0; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 != rs2, rs1==f30, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f30; op2:f29; dest:x30; op1val:0x0; op2val:0x80000000; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x30, f30, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f29, rs2==f30, rd==x29,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f29; op2:f30; dest:x29; op1val:0x0; op2val:0x1; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x29, f29, f30, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f28; op2:f27; dest:x28; op1val:0x0; op2val:0x80000001; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f27; op2:f28; dest:x27; op1val:0x0; op2val:0x2; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f26; op2:f25; dest:x26; op1val:0x0; op2val:0x807ffffe; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f25; op2:f26; dest:x25; op1val:0x0; op2val:0x7fffff; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f24; op2:f23; dest:x24; op1val:0x0; op2val:0x807fffff; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f23; op2:f24; dest:x23; op1val:0x0; op2val:0x800000; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f22; op2:f21; dest:x22; op1val:0x0; op2val:0x80800000; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f21; op2:f22; dest:x21; op1val:0x0; op2val:0x800001; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f20; op2:f19; dest:x20; op1val:0x0; op2val:0x80855555; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f19; op2:f20; dest:x19; op1val:0x0; op2val:0x7f7fffff; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f18; op2:f17; dest:x18; op1val:0x0; op2val:0xff7fffff; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f17; op2:f18; dest:x17; op1val:0x0; op2val:0x7f800000; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f16; op2:f15; dest:x16; op1val:0x0; op2val:0xff800000; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f15; op2:f16; dest:x15; op1val:0x0; op2val:0x7fc00000; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f14; op2:f13; dest:x14; op1val:0x0; op2val:0xffc00000; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f13; op2:f14; dest:x13; op1val:0x0; op2val:0x7fc00001; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f12; op2:f11; dest:x12; op1val:0x0; op2val:0xffc55555; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f11; op2:f12; dest:x11; op1val:0x0; op2val:0x7f800001; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f10; op2:f9; dest:x10; op1val:0x0; op2val:0xffaaaaaa; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f9; op2:f10; dest:x9; op1val:0x0; op2val:0x3f800000; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f8; op2:f7; dest:x8; op1val:0x0; op2val:0xbf800000; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f7; op2:f8; dest:x7; op1val:0x80000000; op2val:0x0; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f6; op2:f5; dest:x6; op1val:0x80000000; op2val:0x80000000; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f5; op2:f6; dest:x5; op1val:0x80000000; op2val:0x1; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f4; op2:f3; dest:x4; op1val:0x80000000; op2val:0x80000001; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f3; op2:f4; dest:x3; op1val:0x80000000; op2val:0x2; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f2; op2:f1; dest:x2; op1val:0x80000000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f1; op2:f2; dest:x1; op1val:0x80000000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f0; op2:f31; dest:x31; op1val:0x80000000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f0; dest:x31; op1val:0x80000000; op2val:0x800000; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x0; op1val:0x80000000; op2val:0x80800000; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) + +inst_34:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x800001; +valaddr_reg:x9; val_offset:22*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 22*FLEN/8, x10, x6, x7) + +inst_35:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x80855555; +valaddr_reg:x9; val_offset:24*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 24*FLEN/8, x10, x6, x7) + +inst_36:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:26*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 26*FLEN/8, x10, x6, x7) + +inst_37:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:28*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 28*FLEN/8, x10, x6, x7) + +inst_38:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:30*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 30*FLEN/8, x10, x6, x7) + +inst_39:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xff800000; +valaddr_reg:x9; val_offset:32*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 32*FLEN/8, x10, x6, x7) + +inst_40:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:34*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 34*FLEN/8, x10, x6, x7) + +inst_41:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:36*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 36*FLEN/8, x10, x6, x7) + +inst_42:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:38*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 38*FLEN/8, x10, x6, x7) + +inst_43:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:40*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 40*FLEN/8, x10, x6, x7) + +inst_44:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:42*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 42*FLEN/8, x10, x6, x7) + +inst_45:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:44*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 44*FLEN/8, x10, x6, x7) + +inst_46:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:46*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 46*FLEN/8, x10, x6, x7) + +inst_47:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:48*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 48*FLEN/8, x10, x6, x7) + +inst_48:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x0; +valaddr_reg:x9; val_offset:50*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 50*FLEN/8, x10, x6, x7) + +inst_49:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x80000000; +valaddr_reg:x9; val_offset:52*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 52*FLEN/8, x10, x6, x7) + +inst_50:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x1; +valaddr_reg:x9; val_offset:54*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 54*FLEN/8, x10, x6, x7) + +inst_51:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x80000001; +valaddr_reg:x9; val_offset:56*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 56*FLEN/8, x10, x6, x7) + +inst_52:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x2; +valaddr_reg:x9; val_offset:58*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 58*FLEN/8, x10, x6, x7) + +inst_53:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:60*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 60*FLEN/8, x10, x6, x7) + +inst_54:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7fffff; +valaddr_reg:x9; val_offset:62*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 62*FLEN/8, x10, x6, x7) + +inst_55:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x807fffff; +valaddr_reg:x9; val_offset:64*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 64*FLEN/8, x10, x6, x7) + +inst_56:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x800000; +valaddr_reg:x9; val_offset:66*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 66*FLEN/8, x10, x6, x7) + +inst_57:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x80800000; +valaddr_reg:x9; val_offset:68*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 68*FLEN/8, x10, x6, x7) + +inst_58:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x800001; +valaddr_reg:x9; val_offset:70*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 70*FLEN/8, x10, x6, x7) + +inst_59:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x80855555; +valaddr_reg:x9; val_offset:72*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 72*FLEN/8, x10, x6, x7) + +inst_60:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:74*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 74*FLEN/8, x10, x6, x7) + +inst_61:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:76*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 76*FLEN/8, x10, x6, x7) + +inst_62:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7f800000; +valaddr_reg:x9; val_offset:78*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 78*FLEN/8, x10, x6, x7) + +inst_63:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xff800000; +valaddr_reg:x9; val_offset:80*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 80*FLEN/8, x10, x6, x7) + +inst_64:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:82*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 82*FLEN/8, x10, x6, x7) + +inst_65:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xffc00000; +valaddr_reg:x9; val_offset:84*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 84*FLEN/8, x10, x6, x7) + +inst_66:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:86*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 86*FLEN/8, x10, x6, x7) + +inst_67:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xffc55555; +valaddr_reg:x9; val_offset:88*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 88*FLEN/8, x10, x6, x7) + +inst_68:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x7f800001; +valaddr_reg:x9; val_offset:90*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 90*FLEN/8, x10, x6, x7) + +inst_69:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:92*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 92*FLEN/8, x10, x6, x7) + +inst_70:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0x3f800000; +valaddr_reg:x9; val_offset:94*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 94*FLEN/8, x10, x6, x7) + +inst_71:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1; op2val:0xbf800000; +valaddr_reg:x9; val_offset:96*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 96*FLEN/8, x10, x6, x7) + +inst_72:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x0; +valaddr_reg:x9; val_offset:98*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 98*FLEN/8, x10, x6, x7) + +inst_73:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x80000000; +valaddr_reg:x9; val_offset:100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 100*FLEN/8, x10, x6, x7) + +inst_74:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x1; +valaddr_reg:x9; val_offset:102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 102*FLEN/8, x10, x6, x7) + +inst_75:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x80000001; +valaddr_reg:x9; val_offset:104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 104*FLEN/8, x10, x6, x7) + +inst_76:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x2; +valaddr_reg:x9; val_offset:106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 106*FLEN/8, x10, x6, x7) + +inst_77:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 108*FLEN/8, x10, x6, x7) + +inst_78:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7fffff; +valaddr_reg:x9; val_offset:110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 110*FLEN/8, x10, x6, x7) + +inst_79:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x807fffff; +valaddr_reg:x9; val_offset:112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 112*FLEN/8, x10, x6, x7) + +inst_80:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x800000; +valaddr_reg:x9; val_offset:114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 114*FLEN/8, x10, x6, x7) + +inst_81:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x80800000; +valaddr_reg:x9; val_offset:116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 116*FLEN/8, x10, x6, x7) + +inst_82:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x800001; +valaddr_reg:x9; val_offset:118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 118*FLEN/8, x10, x6, x7) + +inst_83:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x80855555; +valaddr_reg:x9; val_offset:120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 120*FLEN/8, x10, x6, x7) + +inst_84:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 122*FLEN/8, x10, x6, x7) + +inst_85:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 124*FLEN/8, x10, x6, x7) + +inst_86:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7f800000; +valaddr_reg:x9; val_offset:126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 126*FLEN/8, x10, x6, x7) + +inst_87:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xff800000; +valaddr_reg:x9; val_offset:128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 128*FLEN/8, x10, x6, x7) + +inst_88:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 130*FLEN/8, x10, x6, x7) + +inst_89:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xffc00000; +valaddr_reg:x9; val_offset:132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 132*FLEN/8, x10, x6, x7) + +inst_90:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 134*FLEN/8, x10, x6, x7) + +inst_91:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xffc55555; +valaddr_reg:x9; val_offset:136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 136*FLEN/8, x10, x6, x7) + +inst_92:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x7f800001; +valaddr_reg:x9; val_offset:138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 138*FLEN/8, x10, x6, x7) + +inst_93:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 140*FLEN/8, x10, x6, x7) + +inst_94:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0x3f800000; +valaddr_reg:x9; val_offset:142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 142*FLEN/8, x10, x6, x7) + +inst_95:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000001; op2val:0xbf800000; +valaddr_reg:x9; val_offset:144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 144*FLEN/8, x10, x6, x7) + +inst_96:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x0; +valaddr_reg:x9; val_offset:146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 146*FLEN/8, x10, x6, x7) + +inst_97:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x80000000; +valaddr_reg:x9; val_offset:148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 148*FLEN/8, x10, x6, x7) + +inst_98:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x1; +valaddr_reg:x9; val_offset:150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 150*FLEN/8, x10, x6, x7) + +inst_99:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x80000001; +valaddr_reg:x9; val_offset:152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 152*FLEN/8, x10, x6, x7) + +inst_100:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x2; +valaddr_reg:x9; val_offset:154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 154*FLEN/8, x10, x6, x7) + +inst_101:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 156*FLEN/8, x10, x6, x7) + +inst_102:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7fffff; +valaddr_reg:x9; val_offset:158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 158*FLEN/8, x10, x6, x7) + +inst_103:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x807fffff; +valaddr_reg:x9; val_offset:160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 160*FLEN/8, x10, x6, x7) + +inst_104:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x800000; +valaddr_reg:x9; val_offset:162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 162*FLEN/8, x10, x6, x7) + +inst_105:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x80800000; +valaddr_reg:x9; val_offset:164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 164*FLEN/8, x10, x6, x7) + +inst_106:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x800001; +valaddr_reg:x9; val_offset:166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 166*FLEN/8, x10, x6, x7) + +inst_107:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x80855555; +valaddr_reg:x9; val_offset:168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 168*FLEN/8, x10, x6, x7) + +inst_108:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 170*FLEN/8, x10, x6, x7) + +inst_109:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 172*FLEN/8, x10, x6, x7) + +inst_110:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7f800000; +valaddr_reg:x9; val_offset:174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 174*FLEN/8, x10, x6, x7) + +inst_111:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xff800000; +valaddr_reg:x9; val_offset:176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 176*FLEN/8, x10, x6, x7) + +inst_112:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 178*FLEN/8, x10, x6, x7) + +inst_113:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xffc00000; +valaddr_reg:x9; val_offset:180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 180*FLEN/8, x10, x6, x7) + +inst_114:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 182*FLEN/8, x10, x6, x7) + +inst_115:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xffc55555; +valaddr_reg:x9; val_offset:184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 184*FLEN/8, x10, x6, x7) + +inst_116:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x7f800001; +valaddr_reg:x9; val_offset:186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 186*FLEN/8, x10, x6, x7) + +inst_117:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 188*FLEN/8, x10, x6, x7) + +inst_118:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0x3f800000; +valaddr_reg:x9; val_offset:190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 190*FLEN/8, x10, x6, x7) + +inst_119:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2; op2val:0xbf800000; +valaddr_reg:x9; val_offset:192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 192*FLEN/8, x10, x6, x7) + +inst_120:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x0; +valaddr_reg:x9; val_offset:194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 194*FLEN/8, x10, x6, x7) + +inst_121:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x80000000; +valaddr_reg:x9; val_offset:196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 196*FLEN/8, x10, x6, x7) + +inst_122:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x1; +valaddr_reg:x9; val_offset:198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 198*FLEN/8, x10, x6, x7) + +inst_123:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x80000001; +valaddr_reg:x9; val_offset:200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 200*FLEN/8, x10, x6, x7) + +inst_124:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x2; +valaddr_reg:x9; val_offset:202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 202*FLEN/8, x10, x6, x7) + +inst_125:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 204*FLEN/8, x10, x6, x7) + +inst_126:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7fffff; +valaddr_reg:x9; val_offset:206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 206*FLEN/8, x10, x6, x7) + +inst_127:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x807fffff; +valaddr_reg:x9; val_offset:208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 208*FLEN/8, x10, x6, x7) + +inst_128:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x800000; +valaddr_reg:x9; val_offset:210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 210*FLEN/8, x10, x6, x7) + +inst_129:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x80800000; +valaddr_reg:x9; val_offset:212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 212*FLEN/8, x10, x6, x7) + +inst_130:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x800001; +valaddr_reg:x9; val_offset:214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 214*FLEN/8, x10, x6, x7) + +inst_131:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x80855555; +valaddr_reg:x9; val_offset:216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 216*FLEN/8, x10, x6, x7) + +inst_132:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 218*FLEN/8, x10, x6, x7) + +inst_133:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 220*FLEN/8, x10, x6, x7) + +inst_134:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7f800000; +valaddr_reg:x9; val_offset:222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 222*FLEN/8, x10, x6, x7) + +inst_135:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xff800000; +valaddr_reg:x9; val_offset:224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 224*FLEN/8, x10, x6, x7) + +inst_136:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 226*FLEN/8, x10, x6, x7) + +inst_137:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xffc00000; +valaddr_reg:x9; val_offset:228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 228*FLEN/8, x10, x6, x7) + +inst_138:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 230*FLEN/8, x10, x6, x7) + +inst_139:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xffc55555; +valaddr_reg:x9; val_offset:232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 232*FLEN/8, x10, x6, x7) + +inst_140:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x7f800001; +valaddr_reg:x9; val_offset:234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 234*FLEN/8, x10, x6, x7) + +inst_141:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 236*FLEN/8, x10, x6, x7) + +inst_142:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0x3f800000; +valaddr_reg:x9; val_offset:238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 238*FLEN/8, x10, x6, x7) + +inst_143:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807ffffe; op2val:0xbf800000; +valaddr_reg:x9; val_offset:240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 240*FLEN/8, x10, x6, x7) + +inst_144:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x0; +valaddr_reg:x9; val_offset:242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 242*FLEN/8, x10, x6, x7) + +inst_145:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x80000000; +valaddr_reg:x9; val_offset:244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 244*FLEN/8, x10, x6, x7) + +inst_146:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x1; +valaddr_reg:x9; val_offset:246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 246*FLEN/8, x10, x6, x7) + +inst_147:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x80000001; +valaddr_reg:x9; val_offset:248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 248*FLEN/8, x10, x6, x7) + +inst_148:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x2; +valaddr_reg:x9; val_offset:250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 250*FLEN/8, x10, x6, x7) + +inst_149:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 252*FLEN/8, x10, x6, x7) + +inst_150:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7fffff; +valaddr_reg:x9; val_offset:254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 254*FLEN/8, x10, x6, x7) + +inst_151:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x807fffff; +valaddr_reg:x9; val_offset:256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 256*FLEN/8, x10, x6, x7) + +inst_152:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x800000; +valaddr_reg:x9; val_offset:258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 258*FLEN/8, x10, x6, x7) + +inst_153:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x80800000; +valaddr_reg:x9; val_offset:260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 260*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_1) + +inst_154:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x800001; +valaddr_reg:x9; val_offset:262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 262*FLEN/8, x10, x6, x7) + +inst_155:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x80855555; +valaddr_reg:x9; val_offset:264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 264*FLEN/8, x10, x6, x7) + +inst_156:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 266*FLEN/8, x10, x6, x7) + +inst_157:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 268*FLEN/8, x10, x6, x7) + +inst_158:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7f800000; +valaddr_reg:x9; val_offset:270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 270*FLEN/8, x10, x6, x7) + +inst_159:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xff800000; +valaddr_reg:x9; val_offset:272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 272*FLEN/8, x10, x6, x7) + +inst_160:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 274*FLEN/8, x10, x6, x7) + +inst_161:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xffc00000; +valaddr_reg:x9; val_offset:276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 276*FLEN/8, x10, x6, x7) + +inst_162:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 278*FLEN/8, x10, x6, x7) + +inst_163:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xffc55555; +valaddr_reg:x9; val_offset:280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 280*FLEN/8, x10, x6, x7) + +inst_164:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x7f800001; +valaddr_reg:x9; val_offset:282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 282*FLEN/8, x10, x6, x7) + +inst_165:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 284*FLEN/8, x10, x6, x7) + +inst_166:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0x3f800000; +valaddr_reg:x9; val_offset:286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 286*FLEN/8, x10, x6, x7) + +inst_167:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fffff; op2val:0xbf800000; +valaddr_reg:x9; val_offset:288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 288*FLEN/8, x10, x6, x7) + +inst_168:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x0; +valaddr_reg:x9; val_offset:290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 290*FLEN/8, x10, x6, x7) + +inst_169:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x80000000; +valaddr_reg:x9; val_offset:292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 292*FLEN/8, x10, x6, x7) + +inst_170:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x1; +valaddr_reg:x9; val_offset:294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 294*FLEN/8, x10, x6, x7) + +inst_171:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x80000001; +valaddr_reg:x9; val_offset:296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 296*FLEN/8, x10, x6, x7) + +inst_172:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x2; +valaddr_reg:x9; val_offset:298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 298*FLEN/8, x10, x6, x7) + +inst_173:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 300*FLEN/8, x10, x6, x7) + +inst_174:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7fffff; +valaddr_reg:x9; val_offset:302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 302*FLEN/8, x10, x6, x7) + +inst_175:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x807fffff; +valaddr_reg:x9; val_offset:304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 304*FLEN/8, x10, x6, x7) + +inst_176:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x800000; +valaddr_reg:x9; val_offset:306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 306*FLEN/8, x10, x6, x7) + +inst_177:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x80800000; +valaddr_reg:x9; val_offset:308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 308*FLEN/8, x10, x6, x7) + +inst_178:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x800001; +valaddr_reg:x9; val_offset:310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 310*FLEN/8, x10, x6, x7) + +inst_179:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x80855555; +valaddr_reg:x9; val_offset:312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 312*FLEN/8, x10, x6, x7) + +inst_180:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 314*FLEN/8, x10, x6, x7) + +inst_181:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 316*FLEN/8, x10, x6, x7) + +inst_182:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7f800000; +valaddr_reg:x9; val_offset:318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 318*FLEN/8, x10, x6, x7) + +inst_183:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xff800000; +valaddr_reg:x9; val_offset:320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 320*FLEN/8, x10, x6, x7) + +inst_184:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 322*FLEN/8, x10, x6, x7) + +inst_185:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xffc00000; +valaddr_reg:x9; val_offset:324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 324*FLEN/8, x10, x6, x7) + +inst_186:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 326*FLEN/8, x10, x6, x7) + +inst_187:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xffc55555; +valaddr_reg:x9; val_offset:328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 328*FLEN/8, x10, x6, x7) + +inst_188:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x7f800001; +valaddr_reg:x9; val_offset:330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 330*FLEN/8, x10, x6, x7) + +inst_189:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 332*FLEN/8, x10, x6, x7) + +inst_190:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0x3f800000; +valaddr_reg:x9; val_offset:334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 334*FLEN/8, x10, x6, x7) + +inst_191:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x807fffff; op2val:0xbf800000; +valaddr_reg:x9; val_offset:336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 336*FLEN/8, x10, x6, x7) + +inst_192:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x0; +valaddr_reg:x9; val_offset:338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 338*FLEN/8, x10, x6, x7) + +inst_193:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 340*FLEN/8, x10, x6, x7) + +inst_194:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x1; +valaddr_reg:x9; val_offset:342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 342*FLEN/8, x10, x6, x7) + +inst_195:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 344*FLEN/8, x10, x6, x7) + +inst_196:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x2; +valaddr_reg:x9; val_offset:346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 346*FLEN/8, x10, x6, x7) + +inst_197:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 348*FLEN/8, x10, x6, x7) + +inst_198:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 350*FLEN/8, x10, x6, x7) + +inst_199:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 352*FLEN/8, x10, x6, x7) + +inst_200:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x800000; +valaddr_reg:x9; val_offset:354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 354*FLEN/8, x10, x6, x7) + +inst_201:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 356*FLEN/8, x10, x6, x7) + +inst_202:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x800001; +valaddr_reg:x9; val_offset:358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 358*FLEN/8, x10, x6, x7) + +inst_203:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 360*FLEN/8, x10, x6, x7) + +inst_204:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 362*FLEN/8, x10, x6, x7) + +inst_205:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 364*FLEN/8, x10, x6, x7) + +inst_206:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 366*FLEN/8, x10, x6, x7) + +inst_207:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 368*FLEN/8, x10, x6, x7) + +inst_208:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 370*FLEN/8, x10, x6, x7) + +inst_209:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 372*FLEN/8, x10, x6, x7) + +inst_210:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 374*FLEN/8, x10, x6, x7) + +inst_211:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 376*FLEN/8, x10, x6, x7) + +inst_212:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 378*FLEN/8, x10, x6, x7) + +inst_213:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 380*FLEN/8, x10, x6, x7) + +inst_214:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 382*FLEN/8, x10, x6, x7) + +inst_215:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 384*FLEN/8, x10, x6, x7) + +inst_216:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x0; +valaddr_reg:x9; val_offset:386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 386*FLEN/8, x10, x6, x7) + +inst_217:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 388*FLEN/8, x10, x6, x7) + +inst_218:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x1; +valaddr_reg:x9; val_offset:390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 390*FLEN/8, x10, x6, x7) + +inst_219:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 392*FLEN/8, x10, x6, x7) + +inst_220:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x2; +valaddr_reg:x9; val_offset:394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 394*FLEN/8, x10, x6, x7) + +inst_221:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 396*FLEN/8, x10, x6, x7) + +inst_222:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 398*FLEN/8, x10, x6, x7) + +inst_223:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 400*FLEN/8, x10, x6, x7) + +inst_224:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x800000; +valaddr_reg:x9; val_offset:402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 402*FLEN/8, x10, x6, x7) + +inst_225:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 404*FLEN/8, x10, x6, x7) + +inst_226:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x800001; +valaddr_reg:x9; val_offset:406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 406*FLEN/8, x10, x6, x7) + +inst_227:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 408*FLEN/8, x10, x6, x7) + +inst_228:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 410*FLEN/8, x10, x6, x7) + +inst_229:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 412*FLEN/8, x10, x6, x7) + +inst_230:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 414*FLEN/8, x10, x6, x7) + +inst_231:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 416*FLEN/8, x10, x6, x7) + +inst_232:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 418*FLEN/8, x10, x6, x7) + +inst_233:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 420*FLEN/8, x10, x6, x7) + +inst_234:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 422*FLEN/8, x10, x6, x7) + +inst_235:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 424*FLEN/8, x10, x6, x7) + +inst_236:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 426*FLEN/8, x10, x6, x7) + +inst_237:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 428*FLEN/8, x10, x6, x7) + +inst_238:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 430*FLEN/8, x10, x6, x7) + +inst_239:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 432*FLEN/8, x10, x6, x7) + +inst_240:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x0; +valaddr_reg:x9; val_offset:434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 434*FLEN/8, x10, x6, x7) + +inst_241:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x80000000; +valaddr_reg:x9; val_offset:436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 436*FLEN/8, x10, x6, x7) + +inst_242:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x1; +valaddr_reg:x9; val_offset:438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 438*FLEN/8, x10, x6, x7) + +inst_243:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x80000001; +valaddr_reg:x9; val_offset:440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 440*FLEN/8, x10, x6, x7) + +inst_244:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x2; +valaddr_reg:x9; val_offset:442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 442*FLEN/8, x10, x6, x7) + +inst_245:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 444*FLEN/8, x10, x6, x7) + +inst_246:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7fffff; +valaddr_reg:x9; val_offset:446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 446*FLEN/8, x10, x6, x7) + +inst_247:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x807fffff; +valaddr_reg:x9; val_offset:448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 448*FLEN/8, x10, x6, x7) + +inst_248:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x800000; +valaddr_reg:x9; val_offset:450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 450*FLEN/8, x10, x6, x7) + +inst_249:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x80800000; +valaddr_reg:x9; val_offset:452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 452*FLEN/8, x10, x6, x7) + +inst_250:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x800001; +valaddr_reg:x9; val_offset:454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 454*FLEN/8, x10, x6, x7) + +inst_251:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x80855555; +valaddr_reg:x9; val_offset:456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 456*FLEN/8, x10, x6, x7) + +inst_252:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 458*FLEN/8, x10, x6, x7) + +inst_253:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 460*FLEN/8, x10, x6, x7) + +inst_254:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7f800000; +valaddr_reg:x9; val_offset:462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 462*FLEN/8, x10, x6, x7) + +inst_255:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xff800000; +valaddr_reg:x9; val_offset:464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 464*FLEN/8, x10, x6, x7) + +inst_256:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 466*FLEN/8, x10, x6, x7) + +inst_257:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xffc00000; +valaddr_reg:x9; val_offset:468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 468*FLEN/8, x10, x6, x7) + +inst_258:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 470*FLEN/8, x10, x6, x7) + +inst_259:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xffc55555; +valaddr_reg:x9; val_offset:472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 472*FLEN/8, x10, x6, x7) + +inst_260:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x7f800001; +valaddr_reg:x9; val_offset:474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 474*FLEN/8, x10, x6, x7) + +inst_261:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 476*FLEN/8, x10, x6, x7) + +inst_262:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0x3f800000; +valaddr_reg:x9; val_offset:478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 478*FLEN/8, x10, x6, x7) + +inst_263:// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800001; op2val:0xbf800000; +valaddr_reg:x9; val_offset:480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 480*FLEN/8, x10, x6, x7) + +inst_264:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x0; +valaddr_reg:x9; val_offset:482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 482*FLEN/8, x10, x6, x7) + +inst_265:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x80000000; +valaddr_reg:x9; val_offset:484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 484*FLEN/8, x10, x6, x7) + +inst_266:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x1; +valaddr_reg:x9; val_offset:486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 486*FLEN/8, x10, x6, x7) + +inst_267:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x80000001; +valaddr_reg:x9; val_offset:488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 488*FLEN/8, x10, x6, x7) + +inst_268:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x2; +valaddr_reg:x9; val_offset:490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 490*FLEN/8, x10, x6, x7) + +inst_269:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 492*FLEN/8, x10, x6, x7) + +inst_270:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7fffff; +valaddr_reg:x9; val_offset:494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 494*FLEN/8, x10, x6, x7) + +inst_271:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x807fffff; +valaddr_reg:x9; val_offset:496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 496*FLEN/8, x10, x6, x7) + +inst_272:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x800000; +valaddr_reg:x9; val_offset:498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 498*FLEN/8, x10, x6, x7) + +inst_273:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x80800000; +valaddr_reg:x9; val_offset:500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 500*FLEN/8, x10, x6, x7) + +inst_274:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x800001; +valaddr_reg:x9; val_offset:502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 502*FLEN/8, x10, x6, x7) + +inst_275:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x80855555; +valaddr_reg:x9; val_offset:504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 504*FLEN/8, x10, x6, x7) + +inst_276:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 506*FLEN/8, x10, x6, x7) + +inst_277:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 508*FLEN/8, x10, x6, x7) + +inst_278:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7f800000; +valaddr_reg:x9; val_offset:510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 510*FLEN/8, x10, x6, x7) + +inst_279:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xff800000; +valaddr_reg:x9; val_offset:512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 512*FLEN/8, x10, x6, x7) + +inst_280:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 514*FLEN/8, x10, x6, x7) + +inst_281:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xffc00000; +valaddr_reg:x9; val_offset:516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 516*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_2) + +inst_282:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 518*FLEN/8, x10, x6, x7) + +inst_283:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xffc55555; +valaddr_reg:x9; val_offset:520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 520*FLEN/8, x10, x6, x7) + +inst_284:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x7f800001; +valaddr_reg:x9; val_offset:522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 522*FLEN/8, x10, x6, x7) + +inst_285:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 524*FLEN/8, x10, x6, x7) + +inst_286:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0x3f800000; +valaddr_reg:x9; val_offset:526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 526*FLEN/8, x10, x6, x7) + +inst_287:// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80855555; op2val:0xbf800000; +valaddr_reg:x9; val_offset:528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 528*FLEN/8, x10, x6, x7) + +inst_288:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x0; +valaddr_reg:x9; val_offset:530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 530*FLEN/8, x10, x6, x7) + +inst_289:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x80000000; +valaddr_reg:x9; val_offset:532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 532*FLEN/8, x10, x6, x7) + +inst_290:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x1; +valaddr_reg:x9; val_offset:534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 534*FLEN/8, x10, x6, x7) + +inst_291:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x80000001; +valaddr_reg:x9; val_offset:536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 536*FLEN/8, x10, x6, x7) + +inst_292:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x2; +valaddr_reg:x9; val_offset:538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 538*FLEN/8, x10, x6, x7) + +inst_293:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 540*FLEN/8, x10, x6, x7) + +inst_294:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7fffff; +valaddr_reg:x9; val_offset:542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 542*FLEN/8, x10, x6, x7) + +inst_295:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x807fffff; +valaddr_reg:x9; val_offset:544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 544*FLEN/8, x10, x6, x7) + +inst_296:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x800000; +valaddr_reg:x9; val_offset:546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 546*FLEN/8, x10, x6, x7) + +inst_297:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x80800000; +valaddr_reg:x9; val_offset:548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 548*FLEN/8, x10, x6, x7) + +inst_298:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x800001; +valaddr_reg:x9; val_offset:550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 550*FLEN/8, x10, x6, x7) + +inst_299:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x80855555; +valaddr_reg:x9; val_offset:552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 552*FLEN/8, x10, x6, x7) + +inst_300:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 554*FLEN/8, x10, x6, x7) + +inst_301:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 556*FLEN/8, x10, x6, x7) + +inst_302:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7f800000; +valaddr_reg:x9; val_offset:558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 558*FLEN/8, x10, x6, x7) + +inst_303:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xff800000; +valaddr_reg:x9; val_offset:560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 560*FLEN/8, x10, x6, x7) + +inst_304:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 562*FLEN/8, x10, x6, x7) + +inst_305:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xffc00000; +valaddr_reg:x9; val_offset:564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 564*FLEN/8, x10, x6, x7) + +inst_306:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 566*FLEN/8, x10, x6, x7) + +inst_307:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xffc55555; +valaddr_reg:x9; val_offset:568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 568*FLEN/8, x10, x6, x7) + +inst_308:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7f800001; +valaddr_reg:x9; val_offset:570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 570*FLEN/8, x10, x6, x7) + +inst_309:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 572*FLEN/8, x10, x6, x7) + +inst_310:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x3f800000; +valaddr_reg:x9; val_offset:574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 574*FLEN/8, x10, x6, x7) + +inst_311:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xbf800000; +valaddr_reg:x9; val_offset:576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 576*FLEN/8, x10, x6, x7) + +inst_312:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x0; +valaddr_reg:x9; val_offset:578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 578*FLEN/8, x10, x6, x7) + +inst_313:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x80000000; +valaddr_reg:x9; val_offset:580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 580*FLEN/8, x10, x6, x7) + +inst_314:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x1; +valaddr_reg:x9; val_offset:582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 582*FLEN/8, x10, x6, x7) + +inst_315:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x80000001; +valaddr_reg:x9; val_offset:584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 584*FLEN/8, x10, x6, x7) + +inst_316:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x2; +valaddr_reg:x9; val_offset:586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 586*FLEN/8, x10, x6, x7) + +inst_317:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 588*FLEN/8, x10, x6, x7) + +inst_318:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7fffff; +valaddr_reg:x9; val_offset:590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 590*FLEN/8, x10, x6, x7) + +inst_319:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x807fffff; +valaddr_reg:x9; val_offset:592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 592*FLEN/8, x10, x6, x7) + +inst_320:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x800000; +valaddr_reg:x9; val_offset:594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 594*FLEN/8, x10, x6, x7) + +inst_321:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x80800000; +valaddr_reg:x9; val_offset:596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 596*FLEN/8, x10, x6, x7) + +inst_322:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x800001; +valaddr_reg:x9; val_offset:598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 598*FLEN/8, x10, x6, x7) + +inst_323:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x80855555; +valaddr_reg:x9; val_offset:600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 600*FLEN/8, x10, x6, x7) + +inst_324:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 602*FLEN/8, x10, x6, x7) + +inst_325:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 604*FLEN/8, x10, x6, x7) + +inst_326:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7f800000; +valaddr_reg:x9; val_offset:606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 606*FLEN/8, x10, x6, x7) + +inst_327:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xff800000; +valaddr_reg:x9; val_offset:608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 608*FLEN/8, x10, x6, x7) + +inst_328:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 610*FLEN/8, x10, x6, x7) + +inst_329:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xffc00000; +valaddr_reg:x9; val_offset:612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 612*FLEN/8, x10, x6, x7) + +inst_330:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 614*FLEN/8, x10, x6, x7) + +inst_331:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xffc55555; +valaddr_reg:x9; val_offset:616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 616*FLEN/8, x10, x6, x7) + +inst_332:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7f800001; +valaddr_reg:x9; val_offset:618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 618*FLEN/8, x10, x6, x7) + +inst_333:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 620*FLEN/8, x10, x6, x7) + +inst_334:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x3f800000; +valaddr_reg:x9; val_offset:622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 622*FLEN/8, x10, x6, x7) + +inst_335:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xbf800000; +valaddr_reg:x9; val_offset:624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 624*FLEN/8, x10, x6, x7) + +inst_336:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x0; +valaddr_reg:x9; val_offset:626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 626*FLEN/8, x10, x6, x7) + +inst_337:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 628*FLEN/8, x10, x6, x7) + +inst_338:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x1; +valaddr_reg:x9; val_offset:630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 630*FLEN/8, x10, x6, x7) + +inst_339:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 632*FLEN/8, x10, x6, x7) + +inst_340:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x2; +valaddr_reg:x9; val_offset:634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 634*FLEN/8, x10, x6, x7) + +inst_341:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 636*FLEN/8, x10, x6, x7) + +inst_342:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 638*FLEN/8, x10, x6, x7) + +inst_343:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 640*FLEN/8, x10, x6, x7) + +inst_344:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x800000; +valaddr_reg:x9; val_offset:642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 642*FLEN/8, x10, x6, x7) + +inst_345:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 644*FLEN/8, x10, x6, x7) + +inst_346:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x800001; +valaddr_reg:x9; val_offset:646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 646*FLEN/8, x10, x6, x7) + +inst_347:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 648*FLEN/8, x10, x6, x7) + +inst_348:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 650*FLEN/8, x10, x6, x7) + +inst_349:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 652*FLEN/8, x10, x6, x7) + +inst_350:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 654*FLEN/8, x10, x6, x7) + +inst_351:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 656*FLEN/8, x10, x6, x7) + +inst_352:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 658*FLEN/8, x10, x6, x7) + +inst_353:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 660*FLEN/8, x10, x6, x7) + +inst_354:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 662*FLEN/8, x10, x6, x7) + +inst_355:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 664*FLEN/8, x10, x6, x7) + +inst_356:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 666*FLEN/8, x10, x6, x7) + +inst_357:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 668*FLEN/8, x10, x6, x7) + +inst_358:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 670*FLEN/8, x10, x6, x7) + +inst_359:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 672*FLEN/8, x10, x6, x7) + +inst_360:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x0; +valaddr_reg:x9; val_offset:674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 674*FLEN/8, x10, x6, x7) + +inst_361:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 676*FLEN/8, x10, x6, x7) + +inst_362:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x1; +valaddr_reg:x9; val_offset:678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 678*FLEN/8, x10, x6, x7) + +inst_363:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 680*FLEN/8, x10, x6, x7) + +inst_364:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x2; +valaddr_reg:x9; val_offset:682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 682*FLEN/8, x10, x6, x7) + +inst_365:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 684*FLEN/8, x10, x6, x7) + +inst_366:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 686*FLEN/8, x10, x6, x7) + +inst_367:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 688*FLEN/8, x10, x6, x7) + +inst_368:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x800000; +valaddr_reg:x9; val_offset:690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 690*FLEN/8, x10, x6, x7) + +inst_369:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 692*FLEN/8, x10, x6, x7) + +inst_370:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x800001; +valaddr_reg:x9; val_offset:694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 694*FLEN/8, x10, x6, x7) + +inst_371:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 696*FLEN/8, x10, x6, x7) + +inst_372:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 698*FLEN/8, x10, x6, x7) + +inst_373:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 700*FLEN/8, x10, x6, x7) + +inst_374:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 702*FLEN/8, x10, x6, x7) + +inst_375:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 704*FLEN/8, x10, x6, x7) + +inst_376:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 706*FLEN/8, x10, x6, x7) + +inst_377:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 708*FLEN/8, x10, x6, x7) + +inst_378:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 710*FLEN/8, x10, x6, x7) + +inst_379:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 712*FLEN/8, x10, x6, x7) + +inst_380:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 714*FLEN/8, x10, x6, x7) + +inst_381:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 716*FLEN/8, x10, x6, x7) + +inst_382:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 718*FLEN/8, x10, x6, x7) + +inst_383:// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 720*FLEN/8, x10, x6, x7) + +inst_384:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x0; +valaddr_reg:x9; val_offset:722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 722*FLEN/8, x10, x6, x7) + +inst_385:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x80000000; +valaddr_reg:x9; val_offset:724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 724*FLEN/8, x10, x6, x7) + +inst_386:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x1; +valaddr_reg:x9; val_offset:726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 726*FLEN/8, x10, x6, x7) + +inst_387:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x80000001; +valaddr_reg:x9; val_offset:728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 728*FLEN/8, x10, x6, x7) + +inst_388:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x2; +valaddr_reg:x9; val_offset:730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 730*FLEN/8, x10, x6, x7) + +inst_389:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 732*FLEN/8, x10, x6, x7) + +inst_390:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 734*FLEN/8, x10, x6, x7) + +inst_391:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 736*FLEN/8, x10, x6, x7) + +inst_392:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x800000; +valaddr_reg:x9; val_offset:738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 738*FLEN/8, x10, x6, x7) + +inst_393:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x80800000; +valaddr_reg:x9; val_offset:740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 740*FLEN/8, x10, x6, x7) + +inst_394:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x800001; +valaddr_reg:x9; val_offset:742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 742*FLEN/8, x10, x6, x7) + +inst_395:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x80855555; +valaddr_reg:x9; val_offset:744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 744*FLEN/8, x10, x6, x7) + +inst_396:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 746*FLEN/8, x10, x6, x7) + +inst_397:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 748*FLEN/8, x10, x6, x7) + +inst_398:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 750*FLEN/8, x10, x6, x7) + +inst_399:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xff800000; +valaddr_reg:x9; val_offset:752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 752*FLEN/8, x10, x6, x7) + +inst_400:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 754*FLEN/8, x10, x6, x7) + +inst_401:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 756*FLEN/8, x10, x6, x7) + +inst_402:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 758*FLEN/8, x10, x6, x7) + +inst_403:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 760*FLEN/8, x10, x6, x7) + +inst_404:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 762*FLEN/8, x10, x6, x7) + +inst_405:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 764*FLEN/8, x10, x6, x7) + +inst_406:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 766*FLEN/8, x10, x6, x7) + +inst_407:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 768*FLEN/8, x10, x6, x7) + +inst_408:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x0; +valaddr_reg:x9; val_offset:770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 770*FLEN/8, x10, x6, x7) + +inst_409:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x80000000; +valaddr_reg:x9; val_offset:772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 772*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_3) + +inst_410:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x1; +valaddr_reg:x9; val_offset:774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 774*FLEN/8, x10, x6, x7) + +inst_411:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x80000001; +valaddr_reg:x9; val_offset:776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 776*FLEN/8, x10, x6, x7) + +inst_412:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x2; +valaddr_reg:x9; val_offset:778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 778*FLEN/8, x10, x6, x7) + +inst_413:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 780*FLEN/8, x10, x6, x7) + +inst_414:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 782*FLEN/8, x10, x6, x7) + +inst_415:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 784*FLEN/8, x10, x6, x7) + +inst_416:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x800000; +valaddr_reg:x9; val_offset:786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 786*FLEN/8, x10, x6, x7) + +inst_417:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x80800000; +valaddr_reg:x9; val_offset:788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 788*FLEN/8, x10, x6, x7) + +inst_418:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x800001; +valaddr_reg:x9; val_offset:790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 790*FLEN/8, x10, x6, x7) + +inst_419:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x80855555; +valaddr_reg:x9; val_offset:792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 792*FLEN/8, x10, x6, x7) + +inst_420:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 794*FLEN/8, x10, x6, x7) + +inst_421:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 796*FLEN/8, x10, x6, x7) + +inst_422:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 798*FLEN/8, x10, x6, x7) + +inst_423:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xff800000; +valaddr_reg:x9; val_offset:800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 800*FLEN/8, x10, x6, x7) + +inst_424:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 802*FLEN/8, x10, x6, x7) + +inst_425:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 804*FLEN/8, x10, x6, x7) + +inst_426:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 806*FLEN/8, x10, x6, x7) + +inst_427:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 808*FLEN/8, x10, x6, x7) + +inst_428:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 810*FLEN/8, x10, x6, x7) + +inst_429:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 812*FLEN/8, x10, x6, x7) + +inst_430:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 814*FLEN/8, x10, x6, x7) + +inst_431:// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc00000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 816*FLEN/8, x10, x6, x7) + +inst_432:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x0; +valaddr_reg:x9; val_offset:818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 818*FLEN/8, x10, x6, x7) + +inst_433:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x80000000; +valaddr_reg:x9; val_offset:820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 820*FLEN/8, x10, x6, x7) + +inst_434:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x1; +valaddr_reg:x9; val_offset:822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 822*FLEN/8, x10, x6, x7) + +inst_435:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x80000001; +valaddr_reg:x9; val_offset:824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 824*FLEN/8, x10, x6, x7) + +inst_436:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x2; +valaddr_reg:x9; val_offset:826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 826*FLEN/8, x10, x6, x7) + +inst_437:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 828*FLEN/8, x10, x6, x7) + +inst_438:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7fffff; +valaddr_reg:x9; val_offset:830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 830*FLEN/8, x10, x6, x7) + +inst_439:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x807fffff; +valaddr_reg:x9; val_offset:832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 832*FLEN/8, x10, x6, x7) + +inst_440:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x800000; +valaddr_reg:x9; val_offset:834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 834*FLEN/8, x10, x6, x7) + +inst_441:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x80800000; +valaddr_reg:x9; val_offset:836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 836*FLEN/8, x10, x6, x7) + +inst_442:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x800001; +valaddr_reg:x9; val_offset:838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 838*FLEN/8, x10, x6, x7) + +inst_443:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x80855555; +valaddr_reg:x9; val_offset:840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 840*FLEN/8, x10, x6, x7) + +inst_444:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 842*FLEN/8, x10, x6, x7) + +inst_445:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 844*FLEN/8, x10, x6, x7) + +inst_446:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7f800000; +valaddr_reg:x9; val_offset:846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 846*FLEN/8, x10, x6, x7) + +inst_447:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xff800000; +valaddr_reg:x9; val_offset:848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 848*FLEN/8, x10, x6, x7) + +inst_448:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 850*FLEN/8, x10, x6, x7) + +inst_449:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xffc00000; +valaddr_reg:x9; val_offset:852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 852*FLEN/8, x10, x6, x7) + +inst_450:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 854*FLEN/8, x10, x6, x7) + +inst_451:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xffc55555; +valaddr_reg:x9; val_offset:856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 856*FLEN/8, x10, x6, x7) + +inst_452:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x7f800001; +valaddr_reg:x9; val_offset:858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 858*FLEN/8, x10, x6, x7) + +inst_453:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 860*FLEN/8, x10, x6, x7) + +inst_454:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0x3f800000; +valaddr_reg:x9; val_offset:862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 862*FLEN/8, x10, x6, x7) + +inst_455:// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7fc00001; op2val:0xbf800000; +valaddr_reg:x9; val_offset:864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 864*FLEN/8, x10, x6, x7) + +inst_456:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x0; +valaddr_reg:x9; val_offset:866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 866*FLEN/8, x10, x6, x7) + +inst_457:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x80000000; +valaddr_reg:x9; val_offset:868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 868*FLEN/8, x10, x6, x7) + +inst_458:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x1; +valaddr_reg:x9; val_offset:870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 870*FLEN/8, x10, x6, x7) + +inst_459:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x80000001; +valaddr_reg:x9; val_offset:872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 872*FLEN/8, x10, x6, x7) + +inst_460:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x2; +valaddr_reg:x9; val_offset:874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 874*FLEN/8, x10, x6, x7) + +inst_461:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 876*FLEN/8, x10, x6, x7) + +inst_462:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7fffff; +valaddr_reg:x9; val_offset:878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 878*FLEN/8, x10, x6, x7) + +inst_463:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x807fffff; +valaddr_reg:x9; val_offset:880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 880*FLEN/8, x10, x6, x7) + +inst_464:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x800000; +valaddr_reg:x9; val_offset:882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 882*FLEN/8, x10, x6, x7) + +inst_465:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x80800000; +valaddr_reg:x9; val_offset:884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 884*FLEN/8, x10, x6, x7) + +inst_466:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x800001; +valaddr_reg:x9; val_offset:886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 886*FLEN/8, x10, x6, x7) + +inst_467:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x80855555; +valaddr_reg:x9; val_offset:888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 888*FLEN/8, x10, x6, x7) + +inst_468:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 890*FLEN/8, x10, x6, x7) + +inst_469:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 892*FLEN/8, x10, x6, x7) + +inst_470:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7f800000; +valaddr_reg:x9; val_offset:894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 894*FLEN/8, x10, x6, x7) + +inst_471:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xff800000; +valaddr_reg:x9; val_offset:896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 896*FLEN/8, x10, x6, x7) + +inst_472:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 898*FLEN/8, x10, x6, x7) + +inst_473:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xffc00000; +valaddr_reg:x9; val_offset:900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 900*FLEN/8, x10, x6, x7) + +inst_474:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 902*FLEN/8, x10, x6, x7) + +inst_475:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xffc55555; +valaddr_reg:x9; val_offset:904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 904*FLEN/8, x10, x6, x7) + +inst_476:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x7f800001; +valaddr_reg:x9; val_offset:906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 906*FLEN/8, x10, x6, x7) + +inst_477:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 908*FLEN/8, x10, x6, x7) + +inst_478:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0x3f800000; +valaddr_reg:x9; val_offset:910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 910*FLEN/8, x10, x6, x7) + +inst_479:// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffc55555; op2val:0xbf800000; +valaddr_reg:x9; val_offset:912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 912*FLEN/8, x10, x6, x7) + +inst_480:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x0; +valaddr_reg:x9; val_offset:914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 914*FLEN/8, x10, x6, x7) + +inst_481:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x80000000; +valaddr_reg:x9; val_offset:916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 916*FLEN/8, x10, x6, x7) + +inst_482:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x1; +valaddr_reg:x9; val_offset:918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 918*FLEN/8, x10, x6, x7) + +inst_483:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x80000001; +valaddr_reg:x9; val_offset:920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 920*FLEN/8, x10, x6, x7) + +inst_484:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x2; +valaddr_reg:x9; val_offset:922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 922*FLEN/8, x10, x6, x7) + +inst_485:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 924*FLEN/8, x10, x6, x7) + +inst_486:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7fffff; +valaddr_reg:x9; val_offset:926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 926*FLEN/8, x10, x6, x7) + +inst_487:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x807fffff; +valaddr_reg:x9; val_offset:928*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 928*FLEN/8, x10, x6, x7) + +inst_488:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x800000; +valaddr_reg:x9; val_offset:930*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 930*FLEN/8, x10, x6, x7) + +inst_489:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x80800000; +valaddr_reg:x9; val_offset:932*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 932*FLEN/8, x10, x6, x7) + +inst_490:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x800001; +valaddr_reg:x9; val_offset:934*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 934*FLEN/8, x10, x6, x7) + +inst_491:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x80855555; +valaddr_reg:x9; val_offset:936*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 936*FLEN/8, x10, x6, x7) + +inst_492:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:938*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 938*FLEN/8, x10, x6, x7) + +inst_493:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:940*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 940*FLEN/8, x10, x6, x7) + +inst_494:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7f800000; +valaddr_reg:x9; val_offset:942*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 942*FLEN/8, x10, x6, x7) + +inst_495:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xff800000; +valaddr_reg:x9; val_offset:944*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 944*FLEN/8, x10, x6, x7) + +inst_496:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:946*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 946*FLEN/8, x10, x6, x7) + +inst_497:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xffc00000; +valaddr_reg:x9; val_offset:948*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 948*FLEN/8, x10, x6, x7) + +inst_498:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:950*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 950*FLEN/8, x10, x6, x7) + +inst_499:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xffc55555; +valaddr_reg:x9; val_offset:952*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 952*FLEN/8, x10, x6, x7) + +inst_500:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x7f800001; +valaddr_reg:x9; val_offset:954*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 954*FLEN/8, x10, x6, x7) + +inst_501:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:956*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 956*FLEN/8, x10, x6, x7) + +inst_502:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0x3f800000; +valaddr_reg:x9; val_offset:958*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 958*FLEN/8, x10, x6, x7) + +inst_503:// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f800001; op2val:0xbf800000; +valaddr_reg:x9; val_offset:960*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 960*FLEN/8, x10, x6, x7) + +inst_504:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x0; +valaddr_reg:x9; val_offset:962*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 962*FLEN/8, x10, x6, x7) + +inst_505:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x80000000; +valaddr_reg:x9; val_offset:964*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 964*FLEN/8, x10, x6, x7) + +inst_506:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x1; +valaddr_reg:x9; val_offset:966*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 966*FLEN/8, x10, x6, x7) + +inst_507:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x80000001; +valaddr_reg:x9; val_offset:968*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 968*FLEN/8, x10, x6, x7) + +inst_508:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x2; +valaddr_reg:x9; val_offset:970*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 970*FLEN/8, x10, x6, x7) + +inst_509:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:972*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 972*FLEN/8, x10, x6, x7) + +inst_510:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7fffff; +valaddr_reg:x9; val_offset:974*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 974*FLEN/8, x10, x6, x7) + +inst_511:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x807fffff; +valaddr_reg:x9; val_offset:976*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 976*FLEN/8, x10, x6, x7) + +inst_512:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x800000; +valaddr_reg:x9; val_offset:978*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 978*FLEN/8, x10, x6, x7) + +inst_513:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x80800000; +valaddr_reg:x9; val_offset:980*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 980*FLEN/8, x10, x6, x7) + +inst_514:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x800001; +valaddr_reg:x9; val_offset:982*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 982*FLEN/8, x10, x6, x7) + +inst_515:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x80855555; +valaddr_reg:x9; val_offset:984*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 984*FLEN/8, x10, x6, x7) + +inst_516:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:986*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 986*FLEN/8, x10, x6, x7) + +inst_517:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:988*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 988*FLEN/8, x10, x6, x7) + +inst_518:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7f800000; +valaddr_reg:x9; val_offset:990*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 990*FLEN/8, x10, x6, x7) + +inst_519:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xff800000; +valaddr_reg:x9; val_offset:992*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 992*FLEN/8, x10, x6, x7) + +inst_520:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:994*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 994*FLEN/8, x10, x6, x7) + +inst_521:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xffc00000; +valaddr_reg:x9; val_offset:996*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 996*FLEN/8, x10, x6, x7) + +inst_522:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:998*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 998*FLEN/8, x10, x6, x7) + +inst_523:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xffc55555; +valaddr_reg:x9; val_offset:1000*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1000*FLEN/8, x10, x6, x7) + +inst_524:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x7f800001; +valaddr_reg:x9; val_offset:1002*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1002*FLEN/8, x10, x6, x7) + +inst_525:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:1004*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1004*FLEN/8, x10, x6, x7) + +inst_526:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0x3f800000; +valaddr_reg:x9; val_offset:1006*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1006*FLEN/8, x10, x6, x7) + +inst_527:// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xffaaaaaa; op2val:0xbf800000; +valaddr_reg:x9; val_offset:1008*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1008*FLEN/8, x10, x6, x7) + +inst_528:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x0; +valaddr_reg:x9; val_offset:1010*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1010*FLEN/8, x10, x6, x7) + +inst_529:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:1012*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1012*FLEN/8, x10, x6, x7) + +inst_530:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x1; +valaddr_reg:x9; val_offset:1014*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1014*FLEN/8, x10, x6, x7) + +inst_531:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:1016*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1016*FLEN/8, x10, x6, x7) + +inst_532:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x2; +valaddr_reg:x9; val_offset:1018*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1018*FLEN/8, x10, x6, x7) + +inst_533:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:1020*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1020*FLEN/8, x10, x6, x7) + +inst_534:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:1022*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1022*FLEN/8, x10, x6, x7) + +inst_535:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:1024*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1024*FLEN/8, x10, x6, x7) + +inst_536:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x800000; +valaddr_reg:x9; val_offset:1026*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1026*FLEN/8, x10, x6, x7) + +inst_537:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:1028*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1028*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_4) + +inst_538:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x800001; +valaddr_reg:x9; val_offset:1030*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1030*FLEN/8, x10, x6, x7) + +inst_539:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:1032*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1032*FLEN/8, x10, x6, x7) + +inst_540:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1034*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1034*FLEN/8, x10, x6, x7) + +inst_541:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1036*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1036*FLEN/8, x10, x6, x7) + +inst_542:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:1038*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1038*FLEN/8, x10, x6, x7) + +inst_543:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:1040*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1040*FLEN/8, x10, x6, x7) + +inst_544:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:1042*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1042*FLEN/8, x10, x6, x7) + +inst_545:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:1044*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1044*FLEN/8, x10, x6, x7) + +inst_546:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:1046*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1046*FLEN/8, x10, x6, x7) + +inst_547:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:1048*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1048*FLEN/8, x10, x6, x7) + +inst_548:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:1050*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1050*FLEN/8, x10, x6, x7) + +inst_549:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:1052*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1052*FLEN/8, x10, x6, x7) + +inst_550:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:1054*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1054*FLEN/8, x10, x6, x7) + +inst_551:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:1056*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1056*FLEN/8, x10, x6, x7) + +inst_552:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x0; +valaddr_reg:x9; val_offset:1058*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1058*FLEN/8, x10, x6, x7) + +inst_553:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x80000000; +valaddr_reg:x9; val_offset:1060*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1060*FLEN/8, x10, x6, x7) + +inst_554:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x1; +valaddr_reg:x9; val_offset:1062*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1062*FLEN/8, x10, x6, x7) + +inst_555:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x80000001; +valaddr_reg:x9; val_offset:1064*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1064*FLEN/8, x10, x6, x7) + +inst_556:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x2; +valaddr_reg:x9; val_offset:1066*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1066*FLEN/8, x10, x6, x7) + +inst_557:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x807ffffe; +valaddr_reg:x9; val_offset:1068*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1068*FLEN/8, x10, x6, x7) + +inst_558:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7fffff; +valaddr_reg:x9; val_offset:1070*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1070*FLEN/8, x10, x6, x7) + +inst_559:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x807fffff; +valaddr_reg:x9; val_offset:1072*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1072*FLEN/8, x10, x6, x7) + +inst_560:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x800000; +valaddr_reg:x9; val_offset:1074*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1074*FLEN/8, x10, x6, x7) + +inst_561:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x80800000; +valaddr_reg:x9; val_offset:1076*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1076*FLEN/8, x10, x6, x7) + +inst_562:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x800001; +valaddr_reg:x9; val_offset:1078*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1078*FLEN/8, x10, x6, x7) + +inst_563:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x80855555; +valaddr_reg:x9; val_offset:1080*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1080*FLEN/8, x10, x6, x7) + +inst_564:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1082*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1082*FLEN/8, x10, x6, x7) + +inst_565:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1084*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1084*FLEN/8, x10, x6, x7) + +inst_566:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7f800000; +valaddr_reg:x9; val_offset:1086*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1086*FLEN/8, x10, x6, x7) + +inst_567:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xff800000; +valaddr_reg:x9; val_offset:1088*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1088*FLEN/8, x10, x6, x7) + +inst_568:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7fc00000; +valaddr_reg:x9; val_offset:1090*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1090*FLEN/8, x10, x6, x7) + +inst_569:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xffc00000; +valaddr_reg:x9; val_offset:1092*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1092*FLEN/8, x10, x6, x7) + +inst_570:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7fc00001; +valaddr_reg:x9; val_offset:1094*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1094*FLEN/8, x10, x6, x7) + +inst_571:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xffc55555; +valaddr_reg:x9; val_offset:1096*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1096*FLEN/8, x10, x6, x7) + +inst_572:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x7f800001; +valaddr_reg:x9; val_offset:1098*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1098*FLEN/8, x10, x6, x7) + +inst_573:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xffaaaaaa; +valaddr_reg:x9; val_offset:1100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1100*FLEN/8, x10, x6, x7) + +inst_574:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0x3f800000; +valaddr_reg:x9; val_offset:1102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1102*FLEN/8, x10, x6, x7) + +inst_575:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf800000; op2val:0xbf800000; +valaddr_reg:x9; val_offset:1104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1104*FLEN/8, x10, x6, x7) + +inst_576:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x0; op2val:0x0; +valaddr_reg:x9; val_offset:1106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1106*FLEN/8, x10, x6, x7) + +inst_577:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80000000; op2val:0x80800000; +valaddr_reg:x9; val_offset:1108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1108*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +test_dataset_1: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_4: + .fill 80*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/F_Zfa/src/fltq_b19-01.S b/riscv-test-suite/rv64i_m/F_Zfa/src/fltq_b19-01.S new file mode 100644 index 000000000..3135d910d --- /dev/null +++ b/riscv-test-suite/rv64i_m/F_Zfa/src/fltq_b19-01.S @@ -0,0 +1,8027 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:43:34 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fltq.s.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fltq.s instruction of the RISC-V RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fltq_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fltq_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0:// rs1 == rs2, rs1==f31, rs2==f31, rd==x31,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f31; dest:x31; op1val:0x7f378efe; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f31, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1:// rs1 != rs2, rs1==f30, rs2==f29, rd==x30,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f30; op2:f29; dest:x30; op1val:0x7f378efe; op2val:0x7f206a70; +valaddr_reg:x3; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x30, f30, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2:// rs1==f29, rs2==f30, rd==x29,fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f29; op2:f30; dest:x29; op1val:0x7f206a70; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:4*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x29, f29, f30, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3:// rs1==f28, rs2==f27, rd==x28,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f28; op2:f27; dest:x28; op1val:0x7f378efe; op2val:0x7ee8aebb; +valaddr_reg:x3; val_offset:6*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x28, f28, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4:// rs1==f27, rs2==f28, rd==x27,fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f27; op2:f28; dest:x27; op1val:0x7ee8aebb; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:8*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x27, f27, f28, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5:// rs1==f26, rs2==f25, rd==x26,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f26; op2:f25; dest:x26; op1val:0x7f378efe; op2val:0x7ea5608b; +valaddr_reg:x3; val_offset:10*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x26, f26, f25, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6:// rs1==f25, rs2==f26, rd==x25,fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f25; op2:f26; dest:x25; op1val:0x7ea5608b; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:12*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x25, f25, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7:// rs1==f24, rs2==f23, rd==x24,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f24; op2:f23; dest:x24; op1val:0x7f378efe; op2val:0x7f3648af; +valaddr_reg:x3; val_offset:14*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x24, f24, f23, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8:// rs1==f23, rs2==f24, rd==x23,fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f23; op2:f24; dest:x23; op1val:0x7f3648af; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:16*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x23, f23, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9:// rs1==f22, rs2==f21, rd==x22,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f22; op2:f21; dest:x22; op1val:0x7f378efe; op2val:0xfd204621; +valaddr_reg:x3; val_offset:18*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x22, f22, f21, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10:// rs1==f21, rs2==f22, rd==x21,fs1 == 0 and fe1 == 0xfb and fm1 == 0x12d8cb and fs2 == 1 and fe2 == 0xfd and fm2 == 0x4857aa and fcsr == 0 +/* opcode: fltq.s ; op1:f21; op2:f22; dest:x21; op1val:0x7d92d8cb; op2val:0xfec857aa; +valaddr_reg:x3; val_offset:20*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x21, f21, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11:// rs1==f20, rs2==f19, rd==x20,fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 0 and fe2 == 0xfb and fm2 == 0x12d8cb and fcsr == 0 +/* opcode: fltq.s ; op1:f20; op2:f19; dest:x20; op1val:0xfec857aa; op2val:0x7d92d8cb; +valaddr_reg:x3; val_offset:22*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x20, f20, f19, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12:// rs1==f19, rs2==f20, rd==x19,fs1 == 0 and fe1 == 0xfb and fm1 == 0x12d8cb and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f19; op2:f20; dest:x19; op1val:0x7d92d8cb; op2val:0xfd204621; +valaddr_reg:x3; val_offset:24*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x19, f19, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13:// rs1==f18, rs2==f17, rd==x18,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfb and fm2 == 0x12d8cb and fcsr == 0 +/* opcode: fltq.s ; op1:f18; op2:f17; dest:x18; op1val:0x7f378efe; op2val:0x7d92d8cb; +valaddr_reg:x3; val_offset:26*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x18, f18, f17, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14:// rs1==f17, rs2==f18, rd==x17,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f17; op2:f18; dest:x17; op1val:0x7f378efe; op2val:0xfe4ac669; +valaddr_reg:x3; val_offset:28*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x17, f17, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15:// rs1==f16, rs2==f15, rd==x16,fs1 == 0 and fe1 == 0xfb and fm1 == 0x12d8cb and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f16; op2:f15; dest:x16; op1val:0x7d92d8cb; op2val:0xff7fffff; +valaddr_reg:x3; val_offset:30*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x16, f16, f15, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16:// rs1==f15, rs2==f16, rd==x15,fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x12d8cb and fcsr == 0 +/* opcode: fltq.s ; op1:f15; op2:f16; dest:x15; op1val:0xff7fffff; op2val:0x7d92d8cb; +valaddr_reg:x3; val_offset:32*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x15, f15, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17:// rs1==f14, rs2==f13, rd==x14,fs1 == 0 and fe1 == 0xfb and fm1 == 0x12d8cb and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f14; op2:f13; dest:x14; op1val:0x7d92d8cb; op2val:0xfe4ac669; +valaddr_reg:x3; val_offset:34*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x14, f14, f13, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18:// rs1==f13, rs2==f14, rd==x13,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f13; op2:f14; dest:x13; op1val:0x7f378efe; op2val:0xfe96fcf5; +valaddr_reg:x3; val_offset:36*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x13, f13, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19:// rs1==f12, rs2==f11, rd==x12,fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f12; op2:f11; dest:x12; op1val:0xfe96fcf5; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:38*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x12, f12, f11, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20:// rs1==f11, rs2==f12, rd==x11,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f11; op2:f12; dest:x11; op1val:0x7f378efe; op2val:0xfee8e23e; +valaddr_reg:x3; val_offset:40*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x11, f11, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21:// rs1==f10, rs2==f9, rd==x10,fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f10; op2:f9; dest:x10; op1val:0xfee8e23e; op2val:0x7f378efe; +valaddr_reg:x3; val_offset:42*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x10, f10, f9, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22:// rs1==f9, rs2==f10, rd==x9,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f9; op2:f10; dest:x9; op1val:0x7f378efe; op2val:0xfeaf0937; +valaddr_reg:x3; val_offset:44*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x9, f9, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) +RVTEST_VALBASEUPD(x9,test_dataset_1) + +inst_23:// rs1==f8, rs2==f7, rd==x8,fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f8; op2:f7; dest:x8; op1val:0xfeaf0937; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:0*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x8, f8, f7, 0, 0, x9, 0*FLEN/8, x10, x1, x2) + +inst_24:// rs1==f7, rs2==f8, rd==x7,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f7; op2:f8; dest:x7; op1val:0x7f378efe; op2val:0x39e8a; +valaddr_reg:x9; val_offset:2*FLEN/8; correctval:??; testreg:x2; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x7, f7, f8, 0, 0, x9, 2*FLEN/8, x10, x1, x2) + +inst_25:// rs1==f6, rs2==f5, rd==x6,fs1 == 0 and fe1 == 0x00 and fm1 == 0x02a825 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7a0dff and fcsr == 0 +/* opcode: fltq.s ; op1:f6; op2:f5; dest:x6; op1val:0x2a825; op2val:0x7f7a0dff; +valaddr_reg:x9; val_offset:4*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x6, f6, f5, 0, 0, x9, 4*FLEN/8, x10, x1, x7) +RVTEST_SIGBASE(x6,signature_x6_0) + +inst_26:// rs1==f5, rs2==f6, rd==x5,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x02a825 and fcsr == 0 +/* opcode: fltq.s ; op1:f5; op2:f6; dest:x5; op1val:0x7f7a0dff; op2val:0x2a825; +valaddr_reg:x9; val_offset:6*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x5, f5, f6, 0, 0, x9, 6*FLEN/8, x10, x6, x7) + +inst_27:// rs1==f4, rs2==f3, rd==x4,fs1 == 0 and fe1 == 0x00 and fm1 == 0x02a825 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f4; op2:f3; dest:x4; op1val:0x2a825; op2val:0x39e8a; +valaddr_reg:x9; val_offset:8*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x4, f4, f3, 0, 0, x9, 8*FLEN/8, x10, x6, x7) + +inst_28:// rs1==f3, rs2==f4, rd==x3,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x02a825 and fcsr == 0 +/* opcode: fltq.s ; op1:f3; op2:f4; dest:x3; op1val:0x7f378efe; op2val:0x2a825; +valaddr_reg:x9; val_offset:10*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x3, f3, f4, 0, 0, x9, 10*FLEN/8, x10, x6, x7) + +inst_29:// rs1==f2, rs2==f1, rd==x2,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f2; op2:f1; dest:x2; op1val:0x7f378efe; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:12*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x2, f2, f1, 0, 0, x9, 12*FLEN/8, x10, x6, x7) + +inst_30:// rs1==f1, rs2==f2, rd==x1,fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f1; op2:f2; dest:x1; op1val:0x1a917b; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:14*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x1, f1, f2, 0, 0, x9, 14*FLEN/8, x10, x6, x7) + +inst_31:// rs1==f0,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a917b and fcsr == 0 +/* opcode: fltq.s ; op1:f0; op2:f31; dest:x31; op1val:0x7f7fffff; op2val:0x1a917b; +valaddr_reg:x9; val_offset:16*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f0, f31, 0, 0, x9, 16*FLEN/8, x10, x6, x7) + +inst_32:// rs2==f0,fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f0; dest:x31; op1val:0x1a917b; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:18*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f0, 0, 0, x9, 18*FLEN/8, x10, x6, x7) + +inst_33:// rd==x0,fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a917b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x0; op1val:0x7f378efe; op2val:0x1a917b; +valaddr_reg:x9; val_offset:20*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x0, f31, f30, 0, 0, x9, 20*FLEN/8, x10, x6, x7) + +inst_34:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x253272; +valaddr_reg:x9; val_offset:22*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 22*FLEN/8, x10, x6, x7) + +inst_35:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a917b; op2val:0x253272; +valaddr_reg:x9; val_offset:24*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 24*FLEN/8, x10, x6, x7) + +inst_36:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:26*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 26*FLEN/8, x10, x6, x7) + +inst_37:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a917b; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:28*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 28*FLEN/8, x10, x6, x7) + +inst_38:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x1c787d; +valaddr_reg:x9; val_offset:30*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 30*FLEN/8, x10, x6, x7) + +inst_39:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44b3b6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a917b; op2val:0x7f44b3b6; +valaddr_reg:x9; val_offset:32*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 32*FLEN/8, x10, x6, x7) + +inst_40:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a917b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x1a917b; +valaddr_reg:x9; val_offset:34*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 34*FLEN/8, x10, x6, x7) + +inst_41:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a917b; op2val:0x1c787d; +valaddr_reg:x9; val_offset:36*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 36*FLEN/8, x10, x6, x7) + +inst_42:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x803a9174; +valaddr_reg:x9; val_offset:38*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 38*FLEN/8, x10, x6, x7) + +inst_43:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a917b; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:40*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 40*FLEN/8, x10, x6, x7) + +inst_44:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a917b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x1a917b; +valaddr_reg:x9; val_offset:42*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 42*FLEN/8, x10, x6, x7) + +inst_45:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a917b; op2val:0x803a9174; +valaddr_reg:x9; val_offset:44*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 44*FLEN/8, x10, x6, x7) + +inst_46:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x802c477d; +valaddr_reg:x9; val_offset:46*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 46*FLEN/8, x10, x6, x7) + +inst_47:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a917b; op2val:0x802c477d; +valaddr_reg:x9; val_offset:48*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 48*FLEN/8, x10, x6, x7) + +inst_48:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:50*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 50*FLEN/8, x10, x6, x7) + +inst_49:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a917b; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:52*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 52*FLEN/8, x10, x6, x7) + +inst_50:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x800054e0; +valaddr_reg:x9; val_offset:54*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 54*FLEN/8, x10, x6, x7) + +inst_51:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x004403 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6511ce and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x4403; op2val:0xff6511ce; +valaddr_reg:x9; val_offset:56*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 56*FLEN/8, x10, x6, x7) + +inst_52:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x004403 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x4403; +valaddr_reg:x9; val_offset:58*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 58*FLEN/8, x10, x6, x7) + +inst_53:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x004403 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x4403; op2val:0x800054e0; +valaddr_reg:x9; val_offset:60*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 60*FLEN/8, x10, x6, x7) + +inst_54:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x004403 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x4403; +valaddr_reg:x9; val_offset:62*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 62*FLEN/8, x10, x6, x7) + +inst_55:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x802ed524; +valaddr_reg:x9; val_offset:64*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 64*FLEN/8, x10, x6, x7) + +inst_56:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a917b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a917b; op2val:0x802ed524; +valaddr_reg:x9; val_offset:66*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 66*FLEN/8, x10, x6, x7) + +inst_57:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x7f0; +valaddr_reg:x9; val_offset:68*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 68*FLEN/8, x10, x6, x7) + +inst_58:// fs1 == 0 and fe1 == 0x80 and fm1 == 0x1c2784 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x401c2784; op2val:0x7f0; +valaddr_reg:x9; val_offset:70*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 70*FLEN/8, x10, x6, x7) + +inst_59:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x1c2784 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x401c2784; +valaddr_reg:x9; val_offset:72*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 72*FLEN/8, x10, x6, x7) + +inst_60:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x80 and fm2 == 0x1c2784 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x401c2784; +valaddr_reg:x9; val_offset:74*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 74*FLEN/8, x10, x6, x7) + +inst_61:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:76*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 76*FLEN/8, x10, x6, x7) + +inst_62:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:78*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 78*FLEN/8, x10, x6, x7) + +inst_63:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:80*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 80*FLEN/8, x10, x6, x7) + +inst_64:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:82*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 82*FLEN/8, x10, x6, x7) + +inst_65:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:84*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 84*FLEN/8, x10, x6, x7) + +inst_66:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:86*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 86*FLEN/8, x10, x6, x7) + +inst_67:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:88*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 88*FLEN/8, x10, x6, x7) + +inst_68:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0xfd204621; +valaddr_reg:x9; val_offset:90*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 90*FLEN/8, x10, x6, x7) + +inst_69:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x005526 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x4857aa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d805526; op2val:0xfec857aa; +valaddr_reg:x9; val_offset:92*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 92*FLEN/8, x10, x6, x7) + +inst_70:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 0 and fe2 == 0xfb and fm2 == 0x005526 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0x7d805526; +valaddr_reg:x9; val_offset:94*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 94*FLEN/8, x10, x6, x7) + +inst_71:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x005526 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d805526; op2val:0xfd204621; +valaddr_reg:x9; val_offset:96*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 96*FLEN/8, x10, x6, x7) + +inst_72:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x005526 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x7d805526; +valaddr_reg:x9; val_offset:98*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 98*FLEN/8, x10, x6, x7) + +inst_73:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 100*FLEN/8, x10, x6, x7) + +inst_74:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x005526 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d805526; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 102*FLEN/8, x10, x6, x7) + +inst_75:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x005526 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7d805526; +valaddr_reg:x9; val_offset:104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 104*FLEN/8, x10, x6, x7) + +inst_76:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x005526 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d805526; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 106*FLEN/8, x10, x6, x7) + +inst_77:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 108*FLEN/8, x10, x6, x7) + +inst_78:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 110*FLEN/8, x10, x6, x7) + +inst_79:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 112*FLEN/8, x10, x6, x7) + +inst_80:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 114*FLEN/8, x10, x6, x7) + +inst_81:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 116*FLEN/8, x10, x6, x7) + +inst_82:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 118*FLEN/8, x10, x6, x7) + +inst_83:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x39e8a; +valaddr_reg:x9; val_offset:120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 120*FLEN/8, x10, x6, x7) + +inst_84:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x025265 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7a0dff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x25265; op2val:0x7f7a0dff; +valaddr_reg:x9; val_offset:122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 122*FLEN/8, x10, x6, x7) + +inst_85:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x025265 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x25265; +valaddr_reg:x9; val_offset:124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 124*FLEN/8, x10, x6, x7) + +inst_86:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x025265 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x25265; op2val:0x39e8a; +valaddr_reg:x9; val_offset:126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 126*FLEN/8, x10, x6, x7) + +inst_87:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x025265 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x25265; +valaddr_reg:x9; val_offset:128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 128*FLEN/8, x10, x6, x7) + +inst_88:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 130*FLEN/8, x10, x6, x7) + +inst_89:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1737f6 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1737f6; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 132*FLEN/8, x10, x6, x7) + +inst_90:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1737f6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x1737f6; +valaddr_reg:x9; val_offset:134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 134*FLEN/8, x10, x6, x7) + +inst_91:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1737f6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1737f6; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 136*FLEN/8, x10, x6, x7) + +inst_92:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1737f6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x1737f6; +valaddr_reg:x9; val_offset:138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 138*FLEN/8, x10, x6, x7) + +inst_93:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x253272; +valaddr_reg:x9; val_offset:140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 140*FLEN/8, x10, x6, x7) + +inst_94:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1737f6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1737f6; op2val:0x253272; +valaddr_reg:x9; val_offset:142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 142*FLEN/8, x10, x6, x7) + +inst_95:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 144*FLEN/8, x10, x6, x7) + +inst_96:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1737f6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1737f6; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 146*FLEN/8, x10, x6, x7) + +inst_97:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x1c787d; +valaddr_reg:x9; val_offset:148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 148*FLEN/8, x10, x6, x7) + +inst_98:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1737f6 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44b3b6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1737f6; op2val:0x7f44b3b6; +valaddr_reg:x9; val_offset:150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 150*FLEN/8, x10, x6, x7) + +inst_99:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1737f6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x1737f6; +valaddr_reg:x9; val_offset:152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 152*FLEN/8, x10, x6, x7) + +inst_100:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1737f6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1737f6; op2val:0x1c787d; +valaddr_reg:x9; val_offset:154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 154*FLEN/8, x10, x6, x7) + +inst_101:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x803a9174; +valaddr_reg:x9; val_offset:156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 156*FLEN/8, x10, x6, x7) + +inst_102:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1737f6 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1737f6; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 158*FLEN/8, x10, x6, x7) + +inst_103:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1737f6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x1737f6; +valaddr_reg:x9; val_offset:160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 160*FLEN/8, x10, x6, x7) + +inst_104:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1737f6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1737f6; op2val:0x803a9174; +valaddr_reg:x9; val_offset:162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 162*FLEN/8, x10, x6, x7) + +inst_105:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x802c477d; +valaddr_reg:x9; val_offset:164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 164*FLEN/8, x10, x6, x7) + +inst_106:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1737f6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1737f6; op2val:0x802c477d; +valaddr_reg:x9; val_offset:166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 166*FLEN/8, x10, x6, x7) + +inst_107:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 168*FLEN/8, x10, x6, x7) + +inst_108:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1737f6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1737f6; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 170*FLEN/8, x10, x6, x7) + +inst_109:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x800054e0; +valaddr_reg:x9; val_offset:172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 172*FLEN/8, x10, x6, x7) + +inst_110:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x003b70 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6511ce and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3b70; op2val:0xff6511ce; +valaddr_reg:x9; val_offset:174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 174*FLEN/8, x10, x6, x7) + +inst_111:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x003b70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x3b70; +valaddr_reg:x9; val_offset:176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 176*FLEN/8, x10, x6, x7) + +inst_112:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x003b70 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3b70; op2val:0x800054e0; +valaddr_reg:x9; val_offset:178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 178*FLEN/8, x10, x6, x7) + +inst_113:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x003b70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x3b70; +valaddr_reg:x9; val_offset:180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 180*FLEN/8, x10, x6, x7) + +inst_114:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x802ed524; +valaddr_reg:x9; val_offset:182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 182*FLEN/8, x10, x6, x7) + +inst_115:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1737f6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1737f6; op2val:0x802ed524; +valaddr_reg:x9; val_offset:184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 184*FLEN/8, x10, x6, x7) + +inst_116:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x7f0; +valaddr_reg:x9; val_offset:186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 186*FLEN/8, x10, x6, x7) + +inst_117:// fs1 == 0 and fe1 == 0x80 and fm1 == 0x087776 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x40087776; op2val:0x7f0; +valaddr_reg:x9; val_offset:188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 188*FLEN/8, x10, x6, x7) + +inst_118:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x087776 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x40087776; +valaddr_reg:x9; val_offset:190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 190*FLEN/8, x10, x6, x7) + +inst_119:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x206a70 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x087776 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f206a70; op2val:0x40087776; +valaddr_reg:x9; val_offset:192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 192*FLEN/8, x10, x6, x7) + +inst_120:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 194*FLEN/8, x10, x6, x7) + +inst_121:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 196*FLEN/8, x10, x6, x7) + +inst_122:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 198*FLEN/8, x10, x6, x7) + +inst_123:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 200*FLEN/8, x10, x6, x7) + +inst_124:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 202*FLEN/8, x10, x6, x7) + +inst_125:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0xfd204621; +valaddr_reg:x9; val_offset:204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 204*FLEN/8, x10, x6, x7) + +inst_126:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x3a2562 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x4857aa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d3a2562; op2val:0xfec857aa; +valaddr_reg:x9; val_offset:206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 206*FLEN/8, x10, x6, x7) + +inst_127:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 0 and fe2 == 0xfa and fm2 == 0x3a2562 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0x7d3a2562; +valaddr_reg:x9; val_offset:208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 208*FLEN/8, x10, x6, x7) + +inst_128:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x3a2562 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d3a2562; op2val:0xfd204621; +valaddr_reg:x9; val_offset:210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 210*FLEN/8, x10, x6, x7) + +inst_129:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0xfa and fm2 == 0x3a2562 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x7d3a2562; +valaddr_reg:x9; val_offset:212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 212*FLEN/8, x10, x6, x7) + +inst_130:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 214*FLEN/8, x10, x6, x7) + +inst_131:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x3a2562 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d3a2562; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 216*FLEN/8, x10, x6, x7) + +inst_132:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x3a2562 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7d3a2562; +valaddr_reg:x9; val_offset:218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 218*FLEN/8, x10, x6, x7) + +inst_133:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x3a2562 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d3a2562; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 220*FLEN/8, x10, x6, x7) + +inst_134:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 222*FLEN/8, x10, x6, x7) + +inst_135:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 224*FLEN/8, x10, x6, x7) + +inst_136:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 226*FLEN/8, x10, x6, x7) + +inst_137:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 228*FLEN/8, x10, x6, x7) + +inst_138:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 230*FLEN/8, x10, x6, x7) + +inst_139:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 232*FLEN/8, x10, x6, x7) + +inst_140:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x39e8a; +valaddr_reg:x9; val_offset:234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 234*FLEN/8, x10, x6, x7) + +inst_141:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x01af15 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7a0dff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1af15; op2val:0x7f7a0dff; +valaddr_reg:x9; val_offset:236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 236*FLEN/8, x10, x6, x7) + +inst_142:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x01af15 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x1af15; +valaddr_reg:x9; val_offset:238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 238*FLEN/8, x10, x6, x7) + +inst_143:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x01af15 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1af15; op2val:0x39e8a; +valaddr_reg:x9; val_offset:240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 240*FLEN/8, x10, x6, x7) + +inst_144:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x01af15 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x1af15; +valaddr_reg:x9; val_offset:242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 242*FLEN/8, x10, x6, x7) + +inst_145:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 244*FLEN/8, x10, x6, x7) + +inst_146:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x10d6d9 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x10d6d9; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 246*FLEN/8, x10, x6, x7) + +inst_147:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x10d6d9 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x10d6d9; +valaddr_reg:x9; val_offset:248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 248*FLEN/8, x10, x6, x7) + +inst_148:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x10d6d9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x10d6d9; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 250*FLEN/8, x10, x6, x7) + +inst_149:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x10d6d9 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x10d6d9; +valaddr_reg:x9; val_offset:252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 252*FLEN/8, x10, x6, x7) + +inst_150:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x253272; +valaddr_reg:x9; val_offset:254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 254*FLEN/8, x10, x6, x7) + +inst_151:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x10d6d9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x10d6d9; op2val:0x253272; +valaddr_reg:x9; val_offset:256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 256*FLEN/8, x10, x6, x7) + +inst_152:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 258*FLEN/8, x10, x6, x7) + +inst_153:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x10d6d9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x10d6d9; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 260*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_1) + +inst_154:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x1c787d; +valaddr_reg:x9; val_offset:262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 262*FLEN/8, x10, x6, x7) + +inst_155:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x10d6d9 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44b3b6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x10d6d9; op2val:0x7f44b3b6; +valaddr_reg:x9; val_offset:264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 264*FLEN/8, x10, x6, x7) + +inst_156:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x10d6d9 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x10d6d9; +valaddr_reg:x9; val_offset:266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 266*FLEN/8, x10, x6, x7) + +inst_157:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x10d6d9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x10d6d9; op2val:0x1c787d; +valaddr_reg:x9; val_offset:268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 268*FLEN/8, x10, x6, x7) + +inst_158:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x803a9174; +valaddr_reg:x9; val_offset:270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 270*FLEN/8, x10, x6, x7) + +inst_159:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x10d6d9 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x10d6d9; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 272*FLEN/8, x10, x6, x7) + +inst_160:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x10d6d9 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x10d6d9; +valaddr_reg:x9; val_offset:274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 274*FLEN/8, x10, x6, x7) + +inst_161:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x10d6d9 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x10d6d9; op2val:0x803a9174; +valaddr_reg:x9; val_offset:276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 276*FLEN/8, x10, x6, x7) + +inst_162:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x802c477d; +valaddr_reg:x9; val_offset:278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 278*FLEN/8, x10, x6, x7) + +inst_163:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x10d6d9 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x10d6d9; op2val:0x802c477d; +valaddr_reg:x9; val_offset:280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 280*FLEN/8, x10, x6, x7) + +inst_164:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 282*FLEN/8, x10, x6, x7) + +inst_165:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x10d6d9 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x10d6d9; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 284*FLEN/8, x10, x6, x7) + +inst_166:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x800054e0; +valaddr_reg:x9; val_offset:286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 286*FLEN/8, x10, x6, x7) + +inst_167:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x002b1b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6511ce and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2b1b; op2val:0xff6511ce; +valaddr_reg:x9; val_offset:288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 288*FLEN/8, x10, x6, x7) + +inst_168:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x002b1b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x2b1b; +valaddr_reg:x9; val_offset:290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 290*FLEN/8, x10, x6, x7) + +inst_169:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x002b1b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2b1b; op2val:0x800054e0; +valaddr_reg:x9; val_offset:292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 292*FLEN/8, x10, x6, x7) + +inst_170:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x002b1b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x2b1b; +valaddr_reg:x9; val_offset:294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 294*FLEN/8, x10, x6, x7) + +inst_171:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x802ed524; +valaddr_reg:x9; val_offset:296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 296*FLEN/8, x10, x6, x7) + +inst_172:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x10d6d9 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x10d6d9; op2val:0x802ed524; +valaddr_reg:x9; val_offset:298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 298*FLEN/8, x10, x6, x7) + +inst_173:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x7f0; +valaddr_reg:x9; val_offset:300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 300*FLEN/8, x10, x6, x7) + +inst_174:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x45f1c5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3fc5f1c5; op2val:0x7f0; +valaddr_reg:x9; val_offset:302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 302*FLEN/8, x10, x6, x7) + +inst_175:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x45f1c5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x3fc5f1c5; +valaddr_reg:x9; val_offset:304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 304*FLEN/8, x10, x6, x7) + +inst_176:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x68aebb and fs2 == 0 and fe2 == 0x7f and fm2 == 0x45f1c5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ee8aebb; op2val:0x3fc5f1c5; +valaddr_reg:x9; val_offset:306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 306*FLEN/8, x10, x6, x7) + +inst_177:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 308*FLEN/8, x10, x6, x7) + +inst_178:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 310*FLEN/8, x10, x6, x7) + +inst_179:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 312*FLEN/8, x10, x6, x7) + +inst_180:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0xfd204621; +valaddr_reg:x9; val_offset:314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 314*FLEN/8, x10, x6, x7) + +inst_181:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x044d3c and fs2 == 1 and fe2 == 0xfd and fm2 == 0x4857aa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d044d3c; op2val:0xfec857aa; +valaddr_reg:x9; val_offset:316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 316*FLEN/8, x10, x6, x7) + +inst_182:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 0 and fe2 == 0xfa and fm2 == 0x044d3c and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0x7d044d3c; +valaddr_reg:x9; val_offset:318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 318*FLEN/8, x10, x6, x7) + +inst_183:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x044d3c and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d044d3c; op2val:0xfd204621; +valaddr_reg:x9; val_offset:320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 320*FLEN/8, x10, x6, x7) + +inst_184:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0xfa and fm2 == 0x044d3c and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x7d044d3c; +valaddr_reg:x9; val_offset:322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 322*FLEN/8, x10, x6, x7) + +inst_185:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 324*FLEN/8, x10, x6, x7) + +inst_186:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x044d3c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d044d3c; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 326*FLEN/8, x10, x6, x7) + +inst_187:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x044d3c and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7d044d3c; +valaddr_reg:x9; val_offset:328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 328*FLEN/8, x10, x6, x7) + +inst_188:// fs1 == 0 and fe1 == 0xfa and fm1 == 0x044d3c and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d044d3c; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 330*FLEN/8, x10, x6, x7) + +inst_189:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 332*FLEN/8, x10, x6, x7) + +inst_190:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 334*FLEN/8, x10, x6, x7) + +inst_191:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 336*FLEN/8, x10, x6, x7) + +inst_192:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 338*FLEN/8, x10, x6, x7) + +inst_193:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 340*FLEN/8, x10, x6, x7) + +inst_194:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 342*FLEN/8, x10, x6, x7) + +inst_195:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x39e8a; +valaddr_reg:x9; val_offset:344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 344*FLEN/8, x10, x6, x7) + +inst_196:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x013263 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7a0dff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x13263; op2val:0x7f7a0dff; +valaddr_reg:x9; val_offset:346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 346*FLEN/8, x10, x6, x7) + +inst_197:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x013263 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x13263; +valaddr_reg:x9; val_offset:348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 348*FLEN/8, x10, x6, x7) + +inst_198:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x013263 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x13263; op2val:0x39e8a; +valaddr_reg:x9; val_offset:350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 350*FLEN/8, x10, x6, x7) + +inst_199:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x013263 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x13263; +valaddr_reg:x9; val_offset:352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 352*FLEN/8, x10, x6, x7) + +inst_200:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 354*FLEN/8, x10, x6, x7) + +inst_201:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0bf7e5 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf7e5; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 356*FLEN/8, x10, x6, x7) + +inst_202:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0bf7e5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xbf7e5; +valaddr_reg:x9; val_offset:358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 358*FLEN/8, x10, x6, x7) + +inst_203:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0bf7e5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf7e5; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 360*FLEN/8, x10, x6, x7) + +inst_204:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0bf7e5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0xbf7e5; +valaddr_reg:x9; val_offset:362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 362*FLEN/8, x10, x6, x7) + +inst_205:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x253272; +valaddr_reg:x9; val_offset:364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 364*FLEN/8, x10, x6, x7) + +inst_206:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0bf7e5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf7e5; op2val:0x253272; +valaddr_reg:x9; val_offset:366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 366*FLEN/8, x10, x6, x7) + +inst_207:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 368*FLEN/8, x10, x6, x7) + +inst_208:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0bf7e5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf7e5; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 370*FLEN/8, x10, x6, x7) + +inst_209:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x1c787d; +valaddr_reg:x9; val_offset:372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 372*FLEN/8, x10, x6, x7) + +inst_210:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0bf7e5 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44b3b6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf7e5; op2val:0x7f44b3b6; +valaddr_reg:x9; val_offset:374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 374*FLEN/8, x10, x6, x7) + +inst_211:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0bf7e5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0xbf7e5; +valaddr_reg:x9; val_offset:376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 376*FLEN/8, x10, x6, x7) + +inst_212:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0bf7e5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf7e5; op2val:0x1c787d; +valaddr_reg:x9; val_offset:378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 378*FLEN/8, x10, x6, x7) + +inst_213:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x803a9174; +valaddr_reg:x9; val_offset:380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 380*FLEN/8, x10, x6, x7) + +inst_214:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0bf7e5 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf7e5; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 382*FLEN/8, x10, x6, x7) + +inst_215:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0bf7e5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xbf7e5; +valaddr_reg:x9; val_offset:384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 384*FLEN/8, x10, x6, x7) + +inst_216:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0bf7e5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf7e5; op2val:0x803a9174; +valaddr_reg:x9; val_offset:386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 386*FLEN/8, x10, x6, x7) + +inst_217:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x802c477d; +valaddr_reg:x9; val_offset:388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 388*FLEN/8, x10, x6, x7) + +inst_218:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0bf7e5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf7e5; op2val:0x802c477d; +valaddr_reg:x9; val_offset:390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 390*FLEN/8, x10, x6, x7) + +inst_219:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 392*FLEN/8, x10, x6, x7) + +inst_220:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0bf7e5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf7e5; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 394*FLEN/8, x10, x6, x7) + +inst_221:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x800054e0; +valaddr_reg:x9; val_offset:396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 396*FLEN/8, x10, x6, x7) + +inst_222:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x001ea3 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6511ce and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1ea3; op2val:0xff6511ce; +valaddr_reg:x9; val_offset:398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 398*FLEN/8, x10, x6, x7) + +inst_223:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x001ea3 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x1ea3; +valaddr_reg:x9; val_offset:400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 400*FLEN/8, x10, x6, x7) + +inst_224:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x001ea3 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1ea3; op2val:0x800054e0; +valaddr_reg:x9; val_offset:402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 402*FLEN/8, x10, x6, x7) + +inst_225:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x001ea3 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x1ea3; +valaddr_reg:x9; val_offset:404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 404*FLEN/8, x10, x6, x7) + +inst_226:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x802ed524; +valaddr_reg:x9; val_offset:406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 406*FLEN/8, x10, x6, x7) + +inst_227:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0bf7e5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf7e5; op2val:0x802ed524; +valaddr_reg:x9; val_offset:408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 408*FLEN/8, x10, x6, x7) + +inst_228:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x7f0; +valaddr_reg:x9; val_offset:410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 410*FLEN/8, x10, x6, x7) + +inst_229:// fs1 == 0 and fe1 == 0x7f and fm1 == 0x0caff3 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3f8caff3; op2val:0x7f0; +valaddr_reg:x9; val_offset:412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 412*FLEN/8, x10, x6, x7) + +inst_230:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x0caff3 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x3f8caff3; +valaddr_reg:x9; val_offset:414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 414*FLEN/8, x10, x6, x7) + +inst_231:// fs1 == 0 and fe1 == 0xfd and fm1 == 0x25608b and fs2 == 0 and fe2 == 0x7f and fm2 == 0x0caff3 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7ea5608b; op2val:0x3f8caff3; +valaddr_reg:x9; val_offset:416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 416*FLEN/8, x10, x6, x7) + +inst_232:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 418*FLEN/8, x10, x6, x7) + +inst_233:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0xfd204621; +valaddr_reg:x9; val_offset:420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 420*FLEN/8, x10, x6, x7) + +inst_234:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x11d3bf and fs2 == 1 and fe2 == 0xfd and fm2 == 0x4857aa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d91d3bf; op2val:0xfec857aa; +valaddr_reg:x9; val_offset:422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 422*FLEN/8, x10, x6, x7) + +inst_235:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 0 and fe2 == 0xfb and fm2 == 0x11d3bf and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0x7d91d3bf; +valaddr_reg:x9; val_offset:424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 424*FLEN/8, x10, x6, x7) + +inst_236:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x11d3bf and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d91d3bf; op2val:0xfd204621; +valaddr_reg:x9; val_offset:426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 426*FLEN/8, x10, x6, x7) + +inst_237:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0xfb and fm2 == 0x11d3bf and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x7d91d3bf; +valaddr_reg:x9; val_offset:428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 428*FLEN/8, x10, x6, x7) + +inst_238:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 430*FLEN/8, x10, x6, x7) + +inst_239:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x11d3bf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d91d3bf; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 432*FLEN/8, x10, x6, x7) + +inst_240:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x11d3bf and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7d91d3bf; +valaddr_reg:x9; val_offset:434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 434*FLEN/8, x10, x6, x7) + +inst_241:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x11d3bf and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d91d3bf; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 436*FLEN/8, x10, x6, x7) + +inst_242:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 438*FLEN/8, x10, x6, x7) + +inst_243:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 440*FLEN/8, x10, x6, x7) + +inst_244:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 442*FLEN/8, x10, x6, x7) + +inst_245:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 444*FLEN/8, x10, x6, x7) + +inst_246:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 446*FLEN/8, x10, x6, x7) + +inst_247:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 448*FLEN/8, x10, x6, x7) + +inst_248:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x39e8a; +valaddr_reg:x9; val_offset:450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 450*FLEN/8, x10, x6, x7) + +inst_249:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x02a36c and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7a0dff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2a36c; op2val:0x7f7a0dff; +valaddr_reg:x9; val_offset:452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 452*FLEN/8, x10, x6, x7) + +inst_250:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x02a36c and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x2a36c; +valaddr_reg:x9; val_offset:454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 454*FLEN/8, x10, x6, x7) + +inst_251:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x02a36c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2a36c; op2val:0x39e8a; +valaddr_reg:x9; val_offset:456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 456*FLEN/8, x10, x6, x7) + +inst_252:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0x00 and fm2 == 0x02a36c and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x2a36c; +valaddr_reg:x9; val_offset:458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 458*FLEN/8, x10, x6, x7) + +inst_253:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 460*FLEN/8, x10, x6, x7) + +inst_254:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a6240 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a6240; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 462*FLEN/8, x10, x6, x7) + +inst_255:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a6240 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x1a6240; +valaddr_reg:x9; val_offset:464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 464*FLEN/8, x10, x6, x7) + +inst_256:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a6240 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a6240; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 466*FLEN/8, x10, x6, x7) + +inst_257:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a6240 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x1a6240; +valaddr_reg:x9; val_offset:468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 468*FLEN/8, x10, x6, x7) + +inst_258:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x253272; +valaddr_reg:x9; val_offset:470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 470*FLEN/8, x10, x6, x7) + +inst_259:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a6240 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a6240; op2val:0x253272; +valaddr_reg:x9; val_offset:472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 472*FLEN/8, x10, x6, x7) + +inst_260:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 474*FLEN/8, x10, x6, x7) + +inst_261:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a6240 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a6240; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 476*FLEN/8, x10, x6, x7) + +inst_262:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x1c787d; +valaddr_reg:x9; val_offset:478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 478*FLEN/8, x10, x6, x7) + +inst_263:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a6240 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44b3b6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a6240; op2val:0x7f44b3b6; +valaddr_reg:x9; val_offset:480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 480*FLEN/8, x10, x6, x7) + +inst_264:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a6240 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x1a6240; +valaddr_reg:x9; val_offset:482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 482*FLEN/8, x10, x6, x7) + +inst_265:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a6240 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a6240; op2val:0x1c787d; +valaddr_reg:x9; val_offset:484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 484*FLEN/8, x10, x6, x7) + +inst_266:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x803a9174; +valaddr_reg:x9; val_offset:486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 486*FLEN/8, x10, x6, x7) + +inst_267:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a6240 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a6240; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 488*FLEN/8, x10, x6, x7) + +inst_268:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a6240 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x1a6240; +valaddr_reg:x9; val_offset:490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 490*FLEN/8, x10, x6, x7) + +inst_269:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a6240 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a6240; op2val:0x803a9174; +valaddr_reg:x9; val_offset:492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 492*FLEN/8, x10, x6, x7) + +inst_270:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x802c477d; +valaddr_reg:x9; val_offset:494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 494*FLEN/8, x10, x6, x7) + +inst_271:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a6240 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a6240; op2val:0x802c477d; +valaddr_reg:x9; val_offset:496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 496*FLEN/8, x10, x6, x7) + +inst_272:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 498*FLEN/8, x10, x6, x7) + +inst_273:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a6240 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a6240; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 500*FLEN/8, x10, x6, x7) + +inst_274:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x800054e0; +valaddr_reg:x9; val_offset:502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 502*FLEN/8, x10, x6, x7) + +inst_275:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00438a and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6511ce and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x438a; op2val:0xff6511ce; +valaddr_reg:x9; val_offset:504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 504*FLEN/8, x10, x6, x7) + +inst_276:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00438a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x438a; +valaddr_reg:x9; val_offset:506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 506*FLEN/8, x10, x6, x7) + +inst_277:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x00438a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x438a; op2val:0x800054e0; +valaddr_reg:x9; val_offset:508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 508*FLEN/8, x10, x6, x7) + +inst_278:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0x00 and fm2 == 0x00438a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x438a; +valaddr_reg:x9; val_offset:510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 510*FLEN/8, x10, x6, x7) + +inst_279:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x802ed524; +valaddr_reg:x9; val_offset:512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 512*FLEN/8, x10, x6, x7) + +inst_280:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a6240 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1a6240; op2val:0x802ed524; +valaddr_reg:x9; val_offset:514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 514*FLEN/8, x10, x6, x7) + +inst_281:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x7f0; +valaddr_reg:x9; val_offset:516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 516*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_2) + +inst_282:// fs1 == 0 and fe1 == 0x80 and fm1 == 0x1b11ec and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x401b11ec; op2val:0x7f0; +valaddr_reg:x9; val_offset:518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 518*FLEN/8, x10, x6, x7) + +inst_283:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x1b11ec and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x401b11ec; +valaddr_reg:x9; val_offset:520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 520*FLEN/8, x10, x6, x7) + +inst_284:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3648af and fs2 == 0 and fe2 == 0x80 and fm2 == 0x1b11ec and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f3648af; op2val:0x401b11ec; +valaddr_reg:x9; val_offset:522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 522*FLEN/8, x10, x6, x7) + +inst_285:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 524*FLEN/8, x10, x6, x7) + +inst_286:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 526*FLEN/8, x10, x6, x7) + +inst_287:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x4857aa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0xfec857aa; +valaddr_reg:x9; val_offset:528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 528*FLEN/8, x10, x6, x7) + +inst_288:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0xfd204621; +valaddr_reg:x9; val_offset:530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 530*FLEN/8, x10, x6, x7) + +inst_289:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 532*FLEN/8, x10, x6, x7) + +inst_290:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 534*FLEN/8, x10, x6, x7) + +inst_291:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 536*FLEN/8, x10, x6, x7) + +inst_292:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 538*FLEN/8, x10, x6, x7) + +inst_293:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 540*FLEN/8, x10, x6, x7) + +inst_294:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 542*FLEN/8, x10, x6, x7) + +inst_295:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 544*FLEN/8, x10, x6, x7) + +inst_296:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 546*FLEN/8, x10, x6, x7) + +inst_297:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 548*FLEN/8, x10, x6, x7) + +inst_298:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0xfd204621; +valaddr_reg:x9; val_offset:550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 550*FLEN/8, x10, x6, x7) + +inst_299:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 552*FLEN/8, x10, x6, x7) + +inst_300:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x7194bc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0xfcf194bc; +valaddr_reg:x9; val_offset:554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 554*FLEN/8, x10, x6, x7) + +inst_301:// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x7194bc and fs2 == 1 and fe2 == 0xfd and fm2 == 0x4857aa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfcf194bc; op2val:0xfec857aa; +valaddr_reg:x9; val_offset:556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 556*FLEN/8, x10, x6, x7) + +inst_302:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 558*FLEN/8, x10, x6, x7) + +inst_303:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 560*FLEN/8, x10, x6, x7) + +inst_304:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 1 and fe2 == 0xfa and fm2 == 0x3a4e98 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0xfd3a4e98; +valaddr_reg:x9; val_offset:562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 562*FLEN/8, x10, x6, x7) + +inst_305:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x3a4e98 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x4857aa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd3a4e98; op2val:0xfec857aa; +valaddr_reg:x9; val_offset:564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 564*FLEN/8, x10, x6, x7) + +inst_306:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 566*FLEN/8, x10, x6, x7) + +inst_307:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 568*FLEN/8, x10, x6, x7) + +inst_308:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 1 and fe2 == 0xfa and fm2 == 0x0c075f and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0xfd0c075f; +valaddr_reg:x9; val_offset:570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 570*FLEN/8, x10, x6, x7) + +inst_309:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x0c075f and fs2 == 1 and fe2 == 0xfd and fm2 == 0x4857aa and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd0c075f; op2val:0xfec857aa; +valaddr_reg:x9; val_offset:572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 572*FLEN/8, x10, x6, x7) + +inst_310:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x4857aa and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfec857aa; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 574*FLEN/8, x10, x6, x7) + +inst_311:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x39e8a; +valaddr_reg:x9; val_offset:576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 576*FLEN/8, x10, x6, x7) + +inst_312:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x01732b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x480b33 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8001732b; op2val:0x7dc80b33; +valaddr_reg:x9; val_offset:578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 578*FLEN/8, x10, x6, x7) + +inst_313:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x480b33 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x01732b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dc80b33; op2val:0x8001732b; +valaddr_reg:x9; val_offset:580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 580*FLEN/8, x10, x6, x7) + +inst_314:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x01732b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8001732b; op2val:0x39e8a; +valaddr_reg:x9; val_offset:582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 582*FLEN/8, x10, x6, x7) + +inst_315:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x01732b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x8001732b; +valaddr_reg:x9; val_offset:584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 584*FLEN/8, x10, x6, x7) + +inst_316:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 586*FLEN/8, x10, x6, x7) + +inst_317:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x6ce8a1 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x7dece8a1; +valaddr_reg:x9; val_offset:588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 588*FLEN/8, x10, x6, x7) + +inst_318:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x6ce8a1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e7fb0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dece8a1; op2val:0x800e7fb0; +valaddr_reg:x9; val_offset:590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 590*FLEN/8, x10, x6, x7) + +inst_319:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 592*FLEN/8, x10, x6, x7) + +inst_320:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e7fb0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x800e7fb0; +valaddr_reg:x9; val_offset:594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 594*FLEN/8, x10, x6, x7) + +inst_321:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x253272; +valaddr_reg:x9; val_offset:596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 596*FLEN/8, x10, x6, x7) + +inst_322:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4d97f8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x7dcd97f8; +valaddr_reg:x9; val_offset:598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 598*FLEN/8, x10, x6, x7) + +inst_323:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4d97f8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e7fb0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dcd97f8; op2val:0x800e7fb0; +valaddr_reg:x9; val_offset:600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 600*FLEN/8, x10, x6, x7) + +inst_324:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x253272; +valaddr_reg:x9; val_offset:602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 602*FLEN/8, x10, x6, x7) + +inst_325:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 604*FLEN/8, x10, x6, x7) + +inst_326:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x7fc1a6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x7dffc1a6; +valaddr_reg:x9; val_offset:606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 606*FLEN/8, x10, x6, x7) + +inst_327:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x7fc1a6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e7fb0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dffc1a6; op2val:0x800e7fb0; +valaddr_reg:x9; val_offset:608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 608*FLEN/8, x10, x6, x7) + +inst_328:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 610*FLEN/8, x10, x6, x7) + +inst_329:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x1c787d; +valaddr_reg:x9; val_offset:612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 612*FLEN/8, x10, x6, x7) + +inst_330:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x1d5c91 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x7d9d5c91; +valaddr_reg:x9; val_offset:614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 614*FLEN/8, x10, x6, x7) + +inst_331:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d5c91 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e7fb0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d9d5c91; op2val:0x800e7fb0; +valaddr_reg:x9; val_offset:616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 616*FLEN/8, x10, x6, x7) + +inst_332:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x1c787d; +valaddr_reg:x9; val_offset:618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 618*FLEN/8, x10, x6, x7) + +inst_333:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x803a9174; +valaddr_reg:x9; val_offset:620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 620*FLEN/8, x10, x6, x7) + +inst_334:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x21db85 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0xfe21db85; +valaddr_reg:x9; val_offset:622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 622*FLEN/8, x10, x6, x7) + +inst_335:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x21db85 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e7fb0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe21db85; op2val:0x800e7fb0; +valaddr_reg:x9; val_offset:624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 624*FLEN/8, x10, x6, x7) + +inst_336:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x803a9174; +valaddr_reg:x9; val_offset:626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 626*FLEN/8, x10, x6, x7) + +inst_337:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x802c477d; +valaddr_reg:x9; val_offset:628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 628*FLEN/8, x10, x6, x7) + +inst_338:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x74bcf0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0xfdf4bcf0; +valaddr_reg:x9; val_offset:630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 630*FLEN/8, x10, x6, x7) + +inst_339:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x74bcf0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e7fb0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdf4bcf0; op2val:0x800e7fb0; +valaddr_reg:x9; val_offset:632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 632*FLEN/8, x10, x6, x7) + +inst_340:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x802c477d; +valaddr_reg:x9; val_offset:634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 634*FLEN/8, x10, x6, x7) + +inst_341:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 636*FLEN/8, x10, x6, x7) + +inst_342:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x14db11 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0xfe14db11; +valaddr_reg:x9; val_offset:638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 638*FLEN/8, x10, x6, x7) + +inst_343:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x14db11 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e7fb0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe14db11; op2val:0x800e7fb0; +valaddr_reg:x9; val_offset:640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 640*FLEN/8, x10, x6, x7) + +inst_344:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 642*FLEN/8, x10, x6, x7) + +inst_345:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x800054e0; +valaddr_reg:x9; val_offset:644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 644*FLEN/8, x10, x6, x7) + +inst_346:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00251d and fs2 == 1 and fe2 == 0xfb and fm2 == 0x374171 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000251d; op2val:0xfdb74171; +valaddr_reg:x9; val_offset:646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 646*FLEN/8, x10, x6, x7) + +inst_347:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x374171 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00251d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdb74171; op2val:0x8000251d; +valaddr_reg:x9; val_offset:648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 648*FLEN/8, x10, x6, x7) + +inst_348:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00251d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000251d; op2val:0x800054e0; +valaddr_reg:x9; val_offset:650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 650*FLEN/8, x10, x6, x7) + +inst_349:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00251d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x8000251d; +valaddr_reg:x9; val_offset:652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 652*FLEN/8, x10, x6, x7) + +inst_350:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x802ed524; +valaddr_reg:x9; val_offset:654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 654*FLEN/8, x10, x6, x7) + +inst_351:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x016ce1 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0xfe016ce1; +valaddr_reg:x9; val_offset:656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 656*FLEN/8, x10, x6, x7) + +inst_352:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x016ce1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0e7fb0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe016ce1; op2val:0x800e7fb0; +valaddr_reg:x9; val_offset:658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 658*FLEN/8, x10, x6, x7) + +inst_353:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0e7fb0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800e7fb0; op2val:0x802ed524; +valaddr_reg:x9; val_offset:660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 660*FLEN/8, x10, x6, x7) + +inst_354:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0x7f0; +valaddr_reg:x9; val_offset:662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 662*FLEN/8, x10, x6, x7) + +inst_355:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x2a6eb8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbfaa6eb8; op2val:0x7f0; +valaddr_reg:x9; val_offset:664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 664*FLEN/8, x10, x6, x7) + +inst_356:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x2a6eb8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xbfaa6eb8; +valaddr_reg:x9; val_offset:666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 666*FLEN/8, x10, x6, x7) + +inst_357:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x204621 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x2a6eb8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd204621; op2val:0xbfaa6eb8; +valaddr_reg:x9; val_offset:668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 668*FLEN/8, x10, x6, x7) + +inst_358:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 670*FLEN/8, x10, x6, x7) + +inst_359:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 672*FLEN/8, x10, x6, x7) + +inst_360:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 674*FLEN/8, x10, x6, x7) + +inst_361:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 676*FLEN/8, x10, x6, x7) + +inst_362:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 678*FLEN/8, x10, x6, x7) + +inst_363:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 680*FLEN/8, x10, x6, x7) + +inst_364:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 682*FLEN/8, x10, x6, x7) + +inst_365:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 684*FLEN/8, x10, x6, x7) + +inst_366:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 686*FLEN/8, x10, x6, x7) + +inst_367:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 688*FLEN/8, x10, x6, x7) + +inst_368:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 690*FLEN/8, x10, x6, x7) + +inst_369:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 692*FLEN/8, x10, x6, x7) + +inst_370:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 694*FLEN/8, x10, x6, x7) + +inst_371:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x7194bc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xfcf194bc; +valaddr_reg:x9; val_offset:696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 696*FLEN/8, x10, x6, x7) + +inst_372:// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x7194bc and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfcf194bc; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 698*FLEN/8, x10, x6, x7) + +inst_373:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 700*FLEN/8, x10, x6, x7) + +inst_374:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 702*FLEN/8, x10, x6, x7) + +inst_375:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x3a4e98 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xfd3a4e98; +valaddr_reg:x9; val_offset:704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 704*FLEN/8, x10, x6, x7) + +inst_376:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x3a4e98 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd3a4e98; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 706*FLEN/8, x10, x6, x7) + +inst_377:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 708*FLEN/8, x10, x6, x7) + +inst_378:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 710*FLEN/8, x10, x6, x7) + +inst_379:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x0c075f and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xfd0c075f; +valaddr_reg:x9; val_offset:712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 712*FLEN/8, x10, x6, x7) + +inst_380:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x0c075f and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd0c075f; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 714*FLEN/8, x10, x6, x7) + +inst_381:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 716*FLEN/8, x10, x6, x7) + +inst_382:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x39e8a; +valaddr_reg:x9; val_offset:718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 718*FLEN/8, x10, x6, x7) + +inst_383:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x075661 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x480b33 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80075661; op2val:0x7dc80b33; +valaddr_reg:x9; val_offset:720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 720*FLEN/8, x10, x6, x7) + +inst_384:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x480b33 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x075661 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dc80b33; op2val:0x80075661; +valaddr_reg:x9; val_offset:722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 722*FLEN/8, x10, x6, x7) + +inst_385:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x075661 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80075661; op2val:0x39e8a; +valaddr_reg:x9; val_offset:724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 724*FLEN/8, x10, x6, x7) + +inst_386:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x075661 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x80075661; +valaddr_reg:x9; val_offset:726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 726*FLEN/8, x10, x6, x7) + +inst_387:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 728*FLEN/8, x10, x6, x7) + +inst_388:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 0 and fe2 == 0xfb and fm2 == 0x6ce8a1 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x7dece8a1; +valaddr_reg:x9; val_offset:730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 730*FLEN/8, x10, x6, x7) + +inst_389:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x6ce8a1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x495fcb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dece8a1; op2val:0x80495fcb; +valaddr_reg:x9; val_offset:732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 732*FLEN/8, x10, x6, x7) + +inst_390:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 734*FLEN/8, x10, x6, x7) + +inst_391:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x495fcb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x80495fcb; +valaddr_reg:x9; val_offset:736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 736*FLEN/8, x10, x6, x7) + +inst_392:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x253272; +valaddr_reg:x9; val_offset:738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 738*FLEN/8, x10, x6, x7) + +inst_393:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4d97f8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x7dcd97f8; +valaddr_reg:x9; val_offset:740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 740*FLEN/8, x10, x6, x7) + +inst_394:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4d97f8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x495fcb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dcd97f8; op2val:0x80495fcb; +valaddr_reg:x9; val_offset:742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 742*FLEN/8, x10, x6, x7) + +inst_395:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x253272; +valaddr_reg:x9; val_offset:744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 744*FLEN/8, x10, x6, x7) + +inst_396:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 746*FLEN/8, x10, x6, x7) + +inst_397:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 0 and fe2 == 0xfb and fm2 == 0x7fc1a6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x7dffc1a6; +valaddr_reg:x9; val_offset:748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 748*FLEN/8, x10, x6, x7) + +inst_398:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x7fc1a6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x495fcb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dffc1a6; op2val:0x80495fcb; +valaddr_reg:x9; val_offset:750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 750*FLEN/8, x10, x6, x7) + +inst_399:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 752*FLEN/8, x10, x6, x7) + +inst_400:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x1c787d; +valaddr_reg:x9; val_offset:754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 754*FLEN/8, x10, x6, x7) + +inst_401:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 0 and fe2 == 0xfb and fm2 == 0x1d5c91 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x7d9d5c91; +valaddr_reg:x9; val_offset:756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 756*FLEN/8, x10, x6, x7) + +inst_402:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d5c91 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x495fcb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d9d5c91; op2val:0x80495fcb; +valaddr_reg:x9; val_offset:758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 758*FLEN/8, x10, x6, x7) + +inst_403:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x1c787d; +valaddr_reg:x9; val_offset:760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 760*FLEN/8, x10, x6, x7) + +inst_404:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x803a9174; +valaddr_reg:x9; val_offset:762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 762*FLEN/8, x10, x6, x7) + +inst_405:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 1 and fe2 == 0xfc and fm2 == 0x21db85 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0xfe21db85; +valaddr_reg:x9; val_offset:764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 764*FLEN/8, x10, x6, x7) + +inst_406:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x21db85 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x495fcb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe21db85; op2val:0x80495fcb; +valaddr_reg:x9; val_offset:766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 766*FLEN/8, x10, x6, x7) + +inst_407:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x803a9174; +valaddr_reg:x9; val_offset:768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 768*FLEN/8, x10, x6, x7) + +inst_408:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x802c477d; +valaddr_reg:x9; val_offset:770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 770*FLEN/8, x10, x6, x7) + +inst_409:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 1 and fe2 == 0xfb and fm2 == 0x74bcf0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0xfdf4bcf0; +valaddr_reg:x9; val_offset:772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 772*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_3) + +inst_410:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x74bcf0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x495fcb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdf4bcf0; op2val:0x80495fcb; +valaddr_reg:x9; val_offset:774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 774*FLEN/8, x10, x6, x7) + +inst_411:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x802c477d; +valaddr_reg:x9; val_offset:776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 776*FLEN/8, x10, x6, x7) + +inst_412:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 778*FLEN/8, x10, x6, x7) + +inst_413:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 1 and fe2 == 0xfc and fm2 == 0x14db11 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0xfe14db11; +valaddr_reg:x9; val_offset:780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 780*FLEN/8, x10, x6, x7) + +inst_414:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x14db11 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x495fcb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe14db11; op2val:0x80495fcb; +valaddr_reg:x9; val_offset:782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 782*FLEN/8, x10, x6, x7) + +inst_415:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 784*FLEN/8, x10, x6, x7) + +inst_416:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x800054e0; +valaddr_reg:x9; val_offset:786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 786*FLEN/8, x10, x6, x7) + +inst_417:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00bbd6 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x374171 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000bbd6; op2val:0xfdb74171; +valaddr_reg:x9; val_offset:788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 788*FLEN/8, x10, x6, x7) + +inst_418:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x374171 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00bbd6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdb74171; op2val:0x8000bbd6; +valaddr_reg:x9; val_offset:790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 790*FLEN/8, x10, x6, x7) + +inst_419:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00bbd6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000bbd6; op2val:0x800054e0; +valaddr_reg:x9; val_offset:792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 792*FLEN/8, x10, x6, x7) + +inst_420:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00bbd6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x8000bbd6; +valaddr_reg:x9; val_offset:794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 794*FLEN/8, x10, x6, x7) + +inst_421:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x802ed524; +valaddr_reg:x9; val_offset:796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 796*FLEN/8, x10, x6, x7) + +inst_422:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 1 and fe2 == 0xfc and fm2 == 0x016ce1 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0xfe016ce1; +valaddr_reg:x9; val_offset:798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 798*FLEN/8, x10, x6, x7) + +inst_423:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x016ce1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x495fcb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe016ce1; op2val:0x80495fcb; +valaddr_reg:x9; val_offset:800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 800*FLEN/8, x10, x6, x7) + +inst_424:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x495fcb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80495fcb; op2val:0x802ed524; +valaddr_reg:x9; val_offset:802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 802*FLEN/8, x10, x6, x7) + +inst_425:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0x7f0; +valaddr_reg:x9; val_offset:804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 804*FLEN/8, x10, x6, x7) + +inst_426:// fs1 == 1 and fe1 == 0x81 and fm1 == 0x57a09d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc0d7a09d; op2val:0x7f0; +valaddr_reg:x9; val_offset:806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 806*FLEN/8, x10, x6, x7) + +inst_427:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x57a09d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc0d7a09d; +valaddr_reg:x9; val_offset:808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 808*FLEN/8, x10, x6, x7) + +inst_428:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x4ac669 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x57a09d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe4ac669; op2val:0xc0d7a09d; +valaddr_reg:x9; val_offset:810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 810*FLEN/8, x10, x6, x7) + +inst_429:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 812*FLEN/8, x10, x6, x7) + +inst_430:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0xfd204621; +valaddr_reg:x9; val_offset:814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 814*FLEN/8, x10, x6, x7) + +inst_431:// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x7194bc and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfcf194bc; op2val:0xfd204621; +valaddr_reg:x9; val_offset:816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 816*FLEN/8, x10, x6, x7) + +inst_432:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x7194bc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0xfcf194bc; +valaddr_reg:x9; val_offset:818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 818*FLEN/8, x10, x6, x7) + +inst_433:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 820*FLEN/8, x10, x6, x7) + +inst_434:// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x7194bc and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfcf194bc; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 822*FLEN/8, x10, x6, x7) + +inst_435:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 824*FLEN/8, x10, x6, x7) + +inst_436:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 826*FLEN/8, x10, x6, x7) + +inst_437:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 828*FLEN/8, x10, x6, x7) + +inst_438:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 830*FLEN/8, x10, x6, x7) + +inst_439:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x39e8a; +valaddr_reg:x9; val_offset:832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 832*FLEN/8, x10, x6, x7) + +inst_440:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0117bb and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7a0dff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800117bb; op2val:0x7f7a0dff; +valaddr_reg:x9; val_offset:834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 834*FLEN/8, x10, x6, x7) + +inst_441:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0117bb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x800117bb; +valaddr_reg:x9; val_offset:836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 836*FLEN/8, x10, x6, x7) + +inst_442:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0117bb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800117bb; op2val:0x39e8a; +valaddr_reg:x9; val_offset:838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 838*FLEN/8, x10, x6, x7) + +inst_443:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0117bb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x800117bb; +valaddr_reg:x9; val_offset:840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 840*FLEN/8, x10, x6, x7) + +inst_444:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 842*FLEN/8, x10, x6, x7) + +inst_445:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0aed51 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800aed51; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 844*FLEN/8, x10, x6, x7) + +inst_446:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0aed51 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x800aed51; +valaddr_reg:x9; val_offset:846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 846*FLEN/8, x10, x6, x7) + +inst_447:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0aed51 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800aed51; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 848*FLEN/8, x10, x6, x7) + +inst_448:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0aed51 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x800aed51; +valaddr_reg:x9; val_offset:850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 850*FLEN/8, x10, x6, x7) + +inst_449:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x253272; +valaddr_reg:x9; val_offset:852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 852*FLEN/8, x10, x6, x7) + +inst_450:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0aed51 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800aed51; op2val:0x253272; +valaddr_reg:x9; val_offset:854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 854*FLEN/8, x10, x6, x7) + +inst_451:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 856*FLEN/8, x10, x6, x7) + +inst_452:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0aed51 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800aed51; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 858*FLEN/8, x10, x6, x7) + +inst_453:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x1c787d; +valaddr_reg:x9; val_offset:860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 860*FLEN/8, x10, x6, x7) + +inst_454:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0aed51 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44b3b6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800aed51; op2val:0x7f44b3b6; +valaddr_reg:x9; val_offset:862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 862*FLEN/8, x10, x6, x7) + +inst_455:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0aed51 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x800aed51; +valaddr_reg:x9; val_offset:864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 864*FLEN/8, x10, x6, x7) + +inst_456:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0aed51 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800aed51; op2val:0x1c787d; +valaddr_reg:x9; val_offset:866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 866*FLEN/8, x10, x6, x7) + +inst_457:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x803a9174; +valaddr_reg:x9; val_offset:868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 868*FLEN/8, x10, x6, x7) + +inst_458:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0aed51 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800aed51; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 870*FLEN/8, x10, x6, x7) + +inst_459:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0aed51 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x800aed51; +valaddr_reg:x9; val_offset:872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 872*FLEN/8, x10, x6, x7) + +inst_460:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0aed51 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800aed51; op2val:0x803a9174; +valaddr_reg:x9; val_offset:874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 874*FLEN/8, x10, x6, x7) + +inst_461:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x802c477d; +valaddr_reg:x9; val_offset:876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 876*FLEN/8, x10, x6, x7) + +inst_462:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0aed51 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800aed51; op2val:0x802c477d; +valaddr_reg:x9; val_offset:878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 878*FLEN/8, x10, x6, x7) + +inst_463:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 880*FLEN/8, x10, x6, x7) + +inst_464:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0aed51 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800aed51; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 882*FLEN/8, x10, x6, x7) + +inst_465:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x800054e0; +valaddr_reg:x9; val_offset:884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 884*FLEN/8, x10, x6, x7) + +inst_466:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x001bf9 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6511ce and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80001bf9; op2val:0xff6511ce; +valaddr_reg:x9; val_offset:886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 886*FLEN/8, x10, x6, x7) + +inst_467:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 1 and fe2 == 0x00 and fm2 == 0x001bf9 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x80001bf9; +valaddr_reg:x9; val_offset:888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 888*FLEN/8, x10, x6, x7) + +inst_468:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x001bf9 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80001bf9; op2val:0x800054e0; +valaddr_reg:x9; val_offset:890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 890*FLEN/8, x10, x6, x7) + +inst_469:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x001bf9 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x80001bf9; +valaddr_reg:x9; val_offset:892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 892*FLEN/8, x10, x6, x7) + +inst_470:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x802ed524; +valaddr_reg:x9; val_offset:894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 894*FLEN/8, x10, x6, x7) + +inst_471:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0aed51 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800aed51; op2val:0x802ed524; +valaddr_reg:x9; val_offset:896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 896*FLEN/8, x10, x6, x7) + +inst_472:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0x7f0; +valaddr_reg:x9; val_offset:898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 898*FLEN/8, x10, x6, x7) + +inst_473:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x00724d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf80724d; op2val:0x7f0; +valaddr_reg:x9; val_offset:900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 900*FLEN/8, x10, x6, x7) + +inst_474:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x00724d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xbf80724d; +valaddr_reg:x9; val_offset:902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 902*FLEN/8, x10, x6, x7) + +inst_475:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x16fcf5 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x00724d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe96fcf5; op2val:0xbf80724d; +valaddr_reg:x9; val_offset:904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 904*FLEN/8, x10, x6, x7) + +inst_476:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 906*FLEN/8, x10, x6, x7) + +inst_477:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0xfd204621; +valaddr_reg:x9; val_offset:908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 908*FLEN/8, x10, x6, x7) + +inst_478:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x3a4e98 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd3a4e98; op2val:0xfd204621; +valaddr_reg:x9; val_offset:910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 910*FLEN/8, x10, x6, x7) + +inst_479:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0xfa and fm2 == 0x3a4e98 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0xfd3a4e98; +valaddr_reg:x9; val_offset:912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 912*FLEN/8, x10, x6, x7) + +inst_480:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 914*FLEN/8, x10, x6, x7) + +inst_481:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x3a4e98 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd3a4e98; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 916*FLEN/8, x10, x6, x7) + +inst_482:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 918*FLEN/8, x10, x6, x7) + +inst_483:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 920*FLEN/8, x10, x6, x7) + +inst_484:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x39e8a; +valaddr_reg:x9; val_offset:922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 922*FLEN/8, x10, x6, x7) + +inst_485:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x01af75 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7a0dff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8001af75; op2val:0x7f7a0dff; +valaddr_reg:x9; val_offset:924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 924*FLEN/8, x10, x6, x7) + +inst_486:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x01af75 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x8001af75; +valaddr_reg:x9; val_offset:926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 926*FLEN/8, x10, x6, x7) + +inst_487:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x01af75 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8001af75; op2val:0x39e8a; +valaddr_reg:x9; val_offset:928*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 928*FLEN/8, x10, x6, x7) + +inst_488:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x01af75 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x8001af75; +valaddr_reg:x9; val_offset:930*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 930*FLEN/8, x10, x6, x7) + +inst_489:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:932*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 932*FLEN/8, x10, x6, x7) + +inst_490:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x10da93 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8010da93; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:934*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 934*FLEN/8, x10, x6, x7) + +inst_491:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x10da93 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x8010da93; +valaddr_reg:x9; val_offset:936*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 936*FLEN/8, x10, x6, x7) + +inst_492:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x10da93 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8010da93; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:938*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 938*FLEN/8, x10, x6, x7) + +inst_493:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x10da93 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x8010da93; +valaddr_reg:x9; val_offset:940*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 940*FLEN/8, x10, x6, x7) + +inst_494:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x253272; +valaddr_reg:x9; val_offset:942*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 942*FLEN/8, x10, x6, x7) + +inst_495:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x10da93 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8010da93; op2val:0x253272; +valaddr_reg:x9; val_offset:944*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 944*FLEN/8, x10, x6, x7) + +inst_496:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:946*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 946*FLEN/8, x10, x6, x7) + +inst_497:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x10da93 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8010da93; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:948*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 948*FLEN/8, x10, x6, x7) + +inst_498:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x1c787d; +valaddr_reg:x9; val_offset:950*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 950*FLEN/8, x10, x6, x7) + +inst_499:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x10da93 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44b3b6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8010da93; op2val:0x7f44b3b6; +valaddr_reg:x9; val_offset:952*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 952*FLEN/8, x10, x6, x7) + +inst_500:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x10da93 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x8010da93; +valaddr_reg:x9; val_offset:954*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 954*FLEN/8, x10, x6, x7) + +inst_501:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x10da93 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8010da93; op2val:0x1c787d; +valaddr_reg:x9; val_offset:956*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 956*FLEN/8, x10, x6, x7) + +inst_502:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x803a9174; +valaddr_reg:x9; val_offset:958*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 958*FLEN/8, x10, x6, x7) + +inst_503:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x10da93 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8010da93; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:960*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 960*FLEN/8, x10, x6, x7) + +inst_504:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x10da93 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x8010da93; +valaddr_reg:x9; val_offset:962*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 962*FLEN/8, x10, x6, x7) + +inst_505:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x10da93 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8010da93; op2val:0x803a9174; +valaddr_reg:x9; val_offset:964*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 964*FLEN/8, x10, x6, x7) + +inst_506:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x802c477d; +valaddr_reg:x9; val_offset:966*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 966*FLEN/8, x10, x6, x7) + +inst_507:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x10da93 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8010da93; op2val:0x802c477d; +valaddr_reg:x9; val_offset:968*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 968*FLEN/8, x10, x6, x7) + +inst_508:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:970*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 970*FLEN/8, x10, x6, x7) + +inst_509:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x10da93 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8010da93; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:972*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 972*FLEN/8, x10, x6, x7) + +inst_510:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x800054e0; +valaddr_reg:x9; val_offset:974*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 974*FLEN/8, x10, x6, x7) + +inst_511:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x002b25 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6511ce and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80002b25; op2val:0xff6511ce; +valaddr_reg:x9; val_offset:976*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 976*FLEN/8, x10, x6, x7) + +inst_512:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 1 and fe2 == 0x00 and fm2 == 0x002b25 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x80002b25; +valaddr_reg:x9; val_offset:978*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 978*FLEN/8, x10, x6, x7) + +inst_513:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x002b25 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80002b25; op2val:0x800054e0; +valaddr_reg:x9; val_offset:980*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 980*FLEN/8, x10, x6, x7) + +inst_514:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x002b25 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x80002b25; +valaddr_reg:x9; val_offset:982*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 982*FLEN/8, x10, x6, x7) + +inst_515:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x802ed524; +valaddr_reg:x9; val_offset:984*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 984*FLEN/8, x10, x6, x7) + +inst_516:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x10da93 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8010da93; op2val:0x802ed524; +valaddr_reg:x9; val_offset:986*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 986*FLEN/8, x10, x6, x7) + +inst_517:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0x7f0; +valaddr_reg:x9; val_offset:988*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 988*FLEN/8, x10, x6, x7) + +inst_518:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x461d98 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbfc61d98; op2val:0x7f0; +valaddr_reg:x9; val_offset:990*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 990*FLEN/8, x10, x6, x7) + +inst_519:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x461d98 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xbfc61d98; +valaddr_reg:x9; val_offset:992*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 992*FLEN/8, x10, x6, x7) + +inst_520:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x68e23e and fs2 == 1 and fe2 == 0x7f and fm2 == 0x461d98 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfee8e23e; op2val:0xbfc61d98; +valaddr_reg:x9; val_offset:994*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 994*FLEN/8, x10, x6, x7) + +inst_521:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:996*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 996*FLEN/8, x10, x6, x7) + +inst_522:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0xfd204621; +valaddr_reg:x9; val_offset:998*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 998*FLEN/8, x10, x6, x7) + +inst_523:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x0c075f and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd0c075f; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1000*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1000*FLEN/8, x10, x6, x7) + +inst_524:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x0c075f and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0xfd0c075f; +valaddr_reg:x9; val_offset:1002*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1002*FLEN/8, x10, x6, x7) + +inst_525:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1004*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1004*FLEN/8, x10, x6, x7) + +inst_526:// fs1 == 1 and fe1 == 0xfa and fm1 == 0x0c075f and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfd0c075f; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1006*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1006*FLEN/8, x10, x6, x7) + +inst_527:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1008*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1008*FLEN/8, x10, x6, x7) + +inst_528:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x014448 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7a0dff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80014448; op2val:0x7f7a0dff; +valaddr_reg:x9; val_offset:1010*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1010*FLEN/8, x10, x6, x7) + +inst_529:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x014448 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x80014448; +valaddr_reg:x9; val_offset:1012*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1012*FLEN/8, x10, x6, x7) + +inst_530:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x014448 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80014448; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1014*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1014*FLEN/8, x10, x6, x7) + +inst_531:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x014448 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x80014448; +valaddr_reg:x9; val_offset:1016*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1016*FLEN/8, x10, x6, x7) + +inst_532:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1018*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1018*FLEN/8, x10, x6, x7) + +inst_533:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caad8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caad8; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1020*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1020*FLEN/8, x10, x6, x7) + +inst_534:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caad8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x800caad8; +valaddr_reg:x9; val_offset:1022*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1022*FLEN/8, x10, x6, x7) + +inst_535:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caad8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caad8; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1024*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1024*FLEN/8, x10, x6, x7) + +inst_536:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caad8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x800caad8; +valaddr_reg:x9; val_offset:1026*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1026*FLEN/8, x10, x6, x7) + +inst_537:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x253272; +valaddr_reg:x9; val_offset:1028*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1028*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_4) + +inst_538:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caad8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caad8; op2val:0x253272; +valaddr_reg:x9; val_offset:1030*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1030*FLEN/8, x10, x6, x7) + +inst_539:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1032*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1032*FLEN/8, x10, x6, x7) + +inst_540:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caad8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caad8; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1034*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1034*FLEN/8, x10, x6, x7) + +inst_541:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1036*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1036*FLEN/8, x10, x6, x7) + +inst_542:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caad8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44b3b6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caad8; op2val:0x7f44b3b6; +valaddr_reg:x9; val_offset:1038*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1038*FLEN/8, x10, x6, x7) + +inst_543:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caad8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x800caad8; +valaddr_reg:x9; val_offset:1040*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1040*FLEN/8, x10, x6, x7) + +inst_544:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caad8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caad8; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1042*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1042*FLEN/8, x10, x6, x7) + +inst_545:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1044*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1044*FLEN/8, x10, x6, x7) + +inst_546:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caad8 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caad8; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1046*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1046*FLEN/8, x10, x6, x7) + +inst_547:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0caad8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff7fffff; op2val:0x800caad8; +valaddr_reg:x9; val_offset:1048*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1048*FLEN/8, x10, x6, x7) + +inst_548:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caad8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caad8; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1050*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1050*FLEN/8, x10, x6, x7) + +inst_549:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1052*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1052*FLEN/8, x10, x6, x7) + +inst_550:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caad8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caad8; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1054*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1054*FLEN/8, x10, x6, x7) + +inst_551:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1056*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1056*FLEN/8, x10, x6, x7) + +inst_552:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caad8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caad8; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1058*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1058*FLEN/8, x10, x6, x7) + +inst_553:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1060*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1060*FLEN/8, x10, x6, x7) + +inst_554:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00206d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6511ce and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000206d; op2val:0xff6511ce; +valaddr_reg:x9; val_offset:1062*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1062*FLEN/8, x10, x6, x7) + +inst_555:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00206d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x8000206d; +valaddr_reg:x9; val_offset:1064*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1064*FLEN/8, x10, x6, x7) + +inst_556:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00206d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000206d; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1066*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1066*FLEN/8, x10, x6, x7) + +inst_557:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00206d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x8000206d; +valaddr_reg:x9; val_offset:1068*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1068*FLEN/8, x10, x6, x7) + +inst_558:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1070*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1070*FLEN/8, x10, x6, x7) + +inst_559:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0caad8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800caad8; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1072*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1072*FLEN/8, x10, x6, x7) + +inst_560:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0x7f0; +valaddr_reg:x9; val_offset:1074*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1074*FLEN/8, x10, x6, x7) + +inst_561:// fs1 == 1 and fe1 == 0x7f and fm1 == 0x14e777 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xbf94e777; op2val:0x7f0; +valaddr_reg:x9; val_offset:1076*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1076*FLEN/8, x10, x6, x7) + +inst_562:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x14e777 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xbf94e777; +valaddr_reg:x9; val_offset:1078*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1078*FLEN/8, x10, x6, x7) + +inst_563:// fs1 == 1 and fe1 == 0xfd and fm1 == 0x2f0937 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x14e777 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfeaf0937; op2val:0xbf94e777; +valaddr_reg:x9; val_offset:1080*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1080*FLEN/8, x10, x6, x7) + +inst_564:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1082*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1082*FLEN/8, x10, x6, x7) + +inst_565:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1084*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1084*FLEN/8, x10, x6, x7) + +inst_566:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7a0dff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x7f7a0dff; +valaddr_reg:x9; val_offset:1086*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1086*FLEN/8, x10, x6, x7) + +inst_567:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1088*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1088*FLEN/8, x10, x6, x7) + +inst_568:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1090*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1090*FLEN/8, x10, x6, x7) + +inst_569:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1092*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1092*FLEN/8, x10, x6, x7) + +inst_570:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1094*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1094*FLEN/8, x10, x6, x7) + +inst_571:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1096*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1096*FLEN/8, x10, x6, x7) + +inst_572:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1098*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1098*FLEN/8, x10, x6, x7) + +inst_573:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1100*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1100*FLEN/8, x10, x6, x7) + +inst_574:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1102*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1102*FLEN/8, x10, x6, x7) + +inst_575:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1104*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1104*FLEN/8, x10, x6, x7) + +inst_576:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1106*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1106*FLEN/8, x10, x6, x7) + +inst_577:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x480b33 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dc80b33; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1108*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1108*FLEN/8, x10, x6, x7) + +inst_578:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0xfb and fm2 == 0x480b33 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x7dc80b33; +valaddr_reg:x9; val_offset:1110*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1110*FLEN/8, x10, x6, x7) + +inst_579:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1112*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1112*FLEN/8, x10, x6, x7) + +inst_580:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x480b33 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dc80b33; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1114*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1114*FLEN/8, x10, x6, x7) + +inst_581:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1116*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1116*FLEN/8, x10, x6, x7) + +inst_582:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1118*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1118*FLEN/8, x10, x6, x7) + +inst_583:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1120*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1120*FLEN/8, x10, x6, x7) + +inst_584:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1122*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1122*FLEN/8, x10, x6, x7) + +inst_585:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1124*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1124*FLEN/8, x10, x6, x7) + +inst_586:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7a0dff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7a0dff; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1126*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1126*FLEN/8, x10, x6, x7) + +inst_587:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1128*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1128*FLEN/8, x10, x6, x7) + +inst_588:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x044949 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x44949; +valaddr_reg:x9; val_offset:1130*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1130*FLEN/8, x10, x6, x7) + +inst_589:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x044949 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x243164 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x44949; op2val:0x243164; +valaddr_reg:x9; val_offset:1132*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1132*FLEN/8, x10, x6, x7) + +inst_590:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1134*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1134*FLEN/8, x10, x6, x7) + +inst_591:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x243164 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x243164; +valaddr_reg:x9; val_offset:1136*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1136*FLEN/8, x10, x6, x7) + +inst_592:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x253272; +valaddr_reg:x9; val_offset:1138*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1138*FLEN/8, x10, x6, x7) + +inst_593:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x03b83e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x3b83e; +valaddr_reg:x9; val_offset:1140*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1140*FLEN/8, x10, x6, x7) + +inst_594:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x03b83e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x243164 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3b83e; op2val:0x243164; +valaddr_reg:x9; val_offset:1142*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1142*FLEN/8, x10, x6, x7) + +inst_595:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x253272; +valaddr_reg:x9; val_offset:1144*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1144*FLEN/8, x10, x6, x7) + +inst_596:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1146*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1146*FLEN/8, x10, x6, x7) + +inst_597:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x04a095 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x4a095; +valaddr_reg:x9; val_offset:1148*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1148*FLEN/8, x10, x6, x7) + +inst_598:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x04a095 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x243164 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x4a095; op2val:0x243164; +valaddr_reg:x9; val_offset:1150*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1150*FLEN/8, x10, x6, x7) + +inst_599:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1152*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1152*FLEN/8, x10, x6, x7) + +inst_600:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1154*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1154*FLEN/8, x10, x6, x7) + +inst_601:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x02d8d9 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x2d8d9; +valaddr_reg:x9; val_offset:1156*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1156*FLEN/8, x10, x6, x7) + +inst_602:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x02d8d9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x243164 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2d8d9; op2val:0x243164; +valaddr_reg:x9; val_offset:1158*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1158*FLEN/8, x10, x6, x7) + +inst_603:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1160*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1160*FLEN/8, x10, x6, x7) + +inst_604:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1162*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1162*FLEN/8, x10, x6, x7) + +inst_605:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x05db58 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x8005db58; +valaddr_reg:x9; val_offset:1164*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1164*FLEN/8, x10, x6, x7) + +inst_606:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x05db58 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x243164 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8005db58; op2val:0x243164; +valaddr_reg:x9; val_offset:1166*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1166*FLEN/8, x10, x6, x7) + +inst_607:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1168*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1168*FLEN/8, x10, x6, x7) + +inst_608:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1170*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1170*FLEN/8, x10, x6, x7) + +inst_609:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x046d8c and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x80046d8c; +valaddr_reg:x9; val_offset:1172*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1172*FLEN/8, x10, x6, x7) + +inst_610:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x046d8c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x243164 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80046d8c; op2val:0x243164; +valaddr_reg:x9; val_offset:1174*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1174*FLEN/8, x10, x6, x7) + +inst_611:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1176*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1176*FLEN/8, x10, x6, x7) + +inst_612:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1178*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1178*FLEN/8, x10, x6, x7) + +inst_613:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0562e7 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x800562e7; +valaddr_reg:x9; val_offset:1180*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1180*FLEN/8, x10, x6, x7) + +inst_614:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0562e7 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x243164 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800562e7; op2val:0x243164; +valaddr_reg:x9; val_offset:1182*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1182*FLEN/8, x10, x6, x7) + +inst_615:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1184*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1184*FLEN/8, x10, x6, x7) + +inst_616:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1186*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1186*FLEN/8, x10, x6, x7) + +inst_617:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x005ca7 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0350c8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5ca7; op2val:0x800350c8; +valaddr_reg:x9; val_offset:1188*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1188*FLEN/8, x10, x6, x7) + +inst_618:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0350c8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x005ca7 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800350c8; op2val:0x5ca7; +valaddr_reg:x9; val_offset:1190*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1190*FLEN/8, x10, x6, x7) + +inst_619:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x005ca7 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5ca7; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1192*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1192*FLEN/8, x10, x6, x7) + +inst_620:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x005ca7 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x5ca7; +valaddr_reg:x9; val_offset:1194*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1194*FLEN/8, x10, x6, x7) + +inst_621:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1196*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1196*FLEN/8, x10, x6, x7) + +inst_622:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x04aeea and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x8004aeea; +valaddr_reg:x9; val_offset:1198*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1198*FLEN/8, x10, x6, x7) + +inst_623:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x04aeea and fs2 == 0 and fe2 == 0x00 and fm2 == 0x243164 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8004aeea; op2val:0x243164; +valaddr_reg:x9; val_offset:1200*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1200*FLEN/8, x10, x6, x7) + +inst_624:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x243164 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x243164; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1202*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1202*FLEN/8, x10, x6, x7) + +inst_625:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x7f0; +valaddr_reg:x9; val_offset:1204*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1204*FLEN/8, x10, x6, x7) + +inst_626:// fs1 == 0 and fe1 == 0x80 and fm1 == 0x54b916 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x4054b916; op2val:0x7f0; +valaddr_reg:x9; val_offset:1206*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1206*FLEN/8, x10, x6, x7) + +inst_627:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x54b916 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x4054b916; +valaddr_reg:x9; val_offset:1208*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1208*FLEN/8, x10, x6, x7) + +inst_628:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x039e8a and fs2 == 0 and fe2 == 0x80 and fm2 == 0x54b916 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x39e8a; op2val:0x4054b916; +valaddr_reg:x9; val_offset:1210*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1210*FLEN/8, x10, x6, x7) + +inst_629:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1212*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1212*FLEN/8, x10, x6, x7) + +inst_630:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1214*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1214*FLEN/8, x10, x6, x7) + +inst_631:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1216*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1216*FLEN/8, x10, x6, x7) + +inst_632:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1218*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1218*FLEN/8, x10, x6, x7) + +inst_633:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1220*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1220*FLEN/8, x10, x6, x7) + +inst_634:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1222*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1222*FLEN/8, x10, x6, x7) + +inst_635:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1224*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1224*FLEN/8, x10, x6, x7) + +inst_636:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1226*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1226*FLEN/8, x10, x6, x7) + +inst_637:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1228*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1228*FLEN/8, x10, x6, x7) + +inst_638:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1230*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1230*FLEN/8, x10, x6, x7) + +inst_639:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1232*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1232*FLEN/8, x10, x6, x7) + +inst_640:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1234*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1234*FLEN/8, x10, x6, x7) + +inst_641:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1236*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1236*FLEN/8, x10, x6, x7) + +inst_642:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x6ce8a1 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dece8a1; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1238*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1238*FLEN/8, x10, x6, x7) + +inst_643:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0xfb and fm2 == 0x6ce8a1 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x7dece8a1; +valaddr_reg:x9; val_offset:1240*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1240*FLEN/8, x10, x6, x7) + +inst_644:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1242*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1242*FLEN/8, x10, x6, x7) + +inst_645:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x6ce8a1 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dece8a1; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1244*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1244*FLEN/8, x10, x6, x7) + +inst_646:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1246*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1246*FLEN/8, x10, x6, x7) + +inst_647:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1248*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1248*FLEN/8, x10, x6, x7) + +inst_648:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1250*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1250*FLEN/8, x10, x6, x7) + +inst_649:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1252*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1252*FLEN/8, x10, x6, x7) + +inst_650:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1254*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1254*FLEN/8, x10, x6, x7) + +inst_651:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f7fffff; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1256*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1256*FLEN/8, x10, x6, x7) + +inst_652:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1258*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1258*FLEN/8, x10, x6, x7) + +inst_653:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x044949 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x44949; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1260*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1260*FLEN/8, x10, x6, x7) + +inst_654:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x044949 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x44949; +valaddr_reg:x9; val_offset:1262*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1262*FLEN/8, x10, x6, x7) + +inst_655:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x253272; +valaddr_reg:x9; val_offset:1264*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1264*FLEN/8, x10, x6, x7) + +inst_656:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1266*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1266*FLEN/8, x10, x6, x7) + +inst_657:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1268*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1268*FLEN/8, x10, x6, x7) + +inst_658:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1270*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1270*FLEN/8, x10, x6, x7) + +inst_659:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1272*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1272*FLEN/8, x10, x6, x7) + +inst_660:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1274*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1274*FLEN/8, x10, x6, x7) + +inst_661:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1276*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1276*FLEN/8, x10, x6, x7) + +inst_662:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1278*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1278*FLEN/8, x10, x6, x7) + +inst_663:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1280*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1280*FLEN/8, x10, x6, x7) + +inst_664:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1282*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1282*FLEN/8, x10, x6, x7) + +inst_665:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1284*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1284*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_5) + +inst_666:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1286*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1286*FLEN/8, x10, x6, x7) + +inst_667:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1288*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1288*FLEN/8, x10, x6, x7) + +inst_668:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x006dba and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2127d2 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x6dba; op2val:0x802127d2; +valaddr_reg:x9; val_offset:1290*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1290*FLEN/8, x10, x6, x7) + +inst_669:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x006dba and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x6dba; +valaddr_reg:x9; val_offset:1292*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1292*FLEN/8, x10, x6, x7) + +inst_670:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x006dba and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x6dba; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1294*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1294*FLEN/8, x10, x6, x7) + +inst_671:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x006dba and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x6dba; +valaddr_reg:x9; val_offset:1296*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1296*FLEN/8, x10, x6, x7) + +inst_672:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1298*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1298*FLEN/8, x10, x6, x7) + +inst_673:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1300*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1300*FLEN/8, x10, x6, x7) + +inst_674:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x7f0; +valaddr_reg:x9; val_offset:1302*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1302*FLEN/8, x10, x6, x7) + +inst_675:// fs1 == 0 and fe1 == 0x80 and fm1 == 0x7becb0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x407becb0; op2val:0x7f0; +valaddr_reg:x9; val_offset:1304*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1304*FLEN/8, x10, x6, x7) + +inst_676:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x7becb0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x407becb0; +valaddr_reg:x9; val_offset:1306*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1306*FLEN/8, x10, x6, x7) + +inst_677:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2adcdc and fs2 == 0 and fe2 == 0x80 and fm2 == 0x7becb0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2adcdc; op2val:0x407becb0; +valaddr_reg:x9; val_offset:1308*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1308*FLEN/8, x10, x6, x7) + +inst_678:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1310*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1310*FLEN/8, x10, x6, x7) + +inst_679:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1312*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1312*FLEN/8, x10, x6, x7) + +inst_680:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x253272; +valaddr_reg:x9; val_offset:1314*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1314*FLEN/8, x10, x6, x7) + +inst_681:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1316*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1316*FLEN/8, x10, x6, x7) + +inst_682:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1318*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1318*FLEN/8, x10, x6, x7) + +inst_683:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1320*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1320*FLEN/8, x10, x6, x7) + +inst_684:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1322*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1322*FLEN/8, x10, x6, x7) + +inst_685:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1324*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1324*FLEN/8, x10, x6, x7) + +inst_686:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4d97f8 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dcd97f8; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1326*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1326*FLEN/8, x10, x6, x7) + +inst_687:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4d97f8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x7dcd97f8; +valaddr_reg:x9; val_offset:1328*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1328*FLEN/8, x10, x6, x7) + +inst_688:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1330*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1330*FLEN/8, x10, x6, x7) + +inst_689:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4d97f8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dcd97f8; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1332*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1332*FLEN/8, x10, x6, x7) + +inst_690:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1334*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1334*FLEN/8, x10, x6, x7) + +inst_691:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1336*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1336*FLEN/8, x10, x6, x7) + +inst_692:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1338*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1338*FLEN/8, x10, x6, x7) + +inst_693:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1340*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1340*FLEN/8, x10, x6, x7) + +inst_694:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x03b83e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x3b83e; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1342*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1342*FLEN/8, x10, x6, x7) + +inst_695:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x03b83e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x3b83e; +valaddr_reg:x9; val_offset:1344*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1344*FLEN/8, x10, x6, x7) + +inst_696:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1346*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1346*FLEN/8, x10, x6, x7) + +inst_697:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x253272; +valaddr_reg:x9; val_offset:1348*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1348*FLEN/8, x10, x6, x7) + +inst_698:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1350*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1350*FLEN/8, x10, x6, x7) + +inst_699:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x253272; +valaddr_reg:x9; val_offset:1352*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1352*FLEN/8, x10, x6, x7) + +inst_700:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1354*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1354*FLEN/8, x10, x6, x7) + +inst_701:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x253272; +valaddr_reg:x9; val_offset:1356*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1356*FLEN/8, x10, x6, x7) + +inst_702:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1358*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1358*FLEN/8, x10, x6, x7) + +inst_703:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x253272; +valaddr_reg:x9; val_offset:1360*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1360*FLEN/8, x10, x6, x7) + +inst_704:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1362*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1362*FLEN/8, x10, x6, x7) + +inst_705:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x253272; +valaddr_reg:x9; val_offset:1364*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1364*FLEN/8, x10, x6, x7) + +inst_706:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1366*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1366*FLEN/8, x10, x6, x7) + +inst_707:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x005f39 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2127d2 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5f39; op2val:0x802127d2; +valaddr_reg:x9; val_offset:1368*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1368*FLEN/8, x10, x6, x7) + +inst_708:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x005f39 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x5f39; +valaddr_reg:x9; val_offset:1370*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1370*FLEN/8, x10, x6, x7) + +inst_709:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x005f39 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x5f39; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1372*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1372*FLEN/8, x10, x6, x7) + +inst_710:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x005f39 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x5f39; +valaddr_reg:x9; val_offset:1374*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1374*FLEN/8, x10, x6, x7) + +inst_711:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1376*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1376*FLEN/8, x10, x6, x7) + +inst_712:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x253272; +valaddr_reg:x9; val_offset:1378*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1378*FLEN/8, x10, x6, x7) + +inst_713:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x7f0; +valaddr_reg:x9; val_offset:1380*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1380*FLEN/8, x10, x6, x7) + +inst_714:// fs1 == 0 and fe1 == 0x80 and fm1 == 0x5a9fe8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x405a9fe8; op2val:0x7f0; +valaddr_reg:x9; val_offset:1382*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1382*FLEN/8, x10, x6, x7) + +inst_715:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x5a9fe8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x405a9fe8; +valaddr_reg:x9; val_offset:1384*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1384*FLEN/8, x10, x6, x7) + +inst_716:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x253272 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x5a9fe8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x253272; op2val:0x405a9fe8; +valaddr_reg:x9; val_offset:1386*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1386*FLEN/8, x10, x6, x7) + +inst_717:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1388*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1388*FLEN/8, x10, x6, x7) + +inst_718:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x7f7fffff; +valaddr_reg:x9; val_offset:1390*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1390*FLEN/8, x10, x6, x7) + +inst_719:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1392*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1392*FLEN/8, x10, x6, x7) + +inst_720:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1394*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1394*FLEN/8, x10, x6, x7) + +inst_721:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1396*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1396*FLEN/8, x10, x6, x7) + +inst_722:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1398*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1398*FLEN/8, x10, x6, x7) + +inst_723:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1400*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1400*FLEN/8, x10, x6, x7) + +inst_724:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1402*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1402*FLEN/8, x10, x6, x7) + +inst_725:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x7fc1a6 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dffc1a6; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1404*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1404*FLEN/8, x10, x6, x7) + +inst_726:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x7fc1a6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x7dffc1a6; +valaddr_reg:x9; val_offset:1406*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1406*FLEN/8, x10, x6, x7) + +inst_727:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1408*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1408*FLEN/8, x10, x6, x7) + +inst_728:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x7fc1a6 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7dffc1a6; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1410*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1410*FLEN/8, x10, x6, x7) + +inst_729:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1412*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1412*FLEN/8, x10, x6, x7) + +inst_730:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1414*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1414*FLEN/8, x10, x6, x7) + +inst_731:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1416*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1416*FLEN/8, x10, x6, x7) + +inst_732:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1418*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1418*FLEN/8, x10, x6, x7) + +inst_733:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x04a095 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x4a095; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1420*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1420*FLEN/8, x10, x6, x7) + +inst_734:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x04a095 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x4a095; +valaddr_reg:x9; val_offset:1422*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1422*FLEN/8, x10, x6, x7) + +inst_735:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1424*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1424*FLEN/8, x10, x6, x7) + +inst_736:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1426*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1426*FLEN/8, x10, x6, x7) + +inst_737:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1428*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1428*FLEN/8, x10, x6, x7) + +inst_738:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1430*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1430*FLEN/8, x10, x6, x7) + +inst_739:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1432*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1432*FLEN/8, x10, x6, x7) + +inst_740:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1434*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1434*FLEN/8, x10, x6, x7) + +inst_741:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1436*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1436*FLEN/8, x10, x6, x7) + +inst_742:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1438*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1438*FLEN/8, x10, x6, x7) + +inst_743:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1440*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1440*FLEN/8, x10, x6, x7) + +inst_744:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x007675 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2127d2 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7675; op2val:0x802127d2; +valaddr_reg:x9; val_offset:1442*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1442*FLEN/8, x10, x6, x7) + +inst_745:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x007675 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x7675; +valaddr_reg:x9; val_offset:1444*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1444*FLEN/8, x10, x6, x7) + +inst_746:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x007675 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7675; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1446*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1446*FLEN/8, x10, x6, x7) + +inst_747:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x007675 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x7675; +valaddr_reg:x9; val_offset:1448*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1448*FLEN/8, x10, x6, x7) + +inst_748:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1450*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1450*FLEN/8, x10, x6, x7) + +inst_749:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1452*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1452*FLEN/8, x10, x6, x7) + +inst_750:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x7f0; +valaddr_reg:x9; val_offset:1454*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1454*FLEN/8, x10, x6, x7) + +inst_751:// fs1 == 0 and fe1 == 0x81 and fm1 == 0x07fbc3 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x4087fbc3; op2val:0x7f0; +valaddr_reg:x9; val_offset:1456*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1456*FLEN/8, x10, x6, x7) + +inst_752:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x07fbc3 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x4087fbc3; +valaddr_reg:x9; val_offset:1458*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1458*FLEN/8, x10, x6, x7) + +inst_753:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x2e45d4 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x07fbc3 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2e45d4; op2val:0x4087fbc3; +valaddr_reg:x9; val_offset:1460*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1460*FLEN/8, x10, x6, x7) + +inst_754:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1462*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1462*FLEN/8, x10, x6, x7) + +inst_755:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1464*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1464*FLEN/8, x10, x6, x7) + +inst_756:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44b3b6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x7f44b3b6; +valaddr_reg:x9; val_offset:1466*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1466*FLEN/8, x10, x6, x7) + +inst_757:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1468*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1468*FLEN/8, x10, x6, x7) + +inst_758:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1470*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1470*FLEN/8, x10, x6, x7) + +inst_759:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1472*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1472*FLEN/8, x10, x6, x7) + +inst_760:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1474*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1474*FLEN/8, x10, x6, x7) + +inst_761:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1476*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1476*FLEN/8, x10, x6, x7) + +inst_762:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1478*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1478*FLEN/8, x10, x6, x7) + +inst_763:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1480*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1480*FLEN/8, x10, x6, x7) + +inst_764:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1482*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1482*FLEN/8, x10, x6, x7) + +inst_765:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1484*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1484*FLEN/8, x10, x6, x7) + +inst_766:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1486*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1486*FLEN/8, x10, x6, x7) + +inst_767:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d5c91 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d9d5c91; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1488*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1488*FLEN/8, x10, x6, x7) + +inst_768:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0xfb and fm2 == 0x1d5c91 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x7d9d5c91; +valaddr_reg:x9; val_offset:1490*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1490*FLEN/8, x10, x6, x7) + +inst_769:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1492*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1492*FLEN/8, x10, x6, x7) + +inst_770:// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d5c91 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7d9d5c91; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1494*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1494*FLEN/8, x10, x6, x7) + +inst_771:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1496*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1496*FLEN/8, x10, x6, x7) + +inst_772:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1498*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1498*FLEN/8, x10, x6, x7) + +inst_773:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1500*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1500*FLEN/8, x10, x6, x7) + +inst_774:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1502*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1502*FLEN/8, x10, x6, x7) + +inst_775:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1504*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1504*FLEN/8, x10, x6, x7) + +inst_776:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44b3b6 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f44b3b6; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1506*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1506*FLEN/8, x10, x6, x7) + +inst_777:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1508*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1508*FLEN/8, x10, x6, x7) + +inst_778:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x02d8d9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x2d8d9; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1510*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1510*FLEN/8, x10, x6, x7) + +inst_779:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x02d8d9 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x2d8d9; +valaddr_reg:x9; val_offset:1512*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1512*FLEN/8, x10, x6, x7) + +inst_780:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1514*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1514*FLEN/8, x10, x6, x7) + +inst_781:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1516*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1516*FLEN/8, x10, x6, x7) + +inst_782:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1518*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1518*FLEN/8, x10, x6, x7) + +inst_783:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1520*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1520*FLEN/8, x10, x6, x7) + +inst_784:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1522*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1522*FLEN/8, x10, x6, x7) + +inst_785:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1524*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1524*FLEN/8, x10, x6, x7) + +inst_786:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1526*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1526*FLEN/8, x10, x6, x7) + +inst_787:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0048e2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2127d2 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x48e2; op2val:0x802127d2; +valaddr_reg:x9; val_offset:1528*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1528*FLEN/8, x10, x6, x7) + +inst_788:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0048e2 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x48e2; +valaddr_reg:x9; val_offset:1530*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1530*FLEN/8, x10, x6, x7) + +inst_789:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0048e2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x48e2; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1532*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1532*FLEN/8, x10, x6, x7) + +inst_790:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0048e2 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x48e2; +valaddr_reg:x9; val_offset:1534*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1534*FLEN/8, x10, x6, x7) + +inst_791:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1536*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1536*FLEN/8, x10, x6, x7) + +inst_792:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1538*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1538*FLEN/8, x10, x6, x7) + +inst_793:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x7f0; +valaddr_reg:x9; val_offset:1540*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1540*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_6) + +inst_794:// fs1 == 0 and fe1 == 0x80 and fm1 == 0x2755e6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x402755e6; op2val:0x7f0; +valaddr_reg:x9; val_offset:1542*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1542*FLEN/8, x10, x6, x7) + +inst_795:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x2755e6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x402755e6; +valaddr_reg:x9; val_offset:1544*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1544*FLEN/8, x10, x6, x7) + +inst_796:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c787d and fs2 == 0 and fe2 == 0x80 and fm2 == 0x2755e6 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x1c787d; op2val:0x402755e6; +valaddr_reg:x9; val_offset:1546*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1546*FLEN/8, x10, x6, x7) + +inst_797:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1548*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1548*FLEN/8, x10, x6, x7) + +inst_798:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1550*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1550*FLEN/8, x10, x6, x7) + +inst_799:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1552*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1552*FLEN/8, x10, x6, x7) + +inst_800:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1554*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1554*FLEN/8, x10, x6, x7) + +inst_801:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1556*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1556*FLEN/8, x10, x6, x7) + +inst_802:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1558*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1558*FLEN/8, x10, x6, x7) + +inst_803:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1560*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1560*FLEN/8, x10, x6, x7) + +inst_804:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1562*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1562*FLEN/8, x10, x6, x7) + +inst_805:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x21db85 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe21db85; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1564*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1564*FLEN/8, x10, x6, x7) + +inst_806:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x21db85 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0xfe21db85; +valaddr_reg:x9; val_offset:1566*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1566*FLEN/8, x10, x6, x7) + +inst_807:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1568*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1568*FLEN/8, x10, x6, x7) + +inst_808:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x21db85 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe21db85; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1570*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1570*FLEN/8, x10, x6, x7) + +inst_809:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1572*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1572*FLEN/8, x10, x6, x7) + +inst_810:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1574*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1574*FLEN/8, x10, x6, x7) + +inst_811:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1576*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1576*FLEN/8, x10, x6, x7) + +inst_812:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1578*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1578*FLEN/8, x10, x6, x7) + +inst_813:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x05db58 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8005db58; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1580*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1580*FLEN/8, x10, x6, x7) + +inst_814:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x05db58 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x8005db58; +valaddr_reg:x9; val_offset:1582*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1582*FLEN/8, x10, x6, x7) + +inst_815:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1584*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1584*FLEN/8, x10, x6, x7) + +inst_816:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1586*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1586*FLEN/8, x10, x6, x7) + +inst_817:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1588*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1588*FLEN/8, x10, x6, x7) + +inst_818:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1590*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1590*FLEN/8, x10, x6, x7) + +inst_819:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1592*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1592*FLEN/8, x10, x6, x7) + +inst_820:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0095ef and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2127d2 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800095ef; op2val:0x802127d2; +valaddr_reg:x9; val_offset:1594*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1594*FLEN/8, x10, x6, x7) + +inst_821:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0095ef and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x800095ef; +valaddr_reg:x9; val_offset:1596*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1596*FLEN/8, x10, x6, x7) + +inst_822:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0095ef and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800095ef; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1598*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1598*FLEN/8, x10, x6, x7) + +inst_823:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0095ef and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x800095ef; +valaddr_reg:x9; val_offset:1600*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1600*FLEN/8, x10, x6, x7) + +inst_824:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1602*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1602*FLEN/8, x10, x6, x7) + +inst_825:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1604*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1604*FLEN/8, x10, x6, x7) + +inst_826:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0x7f0; +valaddr_reg:x9; val_offset:1606*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1606*FLEN/8, x10, x6, x7) + +inst_827:// fs1 == 1 and fe1 == 0x81 and fm1 == 0x2c1dce and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc0ac1dce; op2val:0x7f0; +valaddr_reg:x9; val_offset:1608*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1608*FLEN/8, x10, x6, x7) + +inst_828:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x2c1dce and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc0ac1dce; +valaddr_reg:x9; val_offset:1610*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1610*FLEN/8, x10, x6, x7) + +inst_829:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3a9174 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x2c1dce and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x803a9174; op2val:0xc0ac1dce; +valaddr_reg:x9; val_offset:1612*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1612*FLEN/8, x10, x6, x7) + +inst_830:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1614*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1614*FLEN/8, x10, x6, x7) + +inst_831:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1616*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1616*FLEN/8, x10, x6, x7) + +inst_832:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1618*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1618*FLEN/8, x10, x6, x7) + +inst_833:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1620*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1620*FLEN/8, x10, x6, x7) + +inst_834:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1622*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1622*FLEN/8, x10, x6, x7) + +inst_835:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1624*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1624*FLEN/8, x10, x6, x7) + +inst_836:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1626*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1626*FLEN/8, x10, x6, x7) + +inst_837:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1628*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1628*FLEN/8, x10, x6, x7) + +inst_838:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x74bcf0 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdf4bcf0; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1630*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1630*FLEN/8, x10, x6, x7) + +inst_839:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0xfb and fm2 == 0x74bcf0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0xfdf4bcf0; +valaddr_reg:x9; val_offset:1632*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1632*FLEN/8, x10, x6, x7) + +inst_840:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1634*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1634*FLEN/8, x10, x6, x7) + +inst_841:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x74bcf0 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdf4bcf0; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1636*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1636*FLEN/8, x10, x6, x7) + +inst_842:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1638*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1638*FLEN/8, x10, x6, x7) + +inst_843:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1640*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1640*FLEN/8, x10, x6, x7) + +inst_844:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1642*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1642*FLEN/8, x10, x6, x7) + +inst_845:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1644*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1644*FLEN/8, x10, x6, x7) + +inst_846:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x046d8c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x80046d8c; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1646*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1646*FLEN/8, x10, x6, x7) + +inst_847:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x046d8c and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x80046d8c; +valaddr_reg:x9; val_offset:1648*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1648*FLEN/8, x10, x6, x7) + +inst_848:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1650*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1650*FLEN/8, x10, x6, x7) + +inst_849:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1652*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1652*FLEN/8, x10, x6, x7) + +inst_850:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1654*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1654*FLEN/8, x10, x6, x7) + +inst_851:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00715a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2127d2 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000715a; op2val:0x802127d2; +valaddr_reg:x9; val_offset:1656*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1656*FLEN/8, x10, x6, x7) + +inst_852:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00715a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x8000715a; +valaddr_reg:x9; val_offset:1658*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1658*FLEN/8, x10, x6, x7) + +inst_853:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x00715a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8000715a; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1660*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1660*FLEN/8, x10, x6, x7) + +inst_854:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x00715a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x8000715a; +valaddr_reg:x9; val_offset:1662*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1662*FLEN/8, x10, x6, x7) + +inst_855:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1664*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1664*FLEN/8, x10, x6, x7) + +inst_856:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1666*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1666*FLEN/8, x10, x6, x7) + +inst_857:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0x7f0; +valaddr_reg:x9; val_offset:1668*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1668*FLEN/8, x10, x6, x7) + +inst_858:// fs1 == 1 and fe1 == 0x81 and fm1 == 0x022004 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc0822004; op2val:0x7f0; +valaddr_reg:x9; val_offset:1670*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1670*FLEN/8, x10, x6, x7) + +inst_859:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x022004 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc0822004; +valaddr_reg:x9; val_offset:1672*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1672*FLEN/8, x10, x6, x7) + +inst_860:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c477d and fs2 == 1 and fe2 == 0x81 and fm2 == 0x022004 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802c477d; op2val:0xc0822004; +valaddr_reg:x9; val_offset:1674*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1674*FLEN/8, x10, x6, x7) + +inst_861:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1676*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1676*FLEN/8, x10, x6, x7) + +inst_862:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1678*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1678*FLEN/8, x10, x6, x7) + +inst_863:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1680*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1680*FLEN/8, x10, x6, x7) + +inst_864:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1682*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1682*FLEN/8, x10, x6, x7) + +inst_865:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1684*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1684*FLEN/8, x10, x6, x7) + +inst_866:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1686*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1686*FLEN/8, x10, x6, x7) + +inst_867:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1688*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1688*FLEN/8, x10, x6, x7) + +inst_868:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1690*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1690*FLEN/8, x10, x6, x7) + +inst_869:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x14db11 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe14db11; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1692*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1692*FLEN/8, x10, x6, x7) + +inst_870:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0xfc and fm2 == 0x14db11 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0xfe14db11; +valaddr_reg:x9; val_offset:1694*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1694*FLEN/8, x10, x6, x7) + +inst_871:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1696*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1696*FLEN/8, x10, x6, x7) + +inst_872:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x14db11 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe14db11; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1698*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1698*FLEN/8, x10, x6, x7) + +inst_873:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1700*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1700*FLEN/8, x10, x6, x7) + +inst_874:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1702*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1702*FLEN/8, x10, x6, x7) + +inst_875:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1704*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1704*FLEN/8, x10, x6, x7) + +inst_876:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1706*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1706*FLEN/8, x10, x6, x7) + +inst_877:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0562e7 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800562e7; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1708*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1708*FLEN/8, x10, x6, x7) + +inst_878:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0562e7 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x800562e7; +valaddr_reg:x9; val_offset:1710*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1710*FLEN/8, x10, x6, x7) + +inst_879:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1712*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1712*FLEN/8, x10, x6, x7) + +inst_880:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0089e3 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2127d2 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800089e3; op2val:0x802127d2; +valaddr_reg:x9; val_offset:1714*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1714*FLEN/8, x10, x6, x7) + +inst_881:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0089e3 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x800089e3; +valaddr_reg:x9; val_offset:1716*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1716*FLEN/8, x10, x6, x7) + +inst_882:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0089e3 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800089e3; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1718*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1718*FLEN/8, x10, x6, x7) + +inst_883:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0089e3 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x800089e3; +valaddr_reg:x9; val_offset:1720*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1720*FLEN/8, x10, x6, x7) + +inst_884:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1722*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1722*FLEN/8, x10, x6, x7) + +inst_885:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1724*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1724*FLEN/8, x10, x6, x7) + +inst_886:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0x7f0; +valaddr_reg:x9; val_offset:1726*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1726*FLEN/8, x10, x6, x7) + +inst_887:// fs1 == 1 and fe1 == 0x81 and fm1 == 0x1e4a63 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc09e4a63; op2val:0x7f0; +valaddr_reg:x9; val_offset:1728*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1728*FLEN/8, x10, x6, x7) + +inst_888:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x1e4a63 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc09e4a63; +valaddr_reg:x9; val_offset:1730*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1730*FLEN/8, x10, x6, x7) + +inst_889:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x35dd0d and fs2 == 1 and fe2 == 0x81 and fm2 == 0x1e4a63 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8035dd0d; op2val:0xc09e4a63; +valaddr_reg:x9; val_offset:1732*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1732*FLEN/8, x10, x6, x7) + +inst_890:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1734*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1734*FLEN/8, x10, x6, x7) + +inst_891:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1736*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1736*FLEN/8, x10, x6, x7) + +inst_892:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x6511ce and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0xff6511ce; +valaddr_reg:x9; val_offset:1738*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1738*FLEN/8, x10, x6, x7) + +inst_893:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1740*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1740*FLEN/8, x10, x6, x7) + +inst_894:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1742*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1742*FLEN/8, x10, x6, x7) + +inst_895:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1744*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1744*FLEN/8, x10, x6, x7) + +inst_896:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1746*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1746*FLEN/8, x10, x6, x7) + +inst_897:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1748*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1748*FLEN/8, x10, x6, x7) + +inst_898:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1750*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1750*FLEN/8, x10, x6, x7) + +inst_899:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1752*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1752*FLEN/8, x10, x6, x7) + +inst_900:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1754*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1754*FLEN/8, x10, x6, x7) + +inst_901:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1756*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1756*FLEN/8, x10, x6, x7) + +inst_902:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1758*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1758*FLEN/8, x10, x6, x7) + +inst_903:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x374171 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdb74171; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1760*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1760*FLEN/8, x10, x6, x7) + +inst_904:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x374171 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0xfdb74171; +valaddr_reg:x9; val_offset:1762*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1762*FLEN/8, x10, x6, x7) + +inst_905:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1764*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1764*FLEN/8, x10, x6, x7) + +inst_906:// fs1 == 1 and fe1 == 0xfb and fm1 == 0x374171 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfdb74171; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1766*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1766*FLEN/8, x10, x6, x7) + +inst_907:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1768*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1768*FLEN/8, x10, x6, x7) + +inst_908:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1770*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1770*FLEN/8, x10, x6, x7) + +inst_909:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1772*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1772*FLEN/8, x10, x6, x7) + +inst_910:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1774*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1774*FLEN/8, x10, x6, x7) + +inst_911:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1776*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1776*FLEN/8, x10, x6, x7) + +inst_912:// fs1 == 1 and fe1 == 0xfe and fm1 == 0x6511ce and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xff6511ce; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1778*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1778*FLEN/8, x10, x6, x7) + +inst_913:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1780*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1780*FLEN/8, x10, x6, x7) + +inst_914:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0350c8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800350c8; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1782*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1782*FLEN/8, x10, x6, x7) + +inst_915:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0350c8 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x800350c8; +valaddr_reg:x9; val_offset:1784*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1784*FLEN/8, x10, x6, x7) + +inst_916:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1786*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1786*FLEN/8, x10, x6, x7) + +inst_917:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1788*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1788*FLEN/8, x10, x6, x7) + +inst_918:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2127d2 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x802127d2; +valaddr_reg:x9; val_offset:1790*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1790*FLEN/8, x10, x6, x7) + +inst_919:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x253272; +valaddr_reg:x9; val_offset:1792*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1792*FLEN/8, x10, x6, x7) + +inst_920:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x253272; +valaddr_reg:x9; val_offset:1794*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1794*FLEN/8, x10, x6, x7) + +inst_921:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1796*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1796*FLEN/8, x10, x6, x7) +RVTEST_SIGBASE(x6,signature_x6_7) + +inst_922:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1798*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1798*FLEN/8, x10, x6, x7) + +inst_923:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1800*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1800*FLEN/8, x10, x6, x7) + +inst_924:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1802*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1802*FLEN/8, x10, x6, x7) + +inst_925:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1804*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1804*FLEN/8, x10, x6, x7) + +inst_926:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1806*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1806*FLEN/8, x10, x6, x7) + +inst_927:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1808*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1808*FLEN/8, x10, x6, x7) + +inst_928:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1810*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1810*FLEN/8, x10, x6, x7) + +inst_929:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1812*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1812*FLEN/8, x10, x6, x7) + +inst_930:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1814*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1814*FLEN/8, x10, x6, x7) + +inst_931:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1816*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1816*FLEN/8, x10, x6, x7) + +inst_932:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0077e4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x800077e4; +valaddr_reg:x9; val_offset:1818*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1818*FLEN/8, x10, x6, x7) + +inst_933:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0077e4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2127d2 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800077e4; op2val:0x802127d2; +valaddr_reg:x9; val_offset:1820*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1820*FLEN/8, x10, x6, x7) + +inst_934:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2127d2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802127d2; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1822*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1822*FLEN/8, x10, x6, x7) + +inst_935:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0x7f0; +valaddr_reg:x9; val_offset:1824*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1824*FLEN/8, x10, x6, x7) + +inst_936:// fs1 == 1 and fe1 == 0x80 and fm1 == 0x42deee and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc042deee; op2val:0x7f0; +valaddr_reg:x9; val_offset:1826*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1826*FLEN/8, x10, x6, x7) + +inst_937:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x42deee and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc042deee; +valaddr_reg:x9; val_offset:1828*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1828*FLEN/8, x10, x6, x7) + +inst_938:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0054e0 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x42deee and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800054e0; op2val:0xc042deee; +valaddr_reg:x9; val_offset:1830*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1830*FLEN/8, x10, x6, x7) + +inst_939:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1832*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1832*FLEN/8, x10, x6, x7) + +inst_940:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0xff7fffff; +valaddr_reg:x9; val_offset:1834*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1834*FLEN/8, x10, x6, x7) + +inst_941:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1836*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1836*FLEN/8, x10, x6, x7) + +inst_942:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1838*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1838*FLEN/8, x10, x6, x7) + +inst_943:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1840*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1840*FLEN/8, x10, x6, x7) + +inst_944:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1842*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1842*FLEN/8, x10, x6, x7) + +inst_945:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1844*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1844*FLEN/8, x10, x6, x7) + +inst_946:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1846*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1846*FLEN/8, x10, x6, x7) + +inst_947:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x016ce1 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe016ce1; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1848*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1848*FLEN/8, x10, x6, x7) + +inst_948:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x016ce1 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0xfe016ce1; +valaddr_reg:x9; val_offset:1850*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1850*FLEN/8, x10, x6, x7) + +inst_949:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1852*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1852*FLEN/8, x10, x6, x7) + +inst_950:// fs1 == 1 and fe1 == 0xfc and fm1 == 0x016ce1 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xfe016ce1; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1854*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1854*FLEN/8, x10, x6, x7) + +inst_951:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1856*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1856*FLEN/8, x10, x6, x7) + +inst_952:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1858*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1858*FLEN/8, x10, x6, x7) + +inst_953:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1860*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1860*FLEN/8, x10, x6, x7) + +inst_954:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1862*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1862*FLEN/8, x10, x6, x7) + +inst_955:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x04aeea and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x8004aeea; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1864*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1864*FLEN/8, x10, x6, x7) + +inst_956:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x04aeea and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x8004aeea; +valaddr_reg:x9; val_offset:1866*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1866*FLEN/8, x10, x6, x7) + +inst_957:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1868*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1868*FLEN/8, x10, x6, x7) + +inst_958:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0077e4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x800077e4; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1870*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1870*FLEN/8, x10, x6, x7) + +inst_959:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0077e4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x800077e4; +valaddr_reg:x9; val_offset:1872*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1872*FLEN/8, x10, x6, x7) + +inst_960:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0x7f0; +valaddr_reg:x9; val_offset:1874*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1874*FLEN/8, x10, x6, x7) + +inst_961:// fs1 == 1 and fe1 == 0x81 and fm1 == 0x09a0ec and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0xc089a0ec; op2val:0x7f0; +valaddr_reg:x9; val_offset:1876*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1876*FLEN/8, x10, x6, x7) + +inst_962:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x09a0ec and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xc089a0ec; +valaddr_reg:x9; val_offset:1878*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1878*FLEN/8, x10, x6, x7) + +inst_963:// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ed524 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x09a0ec and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x802ed524; op2val:0xc089a0ec; +valaddr_reg:x9; val_offset:1880*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1880*FLEN/8, x10, x6, x7) + +inst_964:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1882*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1882*FLEN/8, x10, x6, x7) + +inst_965:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7f0; +valaddr_reg:x9; val_offset:1884*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1884*FLEN/8, x10, x6, x7) + +inst_966:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x206a70 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7f206a70; +valaddr_reg:x9; val_offset:1886*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1886*FLEN/8, x10, x6, x7) + +inst_967:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x68aebb and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7ee8aebb; +valaddr_reg:x9; val_offset:1888*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1888*FLEN/8, x10, x6, x7) + +inst_968:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x25608b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7ea5608b; +valaddr_reg:x9; val_offset:1890*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1890*FLEN/8, x10, x6, x7) + +inst_969:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3648af and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x7f3648af; +valaddr_reg:x9; val_offset:1892*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1892*FLEN/8, x10, x6, x7) + +inst_970:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x204621 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xfd204621; +valaddr_reg:x9; val_offset:1894*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1894*FLEN/8, x10, x6, x7) + +inst_971:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x4ac669 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xfe4ac669; +valaddr_reg:x9; val_offset:1896*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1896*FLEN/8, x10, x6, x7) + +inst_972:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x16fcf5 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xfe96fcf5; +valaddr_reg:x9; val_offset:1898*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1898*FLEN/8, x10, x6, x7) + +inst_973:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x68e23e and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xfee8e23e; +valaddr_reg:x9; val_offset:1900*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1900*FLEN/8, x10, x6, x7) + +inst_974:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x2f0937 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0xfeaf0937; +valaddr_reg:x9; val_offset:1902*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1902*FLEN/8, x10, x6, x7) + +inst_975:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x039e8a and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x39e8a; +valaddr_reg:x9; val_offset:1904*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1904*FLEN/8, x10, x6, x7) + +inst_976:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2adcdc and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x2adcdc; +valaddr_reg:x9; val_offset:1906*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1906*FLEN/8, x10, x6, x7) + +inst_977:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x253272 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x253272; +valaddr_reg:x9; val_offset:1908*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1908*FLEN/8, x10, x6, x7) + +inst_978:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x2e45d4 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x2e45d4; +valaddr_reg:x9; val_offset:1910*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1910*FLEN/8, x10, x6, x7) + +inst_979:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c787d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x1c787d; +valaddr_reg:x9; val_offset:1912*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1912*FLEN/8, x10, x6, x7) + +inst_980:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3a9174 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x803a9174; +valaddr_reg:x9; val_offset:1914*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1914*FLEN/8, x10, x6, x7) + +inst_981:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c477d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x802c477d; +valaddr_reg:x9; val_offset:1916*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1916*FLEN/8, x10, x6, x7) + +inst_982:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x35dd0d and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x8035dd0d; +valaddr_reg:x9; val_offset:1918*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1918*FLEN/8, x10, x6, x7) + +inst_983:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0054e0 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x800054e0; +valaddr_reg:x9; val_offset:1920*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1920*FLEN/8, x10, x6, x7) + +inst_984:// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ed524 and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f0; op2val:0x802ed524; +valaddr_reg:x9; val_offset:1922*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1922*FLEN/8, x10, x6, x7) + +inst_985:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0xfe and fm2 == 0x378efe and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x7f378efe; +valaddr_reg:x9; val_offset:1924*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1924*FLEN/8, x10, x6, x7) + +inst_986:// fs1 == 0 and fe1 == 0xfe and fm1 == 0x378efe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a917b and fcsr == 0 +/* opcode: fltq.s ; op1:f31; op2:f30; dest:x31; op1val:0x7f378efe; op2val:0x1a917b; +valaddr_reg:x9; val_offset:1926*FLEN/8; correctval:??; testreg:x7; +fcsr_val: 0*/ +TEST_FCMP_OP(fltq.s, x31, f31, f30, 0, 0, x9, 1926*FLEN/8, x10, x6, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(2106775755,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +test_dataset_1: +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(174117,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(174117,32,FLEN) +NAN_BOXED(174117,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(174117,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(17411,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(17411,32,FLEN) +NAN_BOXED(17411,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(17411,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1075586948,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1075586948,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(1075586948,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2105562406,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(2105562406,32,FLEN) +NAN_BOXED(2105562406,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2105562406,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2105562406,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2105562406,32,FLEN) +NAN_BOXED(2105562406,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(152165,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(152165,32,FLEN) +NAN_BOXED(152165,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(152165,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(15216,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(15216,32,FLEN) +NAN_BOXED(15216,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(15216,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(1521654,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1074296694,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1074296694,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(1074296694,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2100962658,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(2100962658,32,FLEN) +NAN_BOXED(2100962658,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2100962658,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2100962658,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2100962658,32,FLEN) +NAN_BOXED(2100962658,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(110357,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(110357,32,FLEN) +NAN_BOXED(110357,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(110357,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(11035,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(11035,32,FLEN) +NAN_BOXED(11035,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(11035,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(1103577,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1069937093,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1069937093,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(1069937093,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2097433916,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(2097433916,32,FLEN) +NAN_BOXED(2097433916,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2097433916,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2097433916,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2097433916,32,FLEN) +NAN_BOXED(2097433916,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(78435,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(78435,32,FLEN) +NAN_BOXED(78435,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(78435,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(7843,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(7843,32,FLEN) +NAN_BOXED(7843,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(7843,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(784357,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1066184691,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1066184691,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(1066184691,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2106708927,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(2106708927,32,FLEN) +NAN_BOXED(2106708927,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2106708927,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2106708927,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2106708927,32,FLEN) +NAN_BOXED(2106708927,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(172908,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(172908,32,FLEN) +NAN_BOXED(172908,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(172908,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(17290,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(17290,32,FLEN) +NAN_BOXED(17290,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(17290,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(1729088,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1075515884,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1075515884,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(1075515884,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4243690684,32,FLEN) +NAN_BOXED(4243690684,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4248456856,32,FLEN) +NAN_BOXED(4248456856,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4245423967,32,FLEN) +NAN_BOXED(4245423967,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4274542506,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147578667,32,FLEN) +NAN_BOXED(2110262067,32,FLEN) +NAN_BOXED(2110262067,32,FLEN) +NAN_BOXED(2147578667,32,FLEN) +NAN_BOXED(2147578667,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2147578667,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2112678049,32,FLEN) +NAN_BOXED(2112678049,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2110625784,32,FLEN) +NAN_BOXED(2110625784,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2113913254,32,FLEN) +NAN_BOXED(2113913254,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2107464849,32,FLEN) +NAN_BOXED(2107464849,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(4263631749,32,FLEN) +NAN_BOXED(4263631749,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(4260674800,32,FLEN) +NAN_BOXED(4260674800,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(4262779665,32,FLEN) +NAN_BOXED(4262779665,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147493149,32,FLEN) +NAN_BOXED(4256645489,32,FLEN) +NAN_BOXED(4256645489,32,FLEN) +NAN_BOXED(2147493149,32,FLEN) +NAN_BOXED(2147493149,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2147493149,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(4261506273,32,FLEN) +NAN_BOXED(4261506273,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2148433840,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3215617720,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3215617720,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(3215617720,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4243690684,32,FLEN) +NAN_BOXED(4243690684,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4248456856,32,FLEN) +NAN_BOXED(4248456856,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4245423967,32,FLEN) +NAN_BOXED(4245423967,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147964513,32,FLEN) +NAN_BOXED(2110262067,32,FLEN) +NAN_BOXED(2110262067,32,FLEN) +NAN_BOXED(2147964513,32,FLEN) +NAN_BOXED(2147964513,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2147964513,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2112678049,32,FLEN) +NAN_BOXED(2112678049,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2110625784,32,FLEN) +NAN_BOXED(2110625784,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2113913254,32,FLEN) +NAN_BOXED(2113913254,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2107464849,32,FLEN) +NAN_BOXED(2107464849,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(4263631749,32,FLEN) +NAN_BOXED(4263631749,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(4260674800,32,FLEN) +NAN_BOXED(4260674800,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(4262779665,32,FLEN) +NAN_BOXED(4262779665,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147531734,32,FLEN) +NAN_BOXED(4256645489,32,FLEN) +NAN_BOXED(4256645489,32,FLEN) +NAN_BOXED(2147531734,32,FLEN) +NAN_BOXED(2147531734,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2147531734,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(4261506273,32,FLEN) +NAN_BOXED(4261506273,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2152292299,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3235356829,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3235356829,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(3235356829,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4243690684,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4243690684,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4243690684,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147555259,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2147555259,32,FLEN) +NAN_BOXED(2147555259,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2147555259,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147490809,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(2147490809,32,FLEN) +NAN_BOXED(2147490809,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2147490809,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2148199761,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3212866125,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3212866125,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(3212866125,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4248456856,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4248456856,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4248456856,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147594101,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2147594101,32,FLEN) +NAN_BOXED(2147594101,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2147594101,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147494693,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(2147494693,32,FLEN) +NAN_BOXED(2147494693,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2147494693,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2148588179,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3217431960,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3217431960,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(3217431960,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4245423967,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4245423967,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4245423967,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147566664,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2147566664,32,FLEN) +NAN_BOXED(2147566664,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2147566664,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147491949,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(2147491949,32,FLEN) +NAN_BOXED(2147491949,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2147491949,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2148313816,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3214206839,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3214206839,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(3214206839,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2110262067,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2110262067,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2110262067,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2138705407,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(280905,32,FLEN) +NAN_BOXED(280905,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(243774,32,FLEN) +NAN_BOXED(243774,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(303253,32,FLEN) +NAN_BOXED(303253,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(186585,32,FLEN) +NAN_BOXED(186585,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2147867480,32,FLEN) +NAN_BOXED(2147867480,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2147773836,32,FLEN) +NAN_BOXED(2147773836,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2147836647,32,FLEN) +NAN_BOXED(2147836647,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(23719,32,FLEN) +NAN_BOXED(2147700936,32,FLEN) +NAN_BOXED(2147700936,32,FLEN) +NAN_BOXED(23719,32,FLEN) +NAN_BOXED(23719,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(23719,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2147790570,32,FLEN) +NAN_BOXED(2147790570,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2371940,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1079294230,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1079294230,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(1079294230,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2112678049,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2112678049,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2112678049,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(280905,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(280905,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(28090,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(28090,32,FLEN) +NAN_BOXED(28090,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(28090,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1081863344,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1081863344,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(1081863344,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2110625784,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2110625784,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2110625784,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(243774,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(243774,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(24377,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(24377,32,FLEN) +NAN_BOXED(24377,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(24377,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1079681000,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1079681000,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(1079681000,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2113913254,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2113913254,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2113913254,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(303253,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(303253,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(30325,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(30325,32,FLEN) +NAN_BOXED(30325,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(30325,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1082653635,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1082653635,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(1082653635,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2107464849,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2107464849,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2107464849,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2135208886,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(186585,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(186585,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(18658,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(18658,32,FLEN) +NAN_BOXED(18658,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(18658,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1076319718,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1076319718,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(1076319718,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4263631749,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4263631749,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4263631749,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147867480,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2147867480,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147522031,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2147522031,32,FLEN) +NAN_BOXED(2147522031,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2147522031,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3232505294,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3232505294,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(3232505294,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4260674800,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4260674800,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4260674800,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147773836,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2147773836,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147512666,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2147512666,32,FLEN) +NAN_BOXED(2147512666,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2147512666,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3229753348,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3229753348,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(3229753348,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4262779665,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4262779665,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4262779665,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147836647,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2147836647,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147518947,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2147518947,32,FLEN) +NAN_BOXED(2147518947,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2147518947,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3231599203,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3231599203,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(3231599203,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4256645489,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4256645489,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4256645489,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(4284813774,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147700936,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147700936,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2147514340,32,FLEN) +NAN_BOXED(2147514340,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2149656530,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3225607918,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3225607918,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(3225607918,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(4261506273,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4261506273,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(4261506273,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2147790570,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2147790570,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2147514340,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2147514340,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3230245100,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3230245100,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(3230245100,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2132830832,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2129178299,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2124767371,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2134263983,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4246750753,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4266313321,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4271308021,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4276675134,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4272884023,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(237194,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2809052,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2437746,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3032532,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1865853,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2151321972,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2150385533,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2151013645,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2147505376,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2150552868,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(2134347518,32,FLEN) +NAN_BOXED(1741179,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 52*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_0: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_5: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_6: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x6_7: + .fill 130*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/F_Zfa/src/fmaxm_b1-01.S b/riscv-test-suite/rv64i_m/F_Zfa/src/fmaxm_b1-01.S new file mode 100644 index 000000000..26feeae99 --- /dev/null +++ b/riscv-test-suite/rv64i_m/F_Zfa/src/fmaxm_b1-01.S @@ -0,0 +1,5889 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:33:38 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fmaxm.s.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fmaxm.s instruction of the RISC-V RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fmaxm_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fmaxm_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 == rs2 != rd, rs1==f30, rs2==f30, rd==f31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f30; dest:f31; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rs2 == rd, rs1==f29, rs2==f29, rd==f29,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f29; op2:f29; dest:f29; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f29, f29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs2 == rd != rs1, rs1==f31, rs2==f28, rd==f28,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f31; op2:f28; dest:f28; op1val:0x0; op2val:0x1; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f28, f31, f28, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs1 == rd != rs2, rs1==f27, rs2==f31, rd==f27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f27; op2:f31; dest:f27; op1val:0x0; op2val:0x80000001; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f27, f27, f31, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f28, rs2==f27, rd==f30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f28; op2:f27; dest:f30; op1val:0x0; op2val:0x2; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f30, f28, f27, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rs2==f24, rd==f26,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f25; op2:f24; dest:f26; op1val:0x0; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f26, f25, f24, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f24, rs2==f26, rd==f25,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f24; op2:f26; dest:f25; op1val:0x0; op2val:0x7fffff; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f25, f24, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f26, rs2==f25, rd==f24,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f26; op2:f25; dest:f24; op1val:0x0; op2val:0x807fffff; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f24, f26, f25, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f22, rs2==f21, rd==f23,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f22; op2:f21; dest:f23; op1val:0x0; op2val:0x800000; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f23, f22, f21, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rs2==f23, rd==f22,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f21; op2:f23; dest:f22; op1val:0x0; op2val:0x80800000; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f22, f21, f23, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f23, rs2==f22, rd==f21,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f23; op2:f22; dest:f21; op1val:0x0; op2val:0x800001; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f21, f23, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rs2==f18, rd==f20,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f19; op2:f18; dest:f20; op1val:0x0; op2val:0x80855555; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f20, f19, f18, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f18, rs2==f20, rd==f19,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f18; op2:f20; dest:f19; op1val:0x0; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f19, f18, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f20, rs2==f19, rd==f18,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f20; op2:f19; dest:f18; op1val:0x0; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f18, f20, f19, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f16, rs2==f15, rd==f17,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f16; op2:f15; dest:f17; op1val:0x0; op2val:0x7f800000; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f17, f16, f15, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rs2==f17, rd==f16,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f15; op2:f17; dest:f16; op1val:0x0; op2val:0xff800000; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f16, f15, f17, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f17, rs2==f16, rd==f15,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f17; op2:f16; dest:f15; op1val:0x0; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f15, f17, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rs2==f12, rd==f14,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f13; op2:f12; dest:f14; op1val:0x0; op2val:0xffc00000; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f14, f13, f12, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f12, rs2==f14, rd==f13,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f12; op2:f14; dest:f13; op1val:0x0; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f13, f12, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f14, rs2==f13, rd==f12,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f14; op2:f13; dest:f12; op1val:0x0; op2val:0xffc55555; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f12, f14, f13, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f10, rs2==f9, rd==f11,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f10; op2:f9; dest:f11; op1val:0x0; op2val:0x7f800001; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f11, f10, f9, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rs2==f11, rd==f10,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f9; op2:f11; dest:f10; op1val:0x0; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f10, f9, f11, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f11, rs2==f10, rd==f9,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f11; op2:f10; dest:f9; op1val:0x0; op2val:0x3f800000; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f9, f11, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rs2==f6, rd==f8,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f7; op2:f6; dest:f8; op1val:0x0; op2val:0xbf800000; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f8, f7, f6, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f6, rs2==f8, rd==f7,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f6; op2:f8; dest:f7; op1val:0x80000000; op2val:0x0; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f7, f6, f8, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f8, rs2==f7, rd==f6,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f8; op2:f7; dest:f6; op1val:0x80000000; op2val:0x80000000; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f6, f8, f7, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f4, rs2==f3, rd==f5,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f4; op2:f3; dest:f5; op1val:0x80000000; op2val:0x1; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f5, f4, f3, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rs2==f5, rd==f4,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f3; op2:f5; dest:f4; op1val:0x80000000; op2val:0x80000001; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f4, f3, f5, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f5, rs2==f4, rd==f3,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f5; op2:f4; dest:f3; op1val:0x80000000; op2val:0x2; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f3, f5, f4, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rs2==f0, rd==f2,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f1; op2:f0; dest:f2; op1val:0x80000000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f2, f1, f0, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f0, rs2==f2, rd==f1,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f0; op2:f2; dest:f1; op1val:0x80000000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f1, f0, f2, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f2, rs2==f1, rd==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f2; op2:f1; dest:f0; op1val:0x80000000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f0, f2, f1, 0, 0, x3, 62*FLEN/8, x4, x1, x2) + +inst_32: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x800000; + valaddr_reg:x3; val_offset:64*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 64*FLEN/8, x4, x1, x2) + +inst_33: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x80800000; + valaddr_reg:x3; val_offset:66*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 66*FLEN/8, x4, x1, x2) + +inst_34: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x800001; + valaddr_reg:x3; val_offset:68*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 68*FLEN/8, x4, x1, x2) + +inst_35: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x80855555; + valaddr_reg:x3; val_offset:70*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 70*FLEN/8, x4, x1, x2) + +inst_36: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:72*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 72*FLEN/8, x4, x1, x2) + +inst_37: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:74*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 74*FLEN/8, x4, x1, x2) + +inst_38: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:76*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 76*FLEN/8, x4, x1, x2) + +inst_39: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xff800000; + valaddr_reg:x3; val_offset:78*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 78*FLEN/8, x4, x1, x2) + +inst_40: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:80*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 80*FLEN/8, x4, x1, x2) + +inst_41: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:82*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 82*FLEN/8, x4, x1, x2) + +inst_42: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:84*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 84*FLEN/8, x4, x1, x2) + +inst_43: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:86*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 86*FLEN/8, x4, x1, x2) + +inst_44: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:88*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 88*FLEN/8, x4, x1, x2) + +inst_45: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:90*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 90*FLEN/8, x4, x1, x2) + +inst_46: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:92*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 92*FLEN/8, x4, x1, x2) + +inst_47: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:94*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 94*FLEN/8, x4, x1, x2) + +inst_48: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x0; + valaddr_reg:x3; val_offset:96*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 96*FLEN/8, x4, x1, x2) + +inst_49: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x80000000; + valaddr_reg:x3; val_offset:98*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 98*FLEN/8, x4, x1, x2) + +inst_50: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x1; + valaddr_reg:x3; val_offset:100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 100*FLEN/8, x4, x1, x2) + +inst_51: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x80000001; + valaddr_reg:x3; val_offset:102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 102*FLEN/8, x4, x1, x2) + +inst_52: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x2; + valaddr_reg:x3; val_offset:104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 104*FLEN/8, x4, x1, x2) + +inst_53: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 106*FLEN/8, x4, x1, x2) + +inst_54: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7fffff; + valaddr_reg:x3; val_offset:108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 108*FLEN/8, x4, x1, x2) + +inst_55: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x807fffff; + valaddr_reg:x3; val_offset:110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 110*FLEN/8, x4, x1, x2) + +inst_56: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x800000; + valaddr_reg:x3; val_offset:112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 112*FLEN/8, x4, x1, x2) + +inst_57: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x80800000; + valaddr_reg:x3; val_offset:114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 114*FLEN/8, x4, x1, x2) + +inst_58: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x800001; + valaddr_reg:x3; val_offset:116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 116*FLEN/8, x4, x1, x2) + +inst_59: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x80855555; + valaddr_reg:x3; val_offset:118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 118*FLEN/8, x4, x1, x2) + +inst_60: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 120*FLEN/8, x4, x1, x2) + +inst_61: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 122*FLEN/8, x4, x1, x2) + +inst_62: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7f800000; + valaddr_reg:x3; val_offset:124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 124*FLEN/8, x4, x1, x2) + +inst_63: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xff800000; + valaddr_reg:x3; val_offset:126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 126*FLEN/8, x4, x1, x2) + +inst_64: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 128*FLEN/8, x4, x1, x2) + +inst_65: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xffc00000; + valaddr_reg:x3; val_offset:130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 130*FLEN/8, x4, x1, x2) + +inst_66: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 132*FLEN/8, x4, x1, x2) + +inst_67: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xffc55555; + valaddr_reg:x3; val_offset:134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 134*FLEN/8, x4, x1, x2) + +inst_68: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7f800001; + valaddr_reg:x3; val_offset:136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 136*FLEN/8, x4, x1, x2) + +inst_69: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 138*FLEN/8, x4, x1, x2) + +inst_70: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x3f800000; + valaddr_reg:x3; val_offset:140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 140*FLEN/8, x4, x1, x2) + +inst_71: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xbf800000; + valaddr_reg:x3; val_offset:142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 142*FLEN/8, x4, x1, x2) + +inst_72: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x0; + valaddr_reg:x3; val_offset:144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 144*FLEN/8, x4, x1, x2) + +inst_73: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x80000000; + valaddr_reg:x3; val_offset:146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 146*FLEN/8, x4, x1, x2) + +inst_74: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x1; + valaddr_reg:x3; val_offset:148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 148*FLEN/8, x4, x1, x2) + +inst_75: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x80000001; + valaddr_reg:x3; val_offset:150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 150*FLEN/8, x4, x1, x2) + +inst_76: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x2; + valaddr_reg:x3; val_offset:152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 152*FLEN/8, x4, x1, x2) + +inst_77: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 154*FLEN/8, x4, x1, x2) + +inst_78: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7fffff; + valaddr_reg:x3; val_offset:156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 156*FLEN/8, x4, x1, x2) + +inst_79: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x807fffff; + valaddr_reg:x3; val_offset:158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 158*FLEN/8, x4, x1, x2) + +inst_80: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x800000; + valaddr_reg:x3; val_offset:160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 160*FLEN/8, x4, x1, x2) + +inst_81: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x80800000; + valaddr_reg:x3; val_offset:162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 162*FLEN/8, x4, x1, x2) + +inst_82: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x800001; + valaddr_reg:x3; val_offset:164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 164*FLEN/8, x4, x1, x2) + +inst_83: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x80855555; + valaddr_reg:x3; val_offset:166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 166*FLEN/8, x4, x1, x2) + +inst_84: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 168*FLEN/8, x4, x1, x2) + +inst_85: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 170*FLEN/8, x4, x1, x2) + +inst_86: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7f800000; + valaddr_reg:x3; val_offset:172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 172*FLEN/8, x4, x1, x2) + +inst_87: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xff800000; + valaddr_reg:x3; val_offset:174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 174*FLEN/8, x4, x1, x2) + +inst_88: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 176*FLEN/8, x4, x1, x2) + +inst_89: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xffc00000; + valaddr_reg:x3; val_offset:178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 178*FLEN/8, x4, x1, x2) + +inst_90: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 180*FLEN/8, x4, x1, x2) + +inst_91: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xffc55555; + valaddr_reg:x3; val_offset:182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 182*FLEN/8, x4, x1, x2) + +inst_92: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7f800001; + valaddr_reg:x3; val_offset:184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 184*FLEN/8, x4, x1, x2) + +inst_93: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 186*FLEN/8, x4, x1, x2) + +inst_94: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x3f800000; + valaddr_reg:x3; val_offset:188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 188*FLEN/8, x4, x1, x2) + +inst_95: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xbf800000; + valaddr_reg:x3; val_offset:190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 190*FLEN/8, x4, x1, x2) + +inst_96: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x0; + valaddr_reg:x3; val_offset:192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 192*FLEN/8, x4, x1, x2) + +inst_97: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x80000000; + valaddr_reg:x3; val_offset:194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 194*FLEN/8, x4, x1, x2) + +inst_98: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x1; + valaddr_reg:x3; val_offset:196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 196*FLEN/8, x4, x1, x2) + +inst_99: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x80000001; + valaddr_reg:x3; val_offset:198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 198*FLEN/8, x4, x1, x2) + +inst_100: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x2; + valaddr_reg:x3; val_offset:200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 200*FLEN/8, x4, x1, x2) + +inst_101: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 202*FLEN/8, x4, x1, x2) + +inst_102: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7fffff; + valaddr_reg:x3; val_offset:204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 204*FLEN/8, x4, x1, x2) + +inst_103: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x807fffff; + valaddr_reg:x3; val_offset:206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 206*FLEN/8, x4, x1, x2) + +inst_104: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x800000; + valaddr_reg:x3; val_offset:208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 208*FLEN/8, x4, x1, x2) + +inst_105: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x80800000; + valaddr_reg:x3; val_offset:210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 210*FLEN/8, x4, x1, x2) + +inst_106: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x800001; + valaddr_reg:x3; val_offset:212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 212*FLEN/8, x4, x1, x2) + +inst_107: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x80855555; + valaddr_reg:x3; val_offset:214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 214*FLEN/8, x4, x1, x2) + +inst_108: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 216*FLEN/8, x4, x1, x2) + +inst_109: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 218*FLEN/8, x4, x1, x2) + +inst_110: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7f800000; + valaddr_reg:x3; val_offset:220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 220*FLEN/8, x4, x1, x2) + +inst_111: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xff800000; + valaddr_reg:x3; val_offset:222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 222*FLEN/8, x4, x1, x2) + +inst_112: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 224*FLEN/8, x4, x1, x2) + +inst_113: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xffc00000; + valaddr_reg:x3; val_offset:226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 226*FLEN/8, x4, x1, x2) + +inst_114: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 228*FLEN/8, x4, x1, x2) + +inst_115: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xffc55555; + valaddr_reg:x3; val_offset:230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 230*FLEN/8, x4, x1, x2) + +inst_116: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7f800001; + valaddr_reg:x3; val_offset:232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 232*FLEN/8, x4, x1, x2) + +inst_117: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 234*FLEN/8, x4, x1, x2) + +inst_118: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x3f800000; + valaddr_reg:x3; val_offset:236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 236*FLEN/8, x4, x1, x2) + +inst_119: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xbf800000; + valaddr_reg:x3; val_offset:238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 238*FLEN/8, x4, x1, x2) + +inst_120: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x0; + valaddr_reg:x3; val_offset:240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 240*FLEN/8, x4, x1, x2) + +inst_121: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x80000000; + valaddr_reg:x3; val_offset:242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 242*FLEN/8, x4, x1, x2) + +inst_122: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x1; + valaddr_reg:x3; val_offset:244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 244*FLEN/8, x4, x1, x2) + +inst_123: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x80000001; + valaddr_reg:x3; val_offset:246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 246*FLEN/8, x4, x1, x2) + +inst_124: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x2; + valaddr_reg:x3; val_offset:248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 248*FLEN/8, x4, x1, x2) + +inst_125: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 250*FLEN/8, x4, x1, x2) + +inst_126: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7fffff; + valaddr_reg:x3; val_offset:252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 252*FLEN/8, x4, x1, x2) + +inst_127: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x807fffff; + valaddr_reg:x3; val_offset:254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 254*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_2) + +inst_128: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x800000; + valaddr_reg:x3; val_offset:256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 256*FLEN/8, x4, x1, x2) + +inst_129: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x80800000; + valaddr_reg:x3; val_offset:258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 258*FLEN/8, x4, x1, x2) + +inst_130: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x800001; + valaddr_reg:x3; val_offset:260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 260*FLEN/8, x4, x1, x2) + +inst_131: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x80855555; + valaddr_reg:x3; val_offset:262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 262*FLEN/8, x4, x1, x2) + +inst_132: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 264*FLEN/8, x4, x1, x2) + +inst_133: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 266*FLEN/8, x4, x1, x2) + +inst_134: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7f800000; + valaddr_reg:x3; val_offset:268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 268*FLEN/8, x4, x1, x2) + +inst_135: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xff800000; + valaddr_reg:x3; val_offset:270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 270*FLEN/8, x4, x1, x2) + +inst_136: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 272*FLEN/8, x4, x1, x2) + +inst_137: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xffc00000; + valaddr_reg:x3; val_offset:274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 274*FLEN/8, x4, x1, x2) + +inst_138: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 276*FLEN/8, x4, x1, x2) + +inst_139: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xffc55555; + valaddr_reg:x3; val_offset:278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 278*FLEN/8, x4, x1, x2) + +inst_140: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7f800001; + valaddr_reg:x3; val_offset:280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 280*FLEN/8, x4, x1, x2) + +inst_141: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 282*FLEN/8, x4, x1, x2) + +inst_142: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x3f800000; + valaddr_reg:x3; val_offset:284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 284*FLEN/8, x4, x1, x2) + +inst_143: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xbf800000; + valaddr_reg:x3; val_offset:286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 286*FLEN/8, x4, x1, x2) + +inst_144: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x0; + valaddr_reg:x3; val_offset:288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 288*FLEN/8, x4, x1, x2) + +inst_145: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x80000000; + valaddr_reg:x3; val_offset:290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 290*FLEN/8, x4, x1, x2) + +inst_146: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x1; + valaddr_reg:x3; val_offset:292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 292*FLEN/8, x4, x1, x2) + +inst_147: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x80000001; + valaddr_reg:x3; val_offset:294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 294*FLEN/8, x4, x1, x2) + +inst_148: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x2; + valaddr_reg:x3; val_offset:296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 296*FLEN/8, x4, x1, x2) + +inst_149: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 298*FLEN/8, x4, x1, x2) + +inst_150: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7fffff; + valaddr_reg:x3; val_offset:300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 300*FLEN/8, x4, x1, x2) + +inst_151: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x807fffff; + valaddr_reg:x3; val_offset:302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 302*FLEN/8, x4, x1, x2) + +inst_152: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x800000; + valaddr_reg:x3; val_offset:304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 304*FLEN/8, x4, x1, x2) + +inst_153: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x80800000; + valaddr_reg:x3; val_offset:306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 306*FLEN/8, x4, x1, x2) + +inst_154: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x800001; + valaddr_reg:x3; val_offset:308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 308*FLEN/8, x4, x1, x2) + +inst_155: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x80855555; + valaddr_reg:x3; val_offset:310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 310*FLEN/8, x4, x1, x2) + +inst_156: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 312*FLEN/8, x4, x1, x2) + +inst_157: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 314*FLEN/8, x4, x1, x2) + +inst_158: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7f800000; + valaddr_reg:x3; val_offset:316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 316*FLEN/8, x4, x1, x2) + +inst_159: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xff800000; + valaddr_reg:x3; val_offset:318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 318*FLEN/8, x4, x1, x2) + +inst_160: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 320*FLEN/8, x4, x1, x2) + +inst_161: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xffc00000; + valaddr_reg:x3; val_offset:322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 322*FLEN/8, x4, x1, x2) + +inst_162: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 324*FLEN/8, x4, x1, x2) + +inst_163: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xffc55555; + valaddr_reg:x3; val_offset:326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 326*FLEN/8, x4, x1, x2) + +inst_164: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7f800001; + valaddr_reg:x3; val_offset:328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 328*FLEN/8, x4, x1, x2) + +inst_165: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 330*FLEN/8, x4, x1, x2) + +inst_166: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x3f800000; + valaddr_reg:x3; val_offset:332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 332*FLEN/8, x4, x1, x2) + +inst_167: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xbf800000; + valaddr_reg:x3; val_offset:334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 334*FLEN/8, x4, x1, x2) + +inst_168: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x0; + valaddr_reg:x3; val_offset:336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 336*FLEN/8, x4, x1, x2) + +inst_169: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x80000000; + valaddr_reg:x3; val_offset:338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 338*FLEN/8, x4, x1, x2) + +inst_170: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x1; + valaddr_reg:x3; val_offset:340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 340*FLEN/8, x4, x1, x2) + +inst_171: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x80000001; + valaddr_reg:x3; val_offset:342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 342*FLEN/8, x4, x1, x2) + +inst_172: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x2; + valaddr_reg:x3; val_offset:344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 344*FLEN/8, x4, x1, x2) + +inst_173: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 346*FLEN/8, x4, x1, x2) + +inst_174: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7fffff; + valaddr_reg:x3; val_offset:348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 348*FLEN/8, x4, x1, x2) + +inst_175: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x807fffff; + valaddr_reg:x3; val_offset:350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 350*FLEN/8, x4, x1, x2) + +inst_176: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x800000; + valaddr_reg:x3; val_offset:352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 352*FLEN/8, x4, x1, x2) + +inst_177: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x80800000; + valaddr_reg:x3; val_offset:354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 354*FLEN/8, x4, x1, x2) + +inst_178: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x800001; + valaddr_reg:x3; val_offset:356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 356*FLEN/8, x4, x1, x2) + +inst_179: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x80855555; + valaddr_reg:x3; val_offset:358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 358*FLEN/8, x4, x1, x2) + +inst_180: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 360*FLEN/8, x4, x1, x2) + +inst_181: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 362*FLEN/8, x4, x1, x2) + +inst_182: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7f800000; + valaddr_reg:x3; val_offset:364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 364*FLEN/8, x4, x1, x2) + +inst_183: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xff800000; + valaddr_reg:x3; val_offset:366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 366*FLEN/8, x4, x1, x2) + +inst_184: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 368*FLEN/8, x4, x1, x2) + +inst_185: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xffc00000; + valaddr_reg:x3; val_offset:370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 370*FLEN/8, x4, x1, x2) + +inst_186: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 372*FLEN/8, x4, x1, x2) + +inst_187: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xffc55555; + valaddr_reg:x3; val_offset:374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 374*FLEN/8, x4, x1, x2) + +inst_188: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7f800001; + valaddr_reg:x3; val_offset:376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 376*FLEN/8, x4, x1, x2) + +inst_189: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 378*FLEN/8, x4, x1, x2) + +inst_190: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x3f800000; + valaddr_reg:x3; val_offset:380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 380*FLEN/8, x4, x1, x2) + +inst_191: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xbf800000; + valaddr_reg:x3; val_offset:382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 382*FLEN/8, x4, x1, x2) + +inst_192: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x0; + valaddr_reg:x3; val_offset:384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 384*FLEN/8, x4, x1, x2) + +inst_193: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 386*FLEN/8, x4, x1, x2) + +inst_194: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x1; + valaddr_reg:x3; val_offset:388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 388*FLEN/8, x4, x1, x2) + +inst_195: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 390*FLEN/8, x4, x1, x2) + +inst_196: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x2; + valaddr_reg:x3; val_offset:392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 392*FLEN/8, x4, x1, x2) + +inst_197: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 394*FLEN/8, x4, x1, x2) + +inst_198: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 396*FLEN/8, x4, x1, x2) + +inst_199: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 398*FLEN/8, x4, x1, x2) + +inst_200: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x800000; + valaddr_reg:x3; val_offset:400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 400*FLEN/8, x4, x1, x2) + +inst_201: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 402*FLEN/8, x4, x1, x2) + +inst_202: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x800001; + valaddr_reg:x3; val_offset:404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 404*FLEN/8, x4, x1, x2) + +inst_203: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 406*FLEN/8, x4, x1, x2) + +inst_204: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 408*FLEN/8, x4, x1, x2) + +inst_205: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 410*FLEN/8, x4, x1, x2) + +inst_206: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 412*FLEN/8, x4, x1, x2) + +inst_207: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 414*FLEN/8, x4, x1, x2) + +inst_208: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 416*FLEN/8, x4, x1, x2) + +inst_209: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 418*FLEN/8, x4, x1, x2) + +inst_210: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 420*FLEN/8, x4, x1, x2) + +inst_211: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 422*FLEN/8, x4, x1, x2) + +inst_212: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 424*FLEN/8, x4, x1, x2) + +inst_213: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 426*FLEN/8, x4, x1, x2) + +inst_214: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 428*FLEN/8, x4, x1, x2) + +inst_215: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 430*FLEN/8, x4, x1, x2) + +inst_216: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x0; + valaddr_reg:x3; val_offset:432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 432*FLEN/8, x4, x1, x2) + +inst_217: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 434*FLEN/8, x4, x1, x2) + +inst_218: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x1; + valaddr_reg:x3; val_offset:436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 436*FLEN/8, x4, x1, x2) + +inst_219: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 438*FLEN/8, x4, x1, x2) + +inst_220: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x2; + valaddr_reg:x3; val_offset:440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 440*FLEN/8, x4, x1, x2) + +inst_221: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 442*FLEN/8, x4, x1, x2) + +inst_222: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 444*FLEN/8, x4, x1, x2) + +inst_223: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 446*FLEN/8, x4, x1, x2) + +inst_224: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x800000; + valaddr_reg:x3; val_offset:448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 448*FLEN/8, x4, x1, x2) + +inst_225: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 450*FLEN/8, x4, x1, x2) + +inst_226: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x800001; + valaddr_reg:x3; val_offset:452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 452*FLEN/8, x4, x1, x2) + +inst_227: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 454*FLEN/8, x4, x1, x2) + +inst_228: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 456*FLEN/8, x4, x1, x2) + +inst_229: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 458*FLEN/8, x4, x1, x2) + +inst_230: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 460*FLEN/8, x4, x1, x2) + +inst_231: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 462*FLEN/8, x4, x1, x2) + +inst_232: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 464*FLEN/8, x4, x1, x2) + +inst_233: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 466*FLEN/8, x4, x1, x2) + +inst_234: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 468*FLEN/8, x4, x1, x2) + +inst_235: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 470*FLEN/8, x4, x1, x2) + +inst_236: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 472*FLEN/8, x4, x1, x2) + +inst_237: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 474*FLEN/8, x4, x1, x2) + +inst_238: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 476*FLEN/8, x4, x1, x2) + +inst_239: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 478*FLEN/8, x4, x1, x2) + +inst_240: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x0; + valaddr_reg:x3; val_offset:480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 480*FLEN/8, x4, x1, x2) + +inst_241: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x80000000; + valaddr_reg:x3; val_offset:482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 482*FLEN/8, x4, x1, x2) + +inst_242: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x1; + valaddr_reg:x3; val_offset:484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 484*FLEN/8, x4, x1, x2) + +inst_243: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x80000001; + valaddr_reg:x3; val_offset:486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 486*FLEN/8, x4, x1, x2) + +inst_244: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x2; + valaddr_reg:x3; val_offset:488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 488*FLEN/8, x4, x1, x2) + +inst_245: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 490*FLEN/8, x4, x1, x2) + +inst_246: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7fffff; + valaddr_reg:x3; val_offset:492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 492*FLEN/8, x4, x1, x2) + +inst_247: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x807fffff; + valaddr_reg:x3; val_offset:494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 494*FLEN/8, x4, x1, x2) + +inst_248: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x800000; + valaddr_reg:x3; val_offset:496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 496*FLEN/8, x4, x1, x2) + +inst_249: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x80800000; + valaddr_reg:x3; val_offset:498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 498*FLEN/8, x4, x1, x2) + +inst_250: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x800001; + valaddr_reg:x3; val_offset:500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 500*FLEN/8, x4, x1, x2) + +inst_251: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x80855555; + valaddr_reg:x3; val_offset:502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 502*FLEN/8, x4, x1, x2) + +inst_252: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 504*FLEN/8, x4, x1, x2) + +inst_253: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 506*FLEN/8, x4, x1, x2) + +inst_254: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7f800000; + valaddr_reg:x3; val_offset:508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 508*FLEN/8, x4, x1, x2) + +inst_255: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xff800000; + valaddr_reg:x3; val_offset:510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 510*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_3) + +inst_256: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 512*FLEN/8, x4, x1, x2) + +inst_257: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xffc00000; + valaddr_reg:x3; val_offset:514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 514*FLEN/8, x4, x1, x2) + +inst_258: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 516*FLEN/8, x4, x1, x2) + +inst_259: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xffc55555; + valaddr_reg:x3; val_offset:518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 518*FLEN/8, x4, x1, x2) + +inst_260: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7f800001; + valaddr_reg:x3; val_offset:520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 520*FLEN/8, x4, x1, x2) + +inst_261: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 522*FLEN/8, x4, x1, x2) + +inst_262: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x3f800000; + valaddr_reg:x3; val_offset:524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 524*FLEN/8, x4, x1, x2) + +inst_263: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xbf800000; + valaddr_reg:x3; val_offset:526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 526*FLEN/8, x4, x1, x2) + +inst_264: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x0; + valaddr_reg:x3; val_offset:528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 528*FLEN/8, x4, x1, x2) + +inst_265: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x80000000; + valaddr_reg:x3; val_offset:530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 530*FLEN/8, x4, x1, x2) + +inst_266: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x1; + valaddr_reg:x3; val_offset:532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 532*FLEN/8, x4, x1, x2) + +inst_267: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x80000001; + valaddr_reg:x3; val_offset:534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 534*FLEN/8, x4, x1, x2) + +inst_268: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x2; + valaddr_reg:x3; val_offset:536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 536*FLEN/8, x4, x1, x2) + +inst_269: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 538*FLEN/8, x4, x1, x2) + +inst_270: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7fffff; + valaddr_reg:x3; val_offset:540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 540*FLEN/8, x4, x1, x2) + +inst_271: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x807fffff; + valaddr_reg:x3; val_offset:542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 542*FLEN/8, x4, x1, x2) + +inst_272: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x800000; + valaddr_reg:x3; val_offset:544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 544*FLEN/8, x4, x1, x2) + +inst_273: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x80800000; + valaddr_reg:x3; val_offset:546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 546*FLEN/8, x4, x1, x2) + +inst_274: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x800001; + valaddr_reg:x3; val_offset:548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 548*FLEN/8, x4, x1, x2) + +inst_275: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x80855555; + valaddr_reg:x3; val_offset:550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 550*FLEN/8, x4, x1, x2) + +inst_276: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 552*FLEN/8, x4, x1, x2) + +inst_277: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 554*FLEN/8, x4, x1, x2) + +inst_278: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7f800000; + valaddr_reg:x3; val_offset:556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 556*FLEN/8, x4, x1, x2) + +inst_279: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xff800000; + valaddr_reg:x3; val_offset:558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 558*FLEN/8, x4, x1, x2) + +inst_280: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 560*FLEN/8, x4, x1, x2) + +inst_281: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xffc00000; + valaddr_reg:x3; val_offset:562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 562*FLEN/8, x4, x1, x2) + +inst_282: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 564*FLEN/8, x4, x1, x2) + +inst_283: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xffc55555; + valaddr_reg:x3; val_offset:566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 566*FLEN/8, x4, x1, x2) + +inst_284: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7f800001; + valaddr_reg:x3; val_offset:568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 568*FLEN/8, x4, x1, x2) + +inst_285: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 570*FLEN/8, x4, x1, x2) + +inst_286: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x3f800000; + valaddr_reg:x3; val_offset:572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 572*FLEN/8, x4, x1, x2) + +inst_287: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xbf800000; + valaddr_reg:x3; val_offset:574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 574*FLEN/8, x4, x1, x2) + +inst_288: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x0; + valaddr_reg:x3; val_offset:576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 576*FLEN/8, x4, x1, x2) + +inst_289: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x80000000; + valaddr_reg:x3; val_offset:578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 578*FLEN/8, x4, x1, x2) + +inst_290: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x1; + valaddr_reg:x3; val_offset:580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 580*FLEN/8, x4, x1, x2) + +inst_291: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x80000001; + valaddr_reg:x3; val_offset:582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 582*FLEN/8, x4, x1, x2) + +inst_292: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x2; + valaddr_reg:x3; val_offset:584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 584*FLEN/8, x4, x1, x2) + +inst_293: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 586*FLEN/8, x4, x1, x2) + +inst_294: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7fffff; + valaddr_reg:x3; val_offset:588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 588*FLEN/8, x4, x1, x2) + +inst_295: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x807fffff; + valaddr_reg:x3; val_offset:590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 590*FLEN/8, x4, x1, x2) + +inst_296: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x800000; + valaddr_reg:x3; val_offset:592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 592*FLEN/8, x4, x1, x2) + +inst_297: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x80800000; + valaddr_reg:x3; val_offset:594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 594*FLEN/8, x4, x1, x2) + +inst_298: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x800001; + valaddr_reg:x3; val_offset:596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 596*FLEN/8, x4, x1, x2) + +inst_299: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x80855555; + valaddr_reg:x3; val_offset:598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 598*FLEN/8, x4, x1, x2) + +inst_300: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 600*FLEN/8, x4, x1, x2) + +inst_301: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 602*FLEN/8, x4, x1, x2) + +inst_302: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7f800000; + valaddr_reg:x3; val_offset:604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 604*FLEN/8, x4, x1, x2) + +inst_303: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xff800000; + valaddr_reg:x3; val_offset:606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 606*FLEN/8, x4, x1, x2) + +inst_304: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 608*FLEN/8, x4, x1, x2) + +inst_305: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xffc00000; + valaddr_reg:x3; val_offset:610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 610*FLEN/8, x4, x1, x2) + +inst_306: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 612*FLEN/8, x4, x1, x2) + +inst_307: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xffc55555; + valaddr_reg:x3; val_offset:614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 614*FLEN/8, x4, x1, x2) + +inst_308: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7f800001; + valaddr_reg:x3; val_offset:616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 616*FLEN/8, x4, x1, x2) + +inst_309: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 618*FLEN/8, x4, x1, x2) + +inst_310: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x3f800000; + valaddr_reg:x3; val_offset:620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 620*FLEN/8, x4, x1, x2) + +inst_311: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xbf800000; + valaddr_reg:x3; val_offset:622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 622*FLEN/8, x4, x1, x2) + +inst_312: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x0; + valaddr_reg:x3; val_offset:624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 624*FLEN/8, x4, x1, x2) + +inst_313: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x80000000; + valaddr_reg:x3; val_offset:626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 626*FLEN/8, x4, x1, x2) + +inst_314: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x1; + valaddr_reg:x3; val_offset:628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 628*FLEN/8, x4, x1, x2) + +inst_315: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x80000001; + valaddr_reg:x3; val_offset:630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 630*FLEN/8, x4, x1, x2) + +inst_316: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x2; + valaddr_reg:x3; val_offset:632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 632*FLEN/8, x4, x1, x2) + +inst_317: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 634*FLEN/8, x4, x1, x2) + +inst_318: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7fffff; + valaddr_reg:x3; val_offset:636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 636*FLEN/8, x4, x1, x2) + +inst_319: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x807fffff; + valaddr_reg:x3; val_offset:638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 638*FLEN/8, x4, x1, x2) + +inst_320: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x800000; + valaddr_reg:x3; val_offset:640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 640*FLEN/8, x4, x1, x2) + +inst_321: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x80800000; + valaddr_reg:x3; val_offset:642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 642*FLEN/8, x4, x1, x2) + +inst_322: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x800001; + valaddr_reg:x3; val_offset:644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 644*FLEN/8, x4, x1, x2) + +inst_323: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x80855555; + valaddr_reg:x3; val_offset:646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 646*FLEN/8, x4, x1, x2) + +inst_324: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 648*FLEN/8, x4, x1, x2) + +inst_325: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 650*FLEN/8, x4, x1, x2) + +inst_326: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7f800000; + valaddr_reg:x3; val_offset:652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 652*FLEN/8, x4, x1, x2) + +inst_327: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xff800000; + valaddr_reg:x3; val_offset:654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 654*FLEN/8, x4, x1, x2) + +inst_328: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 656*FLEN/8, x4, x1, x2) + +inst_329: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xffc00000; + valaddr_reg:x3; val_offset:658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 658*FLEN/8, x4, x1, x2) + +inst_330: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 660*FLEN/8, x4, x1, x2) + +inst_331: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xffc55555; + valaddr_reg:x3; val_offset:662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 662*FLEN/8, x4, x1, x2) + +inst_332: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7f800001; + valaddr_reg:x3; val_offset:664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 664*FLEN/8, x4, x1, x2) + +inst_333: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 666*FLEN/8, x4, x1, x2) + +inst_334: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x3f800000; + valaddr_reg:x3; val_offset:668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 668*FLEN/8, x4, x1, x2) + +inst_335: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xbf800000; + valaddr_reg:x3; val_offset:670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 670*FLEN/8, x4, x1, x2) + +inst_336: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x0; + valaddr_reg:x3; val_offset:672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 672*FLEN/8, x4, x1, x2) + +inst_337: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 674*FLEN/8, x4, x1, x2) + +inst_338: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x1; + valaddr_reg:x3; val_offset:676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 676*FLEN/8, x4, x1, x2) + +inst_339: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 678*FLEN/8, x4, x1, x2) + +inst_340: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x2; + valaddr_reg:x3; val_offset:680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 680*FLEN/8, x4, x1, x2) + +inst_341: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 682*FLEN/8, x4, x1, x2) + +inst_342: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 684*FLEN/8, x4, x1, x2) + +inst_343: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 686*FLEN/8, x4, x1, x2) + +inst_344: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x800000; + valaddr_reg:x3; val_offset:688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 688*FLEN/8, x4, x1, x2) + +inst_345: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 690*FLEN/8, x4, x1, x2) + +inst_346: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x800001; + valaddr_reg:x3; val_offset:692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 692*FLEN/8, x4, x1, x2) + +inst_347: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 694*FLEN/8, x4, x1, x2) + +inst_348: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 696*FLEN/8, x4, x1, x2) + +inst_349: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 698*FLEN/8, x4, x1, x2) + +inst_350: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 700*FLEN/8, x4, x1, x2) + +inst_351: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 702*FLEN/8, x4, x1, x2) + +inst_352: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 704*FLEN/8, x4, x1, x2) + +inst_353: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 706*FLEN/8, x4, x1, x2) + +inst_354: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 708*FLEN/8, x4, x1, x2) + +inst_355: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 710*FLEN/8, x4, x1, x2) + +inst_356: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 712*FLEN/8, x4, x1, x2) + +inst_357: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 714*FLEN/8, x4, x1, x2) + +inst_358: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 716*FLEN/8, x4, x1, x2) + +inst_359: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 718*FLEN/8, x4, x1, x2) + +inst_360: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x0; + valaddr_reg:x3; val_offset:720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 720*FLEN/8, x4, x1, x2) + +inst_361: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 722*FLEN/8, x4, x1, x2) + +inst_362: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x1; + valaddr_reg:x3; val_offset:724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 724*FLEN/8, x4, x1, x2) + +inst_363: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 726*FLEN/8, x4, x1, x2) + +inst_364: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x2; + valaddr_reg:x3; val_offset:728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 728*FLEN/8, x4, x1, x2) + +inst_365: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 730*FLEN/8, x4, x1, x2) + +inst_366: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 732*FLEN/8, x4, x1, x2) + +inst_367: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 734*FLEN/8, x4, x1, x2) + +inst_368: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x800000; + valaddr_reg:x3; val_offset:736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 736*FLEN/8, x4, x1, x2) + +inst_369: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 738*FLEN/8, x4, x1, x2) + +inst_370: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x800001; + valaddr_reg:x3; val_offset:740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 740*FLEN/8, x4, x1, x2) + +inst_371: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 742*FLEN/8, x4, x1, x2) + +inst_372: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 744*FLEN/8, x4, x1, x2) + +inst_373: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 746*FLEN/8, x4, x1, x2) + +inst_374: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 748*FLEN/8, x4, x1, x2) + +inst_375: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 750*FLEN/8, x4, x1, x2) + +inst_376: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 752*FLEN/8, x4, x1, x2) + +inst_377: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 754*FLEN/8, x4, x1, x2) + +inst_378: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 756*FLEN/8, x4, x1, x2) + +inst_379: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 758*FLEN/8, x4, x1, x2) + +inst_380: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 760*FLEN/8, x4, x1, x2) + +inst_381: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 762*FLEN/8, x4, x1, x2) + +inst_382: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 764*FLEN/8, x4, x1, x2) + +inst_383: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 766*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_4) + +inst_384: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x0; + valaddr_reg:x3; val_offset:768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 768*FLEN/8, x4, x1, x2) + +inst_385: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x80000000; + valaddr_reg:x3; val_offset:770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 770*FLEN/8, x4, x1, x2) + +inst_386: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x1; + valaddr_reg:x3; val_offset:772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 772*FLEN/8, x4, x1, x2) + +inst_387: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x80000001; + valaddr_reg:x3; val_offset:774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 774*FLEN/8, x4, x1, x2) + +inst_388: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x2; + valaddr_reg:x3; val_offset:776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 776*FLEN/8, x4, x1, x2) + +inst_389: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 778*FLEN/8, x4, x1, x2) + +inst_390: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 780*FLEN/8, x4, x1, x2) + +inst_391: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 782*FLEN/8, x4, x1, x2) + +inst_392: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x800000; + valaddr_reg:x3; val_offset:784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 784*FLEN/8, x4, x1, x2) + +inst_393: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x80800000; + valaddr_reg:x3; val_offset:786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 786*FLEN/8, x4, x1, x2) + +inst_394: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x800001; + valaddr_reg:x3; val_offset:788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 788*FLEN/8, x4, x1, x2) + +inst_395: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x80855555; + valaddr_reg:x3; val_offset:790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 790*FLEN/8, x4, x1, x2) + +inst_396: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 792*FLEN/8, x4, x1, x2) + +inst_397: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 794*FLEN/8, x4, x1, x2) + +inst_398: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 796*FLEN/8, x4, x1, x2) + +inst_399: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xff800000; + valaddr_reg:x3; val_offset:798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 798*FLEN/8, x4, x1, x2) + +inst_400: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 800*FLEN/8, x4, x1, x2) + +inst_401: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 802*FLEN/8, x4, x1, x2) + +inst_402: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 804*FLEN/8, x4, x1, x2) + +inst_403: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 806*FLEN/8, x4, x1, x2) + +inst_404: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 808*FLEN/8, x4, x1, x2) + +inst_405: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 810*FLEN/8, x4, x1, x2) + +inst_406: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 812*FLEN/8, x4, x1, x2) + +inst_407: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 814*FLEN/8, x4, x1, x2) + +inst_408: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x0; + valaddr_reg:x3; val_offset:816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 816*FLEN/8, x4, x1, x2) + +inst_409: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x80000000; + valaddr_reg:x3; val_offset:818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 818*FLEN/8, x4, x1, x2) + +inst_410: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x1; + valaddr_reg:x3; val_offset:820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 820*FLEN/8, x4, x1, x2) + +inst_411: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x80000001; + valaddr_reg:x3; val_offset:822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 822*FLEN/8, x4, x1, x2) + +inst_412: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x2; + valaddr_reg:x3; val_offset:824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 824*FLEN/8, x4, x1, x2) + +inst_413: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 826*FLEN/8, x4, x1, x2) + +inst_414: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 828*FLEN/8, x4, x1, x2) + +inst_415: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 830*FLEN/8, x4, x1, x2) + +inst_416: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x800000; + valaddr_reg:x3; val_offset:832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 832*FLEN/8, x4, x1, x2) + +inst_417: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x80800000; + valaddr_reg:x3; val_offset:834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 834*FLEN/8, x4, x1, x2) + +inst_418: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x800001; + valaddr_reg:x3; val_offset:836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 836*FLEN/8, x4, x1, x2) + +inst_419: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x80855555; + valaddr_reg:x3; val_offset:838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 838*FLEN/8, x4, x1, x2) + +inst_420: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 840*FLEN/8, x4, x1, x2) + +inst_421: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 842*FLEN/8, x4, x1, x2) + +inst_422: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 844*FLEN/8, x4, x1, x2) + +inst_423: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xff800000; + valaddr_reg:x3; val_offset:846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 846*FLEN/8, x4, x1, x2) + +inst_424: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 848*FLEN/8, x4, x1, x2) + +inst_425: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 850*FLEN/8, x4, x1, x2) + +inst_426: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 852*FLEN/8, x4, x1, x2) + +inst_427: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 854*FLEN/8, x4, x1, x2) + +inst_428: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 856*FLEN/8, x4, x1, x2) + +inst_429: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 858*FLEN/8, x4, x1, x2) + +inst_430: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 860*FLEN/8, x4, x1, x2) + +inst_431: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 862*FLEN/8, x4, x1, x2) + +inst_432: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x0; + valaddr_reg:x3; val_offset:864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 864*FLEN/8, x4, x1, x2) + +inst_433: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x80000000; + valaddr_reg:x3; val_offset:866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 866*FLEN/8, x4, x1, x2) + +inst_434: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x1; + valaddr_reg:x3; val_offset:868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 868*FLEN/8, x4, x1, x2) + +inst_435: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x80000001; + valaddr_reg:x3; val_offset:870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 870*FLEN/8, x4, x1, x2) + +inst_436: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x2; + valaddr_reg:x3; val_offset:872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 872*FLEN/8, x4, x1, x2) + +inst_437: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 874*FLEN/8, x4, x1, x2) + +inst_438: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7fffff; + valaddr_reg:x3; val_offset:876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 876*FLEN/8, x4, x1, x2) + +inst_439: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x807fffff; + valaddr_reg:x3; val_offset:878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 878*FLEN/8, x4, x1, x2) + +inst_440: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x800000; + valaddr_reg:x3; val_offset:880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 880*FLEN/8, x4, x1, x2) + +inst_441: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x80800000; + valaddr_reg:x3; val_offset:882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 882*FLEN/8, x4, x1, x2) + +inst_442: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x800001; + valaddr_reg:x3; val_offset:884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 884*FLEN/8, x4, x1, x2) + +inst_443: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x80855555; + valaddr_reg:x3; val_offset:886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 886*FLEN/8, x4, x1, x2) + +inst_444: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 888*FLEN/8, x4, x1, x2) + +inst_445: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 890*FLEN/8, x4, x1, x2) + +inst_446: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7f800000; + valaddr_reg:x3; val_offset:892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 892*FLEN/8, x4, x1, x2) + +inst_447: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xff800000; + valaddr_reg:x3; val_offset:894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 894*FLEN/8, x4, x1, x2) + +inst_448: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 896*FLEN/8, x4, x1, x2) + +inst_449: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xffc00000; + valaddr_reg:x3; val_offset:898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 898*FLEN/8, x4, x1, x2) + +inst_450: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 900*FLEN/8, x4, x1, x2) + +inst_451: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xffc55555; + valaddr_reg:x3; val_offset:902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 902*FLEN/8, x4, x1, x2) + +inst_452: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7f800001; + valaddr_reg:x3; val_offset:904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 904*FLEN/8, x4, x1, x2) + +inst_453: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 906*FLEN/8, x4, x1, x2) + +inst_454: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x3f800000; + valaddr_reg:x3; val_offset:908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 908*FLEN/8, x4, x1, x2) + +inst_455: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xbf800000; + valaddr_reg:x3; val_offset:910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 910*FLEN/8, x4, x1, x2) + +inst_456: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x0; + valaddr_reg:x3; val_offset:912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 912*FLEN/8, x4, x1, x2) + +inst_457: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x80000000; + valaddr_reg:x3; val_offset:914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 914*FLEN/8, x4, x1, x2) + +inst_458: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x1; + valaddr_reg:x3; val_offset:916*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 916*FLEN/8, x4, x1, x2) + +inst_459: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x80000001; + valaddr_reg:x3; val_offset:918*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 918*FLEN/8, x4, x1, x2) + +inst_460: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x2; + valaddr_reg:x3; val_offset:920*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 920*FLEN/8, x4, x1, x2) + +inst_461: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:922*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 922*FLEN/8, x4, x1, x2) + +inst_462: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7fffff; + valaddr_reg:x3; val_offset:924*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 924*FLEN/8, x4, x1, x2) + +inst_463: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x807fffff; + valaddr_reg:x3; val_offset:926*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 926*FLEN/8, x4, x1, x2) + +inst_464: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x800000; + valaddr_reg:x3; val_offset:928*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 928*FLEN/8, x4, x1, x2) + +inst_465: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x80800000; + valaddr_reg:x3; val_offset:930*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 930*FLEN/8, x4, x1, x2) + +inst_466: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x800001; + valaddr_reg:x3; val_offset:932*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 932*FLEN/8, x4, x1, x2) + +inst_467: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x80855555; + valaddr_reg:x3; val_offset:934*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 934*FLEN/8, x4, x1, x2) + +inst_468: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:936*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 936*FLEN/8, x4, x1, x2) + +inst_469: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:938*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 938*FLEN/8, x4, x1, x2) + +inst_470: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7f800000; + valaddr_reg:x3; val_offset:940*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 940*FLEN/8, x4, x1, x2) + +inst_471: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xff800000; + valaddr_reg:x3; val_offset:942*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 942*FLEN/8, x4, x1, x2) + +inst_472: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:944*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 944*FLEN/8, x4, x1, x2) + +inst_473: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xffc00000; + valaddr_reg:x3; val_offset:946*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 946*FLEN/8, x4, x1, x2) + +inst_474: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:948*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 948*FLEN/8, x4, x1, x2) + +inst_475: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xffc55555; + valaddr_reg:x3; val_offset:950*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 950*FLEN/8, x4, x1, x2) + +inst_476: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7f800001; + valaddr_reg:x3; val_offset:952*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 952*FLEN/8, x4, x1, x2) + +inst_477: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:954*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 954*FLEN/8, x4, x1, x2) + +inst_478: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x3f800000; + valaddr_reg:x3; val_offset:956*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 956*FLEN/8, x4, x1, x2) + +inst_479: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xbf800000; + valaddr_reg:x3; val_offset:958*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 958*FLEN/8, x4, x1, x2) + +inst_480: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x0; + valaddr_reg:x3; val_offset:960*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 960*FLEN/8, x4, x1, x2) + +inst_481: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x80000000; + valaddr_reg:x3; val_offset:962*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 962*FLEN/8, x4, x1, x2) + +inst_482: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x1; + valaddr_reg:x3; val_offset:964*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 964*FLEN/8, x4, x1, x2) + +inst_483: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x80000001; + valaddr_reg:x3; val_offset:966*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 966*FLEN/8, x4, x1, x2) + +inst_484: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x2; + valaddr_reg:x3; val_offset:968*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 968*FLEN/8, x4, x1, x2) + +inst_485: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:970*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 970*FLEN/8, x4, x1, x2) + +inst_486: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7fffff; + valaddr_reg:x3; val_offset:972*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 972*FLEN/8, x4, x1, x2) + +inst_487: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x807fffff; + valaddr_reg:x3; val_offset:974*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 974*FLEN/8, x4, x1, x2) + +inst_488: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x800000; + valaddr_reg:x3; val_offset:976*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 976*FLEN/8, x4, x1, x2) + +inst_489: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x80800000; + valaddr_reg:x3; val_offset:978*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 978*FLEN/8, x4, x1, x2) + +inst_490: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x800001; + valaddr_reg:x3; val_offset:980*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 980*FLEN/8, x4, x1, x2) + +inst_491: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x80855555; + valaddr_reg:x3; val_offset:982*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 982*FLEN/8, x4, x1, x2) + +inst_492: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:984*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 984*FLEN/8, x4, x1, x2) + +inst_493: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:986*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 986*FLEN/8, x4, x1, x2) + +inst_494: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7f800000; + valaddr_reg:x3; val_offset:988*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 988*FLEN/8, x4, x1, x2) + +inst_495: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xff800000; + valaddr_reg:x3; val_offset:990*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 990*FLEN/8, x4, x1, x2) + +inst_496: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:992*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 992*FLEN/8, x4, x1, x2) + +inst_497: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xffc00000; + valaddr_reg:x3; val_offset:994*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 994*FLEN/8, x4, x1, x2) + +inst_498: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:996*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 996*FLEN/8, x4, x1, x2) + +inst_499: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xffc55555; + valaddr_reg:x3; val_offset:998*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 998*FLEN/8, x4, x1, x2) + +inst_500: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7f800001; + valaddr_reg:x3; val_offset:1000*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1000*FLEN/8, x4, x1, x2) + +inst_501: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:1002*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1002*FLEN/8, x4, x1, x2) + +inst_502: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x3f800000; + valaddr_reg:x3; val_offset:1004*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1004*FLEN/8, x4, x1, x2) + +inst_503: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xbf800000; + valaddr_reg:x3; val_offset:1006*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1006*FLEN/8, x4, x1, x2) + +inst_504: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x0; + valaddr_reg:x3; val_offset:1008*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1008*FLEN/8, x4, x1, x2) + +inst_505: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x80000000; + valaddr_reg:x3; val_offset:1010*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1010*FLEN/8, x4, x1, x2) + +inst_506: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x1; + valaddr_reg:x3; val_offset:1012*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1012*FLEN/8, x4, x1, x2) + +inst_507: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x80000001; + valaddr_reg:x3; val_offset:1014*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1014*FLEN/8, x4, x1, x2) + +inst_508: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x2; + valaddr_reg:x3; val_offset:1016*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1016*FLEN/8, x4, x1, x2) + +inst_509: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:1018*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1018*FLEN/8, x4, x1, x2) + +inst_510: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7fffff; + valaddr_reg:x3; val_offset:1020*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1020*FLEN/8, x4, x1, x2) + +inst_511: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x807fffff; + valaddr_reg:x3; val_offset:1022*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1022*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_5) + +inst_512: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x800000; + valaddr_reg:x3; val_offset:1024*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1024*FLEN/8, x4, x1, x2) + +inst_513: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x80800000; + valaddr_reg:x3; val_offset:1026*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1026*FLEN/8, x4, x1, x2) + +inst_514: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x800001; + valaddr_reg:x3; val_offset:1028*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1028*FLEN/8, x4, x1, x2) + +inst_515: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x80855555; + valaddr_reg:x3; val_offset:1030*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1030*FLEN/8, x4, x1, x2) + +inst_516: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1032*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1032*FLEN/8, x4, x1, x2) + +inst_517: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1034*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1034*FLEN/8, x4, x1, x2) + +inst_518: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7f800000; + valaddr_reg:x3; val_offset:1036*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1036*FLEN/8, x4, x1, x2) + +inst_519: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xff800000; + valaddr_reg:x3; val_offset:1038*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1038*FLEN/8, x4, x1, x2) + +inst_520: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:1040*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1040*FLEN/8, x4, x1, x2) + +inst_521: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xffc00000; + valaddr_reg:x3; val_offset:1042*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1042*FLEN/8, x4, x1, x2) + +inst_522: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:1044*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1044*FLEN/8, x4, x1, x2) + +inst_523: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xffc55555; + valaddr_reg:x3; val_offset:1046*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1046*FLEN/8, x4, x1, x2) + +inst_524: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7f800001; + valaddr_reg:x3; val_offset:1048*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1048*FLEN/8, x4, x1, x2) + +inst_525: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:1050*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1050*FLEN/8, x4, x1, x2) + +inst_526: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x3f800000; + valaddr_reg:x3; val_offset:1052*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1052*FLEN/8, x4, x1, x2) + +inst_527: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xbf800000; + valaddr_reg:x3; val_offset:1054*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1054*FLEN/8, x4, x1, x2) + +inst_528: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x0; + valaddr_reg:x3; val_offset:1056*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1056*FLEN/8, x4, x1, x2) + +inst_529: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:1058*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1058*FLEN/8, x4, x1, x2) + +inst_530: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x1; + valaddr_reg:x3; val_offset:1060*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1060*FLEN/8, x4, x1, x2) + +inst_531: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:1062*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1062*FLEN/8, x4, x1, x2) + +inst_532: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x2; + valaddr_reg:x3; val_offset:1064*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1064*FLEN/8, x4, x1, x2) + +inst_533: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:1066*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1066*FLEN/8, x4, x1, x2) + +inst_534: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:1068*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1068*FLEN/8, x4, x1, x2) + +inst_535: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:1070*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1070*FLEN/8, x4, x1, x2) + +inst_536: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x800000; + valaddr_reg:x3; val_offset:1072*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1072*FLEN/8, x4, x1, x2) + +inst_537: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:1074*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1074*FLEN/8, x4, x1, x2) + +inst_538: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x800001; + valaddr_reg:x3; val_offset:1076*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1076*FLEN/8, x4, x1, x2) + +inst_539: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:1078*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1078*FLEN/8, x4, x1, x2) + +inst_540: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1080*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1080*FLEN/8, x4, x1, x2) + +inst_541: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1082*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1082*FLEN/8, x4, x1, x2) + +inst_542: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:1084*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1084*FLEN/8, x4, x1, x2) + +inst_543: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:1086*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1086*FLEN/8, x4, x1, x2) + +inst_544: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:1088*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1088*FLEN/8, x4, x1, x2) + +inst_545: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:1090*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1090*FLEN/8, x4, x1, x2) + +inst_546: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:1092*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1092*FLEN/8, x4, x1, x2) + +inst_547: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:1094*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1094*FLEN/8, x4, x1, x2) + +inst_548: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:1096*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1096*FLEN/8, x4, x1, x2) + +inst_549: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:1098*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1098*FLEN/8, x4, x1, x2) + +inst_550: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:1100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1100*FLEN/8, x4, x1, x2) + +inst_551: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:1102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1102*FLEN/8, x4, x1, x2) + +inst_552: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x0; + valaddr_reg:x3; val_offset:1104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1104*FLEN/8, x4, x1, x2) + +inst_553: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:1106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1106*FLEN/8, x4, x1, x2) + +inst_554: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x1; + valaddr_reg:x3; val_offset:1108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1108*FLEN/8, x4, x1, x2) + +inst_555: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:1110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1110*FLEN/8, x4, x1, x2) + +inst_556: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x2; + valaddr_reg:x3; val_offset:1112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1112*FLEN/8, x4, x1, x2) + +inst_557: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:1114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1114*FLEN/8, x4, x1, x2) + +inst_558: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:1116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1116*FLEN/8, x4, x1, x2) + +inst_559: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:1118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1118*FLEN/8, x4, x1, x2) + +inst_560: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x800000; + valaddr_reg:x3; val_offset:1120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1120*FLEN/8, x4, x1, x2) + +inst_561: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:1122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1122*FLEN/8, x4, x1, x2) + +inst_562: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x800001; + valaddr_reg:x3; val_offset:1124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1124*FLEN/8, x4, x1, x2) + +inst_563: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:1126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1126*FLEN/8, x4, x1, x2) + +inst_564: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1128*FLEN/8, x4, x1, x2) + +inst_565: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1130*FLEN/8, x4, x1, x2) + +inst_566: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:1132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1132*FLEN/8, x4, x1, x2) + +inst_567: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:1134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1134*FLEN/8, x4, x1, x2) + +inst_568: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:1136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1136*FLEN/8, x4, x1, x2) + +inst_569: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:1138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1138*FLEN/8, x4, x1, x2) + +inst_570: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:1140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1140*FLEN/8, x4, x1, x2) + +inst_571: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:1142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1142*FLEN/8, x4, x1, x2) + +inst_572: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:1144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1144*FLEN/8, x4, x1, x2) + +inst_573: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:1146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1146*FLEN/8, x4, x1, x2) + +inst_574: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:1148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1148*FLEN/8, x4, x1, x2) + +inst_575: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:1150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1150*FLEN/8, x4, x1, x2) + +inst_576: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:1152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1152*FLEN/8, x4, x1, x2) + +inst_577: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x80000000; + valaddr_reg:x3; val_offset:1154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1154*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_5: + .fill 132*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/F_Zfa/src/fmaxm_b19-01.S b/riscv-test-suite/rv64i_m/F_Zfa/src/fmaxm_b19-01.S new file mode 100644 index 000000000..37748b28e --- /dev/null +++ b/riscv-test-suite/rv64i_m/F_Zfa/src/fmaxm_b19-01.S @@ -0,0 +1,9704 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:33:38 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fmaxm.s.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fmaxm.s instruction of the RISC-V RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fmaxm_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fmaxm_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 == rs2 != rd, rs1==f30, rs2==f30, rd==f31,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f30; dest:f31; op1val:0x7dce622b; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 == rs2 == rd, rs1==f29, rs2==f29, rd==f29,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f29; op2:f29; dest:f29; op1val:0x7dce622b; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f29, f29, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs2 == rd != rs1, rs1==f31, rs2==f28, rd==f28,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x183299 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f31; op2:f28; dest:f28; op1val:0x7f7fffff; op2val:0x7d183299; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f28, f31, f28, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs1 == rd != rs2, rs1==f27, rs2==f31, rd==f27,fs1 == 0 and fe1 == 0xfa and fm1 == 0x183299 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f27; op2:f31; dest:f27; op1val:0x7d183299; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f27, f27, f31, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f28, rs2==f27, rd==f30,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f28; op2:f27; dest:f30; op1val:0x7f7fffff; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f30, f28, f27, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rs2==f24, rd==f26,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f25; op2:f24; dest:f26; op1val:0x7dce622b; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f26, f25, f24, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f24, rs2==f26, rd==f25,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f24; op2:f26; dest:f25; op1val:0x7dce622b; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f25, f24, f26, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f26, rs2==f25, rd==f24,fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f26; op2:f25; dest:f24; op1val:0x7d902b16; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f24, f26, f25, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f22, rs2==f21, rd==f23,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f22; op2:f21; dest:f23; op1val:0x7dce622b; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f23, f22, f21, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rs2==f23, rd==f22,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x6a2c24 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f21; op2:f23; dest:f22; op1val:0x7f7fffff; op2val:0x7d6a2c24; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f22, f21, f23, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f23, rs2==f22, rd==f21,fs1 == 0 and fe1 == 0xfa and fm1 == 0x6a2c24 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f23; op2:f22; dest:f21; op1val:0x7d6a2c24; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f21, f23, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rs2==f18, rd==f20,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f19; op2:f18; dest:f20; op1val:0x7f7fffff; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f20, f19, f18, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f18, rs2==f20, rd==f19,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f18; op2:f20; dest:f19; op1val:0x7dce622b; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f19, f18, f20, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f20, rs2==f19, rd==f18,fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f20; op2:f19; dest:f18; op1val:0x7e2fb07b; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f18, f20, f19, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f16, rs2==f15, rd==f17,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f16; op2:f15; dest:f17; op1val:0x7dce622b; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f17, f16, f15, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rs2==f17, rd==f16,fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f15; op2:f17; dest:f16; op1val:0xfdea577e; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f16, f15, f17, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f17, rs2==f16, rd==f15,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f17; op2:f16; dest:f15; op1val:0x7dce622b; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f15, f17, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rs2==f12, rd==f14,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x291dc8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f13; op2:f12; dest:f14; op1val:0x7f7fffff; op2val:0xfd291dc8; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f14, f13, f12, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f12, rs2==f14, rd==f13,fs1 == 1 and fe1 == 0xfa and fm1 == 0x291dc8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f12; op2:f14; dest:f13; op1val:0xfd291dc8; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f13, f12, f14, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f14, rs2==f13, rd==f12,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f14; op2:f13; dest:f12; op1val:0x7f7fffff; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f12, f14, f13, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f10, rs2==f9, rd==f11,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f10; op2:f9; dest:f11; op1val:0x7dce622b; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f11, f10, f9, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rs2==f11, rd==f10,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfb and fm2 == 0x153eee and fcsr == 0 +/* opcode: fmaxm.s ; op1:f9; op2:f11; dest:f10; op1val:0x7f7fffff; op2val:0xfd953eee; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f10, f9, f11, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f11, rs2==f10, rd==f9,fs1 == 1 and fe1 == 0xfb and fm1 == 0x153eee and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f11; op2:f10; dest:f9; op1val:0xfd953eee; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f9, f11, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rs2==f6, rd==f8,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f7; op2:f6; dest:f8; op1val:0x7f7fffff; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f8, f7, f6, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f6, rs2==f8, rd==f7,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f6; op2:f8; dest:f7; op1val:0x7dce622b; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f7, f6, f8, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f8, rs2==f7, rd==f6,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfb and fm2 == 0x1946c8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f8; op2:f7; dest:f6; op1val:0x7f7fffff; op2val:0xfd9946c8; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f6, f8, f7, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f4, rs2==f3, rd==f5,fs1 == 1 and fe1 == 0xfb and fm1 == 0x1946c8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f4; op2:f3; dest:f5; op1val:0xfd9946c8; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f5, f4, f3, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rs2==f5, rd==f4,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f3; op2:f5; dest:f4; op1val:0x7f7fffff; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f4, f3, f5, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f5, rs2==f4, rd==f3,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f5; op2:f4; dest:f3; op1val:0x7dce622b; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f3, f5, f4, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rs2==f0, rd==f2,fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f1; op2:f0; dest:f2; op1val:0xfd2820df; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f2, f1, f0, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f0, rs2==f2, rd==f1,fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f0; op2:f2; dest:f1; op1val:0x7dce622b; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f1, f0, f2, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f2, rs2==f1, rd==f0,fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x07167c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f2; op2:f1; dest:f0; op1val:0x255707; op2val:0x7e07167c; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f0, f2, f1, 0, 0, x3, 62*FLEN/8, x4, x1, x2) + +inst_32: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x07167c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e07167c; op2val:0x255707; + valaddr_reg:x3; val_offset:64*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 64*FLEN/8, x4, x1, x2) + +inst_33: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:66*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 66*FLEN/8, x4, x1, x2) + +inst_34: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x255707; + valaddr_reg:x3; val_offset:68*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 68*FLEN/8, x4, x1, x2) + +inst_35: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:70*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 70*FLEN/8, x4, x1, x2) + +inst_36: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x667e2a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x7de67e2a; + valaddr_reg:x3; val_offset:72*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 72*FLEN/8, x4, x1, x2) + +inst_37: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x667e2a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7de67e2a; op2val:0x255707; + valaddr_reg:x3; val_offset:74*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 74*FLEN/8, x4, x1, x2) + +inst_38: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:76*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 76*FLEN/8, x4, x1, x2) + +inst_39: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x357d2c; + valaddr_reg:x3; val_offset:78*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 78*FLEN/8, x4, x1, x2) + +inst_40: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x13d219 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x7e13d219; + valaddr_reg:x3; val_offset:80*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 80*FLEN/8, x4, x1, x2) + +inst_41: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x13d219 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e13d219; op2val:0x255707; + valaddr_reg:x3; val_offset:82*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 82*FLEN/8, x4, x1, x2) + +inst_42: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x357d2c; + valaddr_reg:x3; val_offset:84*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 84*FLEN/8, x4, x1, x2) + +inst_43: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x1c8139; + valaddr_reg:x3; val_offset:86*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 86*FLEN/8, x4, x1, x2) + +inst_44: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x1d8cd6 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x7d9d8cd6; + valaddr_reg:x3; val_offset:88*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 88*FLEN/8, x4, x1, x2) + +inst_45: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d8cd6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d9d8cd6; op2val:0x255707; + valaddr_reg:x3; val_offset:90*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 90*FLEN/8, x4, x1, x2) + +inst_46: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x1c8139; + valaddr_reg:x3; val_offset:92*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 92*FLEN/8, x4, x1, x2) + +inst_47: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:94*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 94*FLEN/8, x4, x1, x2) + +inst_48: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x1f6f2f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x7e1f6f2f; + valaddr_reg:x3; val_offset:96*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 96*FLEN/8, x4, x1, x2) + +inst_49: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x1f6f2f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e1f6f2f; op2val:0x255707; + valaddr_reg:x3; val_offset:98*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 98*FLEN/8, x4, x1, x2) + +inst_50: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 100*FLEN/8, x4, x1, x2) + +inst_51: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x802facf2; + valaddr_reg:x3; val_offset:102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 102*FLEN/8, x4, x1, x2) + +inst_52: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x03c146 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0xfe03c146; + valaddr_reg:x3; val_offset:104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 104*FLEN/8, x4, x1, x2) + +inst_53: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03c146 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03c146; op2val:0x255707; + valaddr_reg:x3; val_offset:106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 106*FLEN/8, x4, x1, x2) + +inst_54: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x802facf2; + valaddr_reg:x3; val_offset:108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 108*FLEN/8, x4, x1, x2) + +inst_55: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x800d858e; + valaddr_reg:x3; val_offset:110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 110*FLEN/8, x4, x1, x2) + +inst_56: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x157915 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0xfd157915; + valaddr_reg:x3; val_offset:112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 112*FLEN/8, x4, x1, x2) + +inst_57: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x157915 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd157915; op2val:0x255707; + valaddr_reg:x3; val_offset:114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 114*FLEN/8, x4, x1, x2) + +inst_58: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x800d858e; + valaddr_reg:x3; val_offset:116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 116*FLEN/8, x4, x1, x2) + +inst_59: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 118*FLEN/8, x4, x1, x2) + +inst_60: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x48a6ca and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0xfdc8a6ca; + valaddr_reg:x3; val_offset:120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 120*FLEN/8, x4, x1, x2) + +inst_61: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x48a6ca and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdc8a6ca; op2val:0x255707; + valaddr_reg:x3; val_offset:122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 122*FLEN/8, x4, x1, x2) + +inst_62: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 124*FLEN/8, x4, x1, x2) + +inst_63: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x8011d249; + valaddr_reg:x3; val_offset:126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 126*FLEN/8, x4, x1, x2) + +inst_64: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x4500e4 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0xfd4500e4; + valaddr_reg:x3; val_offset:128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 128*FLEN/8, x4, x1, x2) + +inst_65: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x4500e4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd4500e4; op2val:0x255707; + valaddr_reg:x3; val_offset:130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 130*FLEN/8, x4, x1, x2) + +inst_66: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x8011d249; + valaddr_reg:x3; val_offset:132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 132*FLEN/8, x4, x1, x2) + +inst_67: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 134*FLEN/8, x4, x1, x2) + +inst_68: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x2b7553 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0xfe2b7553; + valaddr_reg:x3; val_offset:136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 136*FLEN/8, x4, x1, x2) + +inst_69: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2b7553 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x255707 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2b7553; op2val:0x255707; + valaddr_reg:x3; val_offset:138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 138*FLEN/8, x4, x1, x2) + +inst_70: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x255707 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x255707; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 140*FLEN/8, x4, x1, x2) + +inst_71: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x7f0; + valaddr_reg:x3; val_offset:142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 142*FLEN/8, x4, x1, x2) + +inst_72: +// fs1 == 0 and fe1 == 0x80 and fm1 == 0x5b76ec and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x405b76ec; op2val:0x7f0; + valaddr_reg:x3; val_offset:144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 144*FLEN/8, x4, x1, x2) + +inst_73: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x5b76ec and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x405b76ec; + valaddr_reg:x3; val_offset:146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 146*FLEN/8, x4, x1, x2) + +inst_74: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0x80 and fm2 == 0x5b76ec and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x405b76ec; + valaddr_reg:x3; val_offset:148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 148*FLEN/8, x4, x1, x2) + +inst_75: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 150*FLEN/8, x4, x1, x2) + +inst_76: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x183299 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d183299; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 152*FLEN/8, x4, x1, x2) + +inst_77: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0xfa and fm2 == 0x183299 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x7d183299; + valaddr_reg:x3; val_offset:154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 154*FLEN/8, x4, x1, x2) + +inst_78: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 156*FLEN/8, x4, x1, x2) + +inst_79: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 158*FLEN/8, x4, x1, x2) + +inst_80: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x183299 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3435dc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d183299; op2val:0x7f3435dc; + valaddr_reg:x3; val_offset:160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 160*FLEN/8, x4, x1, x2) + +inst_81: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3435dc and fs2 == 0 and fe2 == 0xfa and fm2 == 0x183299 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f3435dc; op2val:0x7d183299; + valaddr_reg:x3; val_offset:162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 162*FLEN/8, x4, x1, x2) + +inst_82: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x183299 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d183299; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 164*FLEN/8, x4, x1, x2) + +inst_83: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 166*FLEN/8, x4, x1, x2) + +inst_84: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 168*FLEN/8, x4, x1, x2) + +inst_85: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 170*FLEN/8, x4, x1, x2) + +inst_86: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x183299 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d183299; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 172*FLEN/8, x4, x1, x2) + +inst_87: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 174*FLEN/8, x4, x1, x2) + +inst_88: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x183299 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d183299; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 176*FLEN/8, x4, x1, x2) + +inst_89: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x183299 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7d183299; + valaddr_reg:x3; val_offset:178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 178*FLEN/8, x4, x1, x2) + +inst_90: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x183299 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d183299; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 180*FLEN/8, x4, x1, x2) + +inst_91: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 182*FLEN/8, x4, x1, x2) + +inst_92: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 184*FLEN/8, x4, x1, x2) + +inst_93: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 186*FLEN/8, x4, x1, x2) + +inst_94: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 188*FLEN/8, x4, x1, x2) + +inst_95: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 190*FLEN/8, x4, x1, x2) + +inst_96: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 192*FLEN/8, x4, x1, x2) + +inst_97: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 194*FLEN/8, x4, x1, x2) + +inst_98: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x183299 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x522917 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d183299; op2val:0xfed22917; + valaddr_reg:x3; val_offset:196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 196*FLEN/8, x4, x1, x2) + +inst_99: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x522917 and fs2 == 0 and fe2 == 0xfa and fm2 == 0x183299 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed22917; op2val:0x7d183299; + valaddr_reg:x3; val_offset:198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 198*FLEN/8, x4, x1, x2) + +inst_100: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x183299 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d183299; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 200*FLEN/8, x4, x1, x2) + +inst_101: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 202*FLEN/8, x4, x1, x2) + +inst_102: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 204*FLEN/8, x4, x1, x2) + +inst_103: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0dc4a8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xdc4a8; + valaddr_reg:x3; val_offset:206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 206*FLEN/8, x4, x1, x2) + +inst_104: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 208*FLEN/8, x4, x1, x2) + +inst_105: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0dc4a8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0xdc4a8; + valaddr_reg:x3; val_offset:210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 210*FLEN/8, x4, x1, x2) + +inst_106: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 212*FLEN/8, x4, x1, x2) + +inst_107: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 214*FLEN/8, x4, x1, x2) + +inst_108: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x357d2c; + valaddr_reg:x3; val_offset:216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 216*FLEN/8, x4, x1, x2) + +inst_109: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x357d2c; + valaddr_reg:x3; val_offset:218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 218*FLEN/8, x4, x1, x2) + +inst_110: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x1c8139; + valaddr_reg:x3; val_offset:220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 220*FLEN/8, x4, x1, x2) + +inst_111: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44f00b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x7f44f00b; + valaddr_reg:x3; val_offset:222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 222*FLEN/8, x4, x1, x2) + +inst_112: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44f00b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0dc4a8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f44f00b; op2val:0xdc4a8; + valaddr_reg:x3; val_offset:224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 224*FLEN/8, x4, x1, x2) + +inst_113: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x1c8139; + valaddr_reg:x3; val_offset:226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 226*FLEN/8, x4, x1, x2) + +inst_114: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 228*FLEN/8, x4, x1, x2) + +inst_115: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 230*FLEN/8, x4, x1, x2) + +inst_116: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x802facf2; + valaddr_reg:x3; val_offset:232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 232*FLEN/8, x4, x1, x2) + +inst_117: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 234*FLEN/8, x4, x1, x2) + +inst_118: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0dc4a8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xdc4a8; + valaddr_reg:x3; val_offset:236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 236*FLEN/8, x4, x1, x2) + +inst_119: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x802facf2; + valaddr_reg:x3; val_offset:238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 238*FLEN/8, x4, x1, x2) + +inst_120: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x800d858e; + valaddr_reg:x3; val_offset:240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 240*FLEN/8, x4, x1, x2) + +inst_121: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x3ad75a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0xfebad75a; + valaddr_reg:x3; val_offset:242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 242*FLEN/8, x4, x1, x2) + +inst_122: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x3ad75a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0dc4a8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfebad75a; op2val:0xdc4a8; + valaddr_reg:x3; val_offset:244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 244*FLEN/8, x4, x1, x2) + +inst_123: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x800d858e; + valaddr_reg:x3; val_offset:246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 246*FLEN/8, x4, x1, x2) + +inst_124: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 248*FLEN/8, x4, x1, x2) + +inst_125: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7ad07d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0xff7ad07d; + valaddr_reg:x3; val_offset:250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 250*FLEN/8, x4, x1, x2) + +inst_126: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7ad07d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0dc4a8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7ad07d; op2val:0xdc4a8; + valaddr_reg:x3; val_offset:252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 252*FLEN/8, x4, x1, x2) + +inst_127: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 254*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_2) + +inst_128: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x8011d249; + valaddr_reg:x3; val_offset:256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 256*FLEN/8, x4, x1, x2) + +inst_129: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x76411d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0xfef6411d; + valaddr_reg:x3; val_offset:258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 258*FLEN/8, x4, x1, x2) + +inst_130: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x76411d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0dc4a8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfef6411d; op2val:0xdc4a8; + valaddr_reg:x3; val_offset:260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 260*FLEN/8, x4, x1, x2) + +inst_131: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x8011d249; + valaddr_reg:x3; val_offset:262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 262*FLEN/8, x4, x1, x2) + +inst_132: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 264*FLEN/8, x4, x1, x2) + +inst_133: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0dc4a8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xdc4a8; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 266*FLEN/8, x4, x1, x2) + +inst_134: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x7f0; + valaddr_reg:x3; val_offset:268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 268*FLEN/8, x4, x1, x2) + +inst_135: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x21d824 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3fa1d824; op2val:0x7f0; + valaddr_reg:x3; val_offset:270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 270*FLEN/8, x4, x1, x2) + +inst_136: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x21d824 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x3fa1d824; + valaddr_reg:x3; val_offset:272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 272*FLEN/8, x4, x1, x2) + +inst_137: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x3e3f3f and fs2 == 0 and fe2 == 0x7f and fm2 == 0x21d824 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ebe3f3f; op2val:0x3fa1d824; + valaddr_reg:x3; val_offset:274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 274*FLEN/8, x4, x1, x2) + +inst_138: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 276*FLEN/8, x4, x1, x2) + +inst_139: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 278*FLEN/8, x4, x1, x2) + +inst_140: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3435dc and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f3435dc; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 280*FLEN/8, x4, x1, x2) + +inst_141: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3435dc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x7f3435dc; + valaddr_reg:x3; val_offset:282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 282*FLEN/8, x4, x1, x2) + +inst_142: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 284*FLEN/8, x4, x1, x2) + +inst_143: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3435dc and fs2 == 0 and fe2 == 0xfa and fm2 == 0x6a2c24 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f3435dc; op2val:0x7d6a2c24; + valaddr_reg:x3; val_offset:286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 286*FLEN/8, x4, x1, x2) + +inst_144: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x6a2c24 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3435dc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d6a2c24; op2val:0x7f3435dc; + valaddr_reg:x3; val_offset:288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 288*FLEN/8, x4, x1, x2) + +inst_145: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3435dc and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f3435dc; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 290*FLEN/8, x4, x1, x2) + +inst_146: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 292*FLEN/8, x4, x1, x2) + +inst_147: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 294*FLEN/8, x4, x1, x2) + +inst_148: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 296*FLEN/8, x4, x1, x2) + +inst_149: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 298*FLEN/8, x4, x1, x2) + +inst_150: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 300*FLEN/8, x4, x1, x2) + +inst_151: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3435dc and fs2 == 1 and fe2 == 0xfa and fm2 == 0x291dc8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f3435dc; op2val:0xfd291dc8; + valaddr_reg:x3; val_offset:302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 302*FLEN/8, x4, x1, x2) + +inst_152: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x291dc8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3435dc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd291dc8; op2val:0x7f3435dc; + valaddr_reg:x3; val_offset:304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 304*FLEN/8, x4, x1, x2) + +inst_153: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3435dc and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f3435dc; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 306*FLEN/8, x4, x1, x2) + +inst_154: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 308*FLEN/8, x4, x1, x2) + +inst_155: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3435dc and fs2 == 1 and fe2 == 0xfb and fm2 == 0x153eee and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f3435dc; op2val:0xfd953eee; + valaddr_reg:x3; val_offset:310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 310*FLEN/8, x4, x1, x2) + +inst_156: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x153eee and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3435dc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd953eee; op2val:0x7f3435dc; + valaddr_reg:x3; val_offset:312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 312*FLEN/8, x4, x1, x2) + +inst_157: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3435dc and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f3435dc; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 314*FLEN/8, x4, x1, x2) + +inst_158: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 316*FLEN/8, x4, x1, x2) + +inst_159: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3435dc and fs2 == 1 and fe2 == 0xfb and fm2 == 0x1946c8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f3435dc; op2val:0xfd9946c8; + valaddr_reg:x3; val_offset:318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 318*FLEN/8, x4, x1, x2) + +inst_160: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x1946c8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x3435dc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd9946c8; op2val:0x7f3435dc; + valaddr_reg:x3; val_offset:320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 320*FLEN/8, x4, x1, x2) + +inst_161: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x3435dc and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f3435dc; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 322*FLEN/8, x4, x1, x2) + +inst_162: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 324*FLEN/8, x4, x1, x2) + +inst_163: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 326*FLEN/8, x4, x1, x2) + +inst_164: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 328*FLEN/8, x4, x1, x2) + +inst_165: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x07167c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x7e07167c; + valaddr_reg:x3; val_offset:330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 330*FLEN/8, x4, x1, x2) + +inst_166: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x07167c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a156b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e07167c; op2val:0x1a156b; + valaddr_reg:x3; val_offset:332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 332*FLEN/8, x4, x1, x2) + +inst_167: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 334*FLEN/8, x4, x1, x2) + +inst_168: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a156b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x1a156b; + valaddr_reg:x3; val_offset:336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 336*FLEN/8, x4, x1, x2) + +inst_169: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 338*FLEN/8, x4, x1, x2) + +inst_170: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x667e2a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x7de67e2a; + valaddr_reg:x3; val_offset:340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 340*FLEN/8, x4, x1, x2) + +inst_171: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x667e2a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a156b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7de67e2a; op2val:0x1a156b; + valaddr_reg:x3; val_offset:342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 342*FLEN/8, x4, x1, x2) + +inst_172: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 344*FLEN/8, x4, x1, x2) + +inst_173: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x357d2c; + valaddr_reg:x3; val_offset:346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 346*FLEN/8, x4, x1, x2) + +inst_174: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x13d219 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x7e13d219; + valaddr_reg:x3; val_offset:348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 348*FLEN/8, x4, x1, x2) + +inst_175: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x13d219 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a156b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e13d219; op2val:0x1a156b; + valaddr_reg:x3; val_offset:350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 350*FLEN/8, x4, x1, x2) + +inst_176: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x357d2c; + valaddr_reg:x3; val_offset:352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 352*FLEN/8, x4, x1, x2) + +inst_177: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x1c8139; + valaddr_reg:x3; val_offset:354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 354*FLEN/8, x4, x1, x2) + +inst_178: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x1d8cd6 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x7d9d8cd6; + valaddr_reg:x3; val_offset:356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 356*FLEN/8, x4, x1, x2) + +inst_179: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d8cd6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a156b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d9d8cd6; op2val:0x1a156b; + valaddr_reg:x3; val_offset:358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 358*FLEN/8, x4, x1, x2) + +inst_180: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x1c8139; + valaddr_reg:x3; val_offset:360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 360*FLEN/8, x4, x1, x2) + +inst_181: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 362*FLEN/8, x4, x1, x2) + +inst_182: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x1f6f2f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x7e1f6f2f; + valaddr_reg:x3; val_offset:364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 364*FLEN/8, x4, x1, x2) + +inst_183: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x1f6f2f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a156b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e1f6f2f; op2val:0x1a156b; + valaddr_reg:x3; val_offset:366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 366*FLEN/8, x4, x1, x2) + +inst_184: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 368*FLEN/8, x4, x1, x2) + +inst_185: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x802facf2; + valaddr_reg:x3; val_offset:370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 370*FLEN/8, x4, x1, x2) + +inst_186: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 1 and fe2 == 0xfc and fm2 == 0x03c146 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0xfe03c146; + valaddr_reg:x3; val_offset:372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 372*FLEN/8, x4, x1, x2) + +inst_187: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03c146 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a156b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03c146; op2val:0x1a156b; + valaddr_reg:x3; val_offset:374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 374*FLEN/8, x4, x1, x2) + +inst_188: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x802facf2; + valaddr_reg:x3; val_offset:376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 376*FLEN/8, x4, x1, x2) + +inst_189: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x800d858e; + valaddr_reg:x3; val_offset:378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 378*FLEN/8, x4, x1, x2) + +inst_190: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 1 and fe2 == 0xfa and fm2 == 0x157915 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0xfd157915; + valaddr_reg:x3; val_offset:380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 380*FLEN/8, x4, x1, x2) + +inst_191: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x157915 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a156b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd157915; op2val:0x1a156b; + valaddr_reg:x3; val_offset:382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 382*FLEN/8, x4, x1, x2) + +inst_192: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x800d858e; + valaddr_reg:x3; val_offset:384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 384*FLEN/8, x4, x1, x2) + +inst_193: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 386*FLEN/8, x4, x1, x2) + +inst_194: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 1 and fe2 == 0xfb and fm2 == 0x48a6ca and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0xfdc8a6ca; + valaddr_reg:x3; val_offset:388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 388*FLEN/8, x4, x1, x2) + +inst_195: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x48a6ca and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a156b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdc8a6ca; op2val:0x1a156b; + valaddr_reg:x3; val_offset:390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 390*FLEN/8, x4, x1, x2) + +inst_196: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 392*FLEN/8, x4, x1, x2) + +inst_197: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x8011d249; + valaddr_reg:x3; val_offset:394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 394*FLEN/8, x4, x1, x2) + +inst_198: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 1 and fe2 == 0xfa and fm2 == 0x4500e4 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0xfd4500e4; + valaddr_reg:x3; val_offset:396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 396*FLEN/8, x4, x1, x2) + +inst_199: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x4500e4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a156b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd4500e4; op2val:0x1a156b; + valaddr_reg:x3; val_offset:398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 398*FLEN/8, x4, x1, x2) + +inst_200: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x8011d249; + valaddr_reg:x3; val_offset:400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 400*FLEN/8, x4, x1, x2) + +inst_201: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 402*FLEN/8, x4, x1, x2) + +inst_202: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 1 and fe2 == 0xfc and fm2 == 0x2b7553 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0xfe2b7553; + valaddr_reg:x3; val_offset:404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 404*FLEN/8, x4, x1, x2) + +inst_203: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2b7553 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1a156b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2b7553; op2val:0x1a156b; + valaddr_reg:x3; val_offset:406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 406*FLEN/8, x4, x1, x2) + +inst_204: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1a156b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1a156b; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 408*FLEN/8, x4, x1, x2) + +inst_205: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x7f0; + valaddr_reg:x3; val_offset:410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 410*FLEN/8, x4, x1, x2) + +inst_206: +// fs1 == 0 and fe1 == 0x80 and fm1 == 0x194e59 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x40194e59; op2val:0x7f0; + valaddr_reg:x3; val_offset:412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 412*FLEN/8, x4, x1, x2) + +inst_207: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x194e59 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x40194e59; + valaddr_reg:x3; val_offset:414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 414*FLEN/8, x4, x1, x2) + +inst_208: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x102b16 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x194e59 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d902b16; op2val:0x40194e59; + valaddr_reg:x3; val_offset:416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 416*FLEN/8, x4, x1, x2) + +inst_209: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 418*FLEN/8, x4, x1, x2) + +inst_210: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x6a2c24 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d6a2c24; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 420*FLEN/8, x4, x1, x2) + +inst_211: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0xfa and fm2 == 0x6a2c24 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x7d6a2c24; + valaddr_reg:x3; val_offset:422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 422*FLEN/8, x4, x1, x2) + +inst_212: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 424*FLEN/8, x4, x1, x2) + +inst_213: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 426*FLEN/8, x4, x1, x2) + +inst_214: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x6a2c24 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d6a2c24; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 428*FLEN/8, x4, x1, x2) + +inst_215: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 430*FLEN/8, x4, x1, x2) + +inst_216: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x6a2c24 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d6a2c24; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 432*FLEN/8, x4, x1, x2) + +inst_217: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 434*FLEN/8, x4, x1, x2) + +inst_218: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x6a2c24 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d6a2c24; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 436*FLEN/8, x4, x1, x2) + +inst_219: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x6a2c24 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7d6a2c24; + valaddr_reg:x3; val_offset:438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 438*FLEN/8, x4, x1, x2) + +inst_220: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x6a2c24 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d6a2c24; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 440*FLEN/8, x4, x1, x2) + +inst_221: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 442*FLEN/8, x4, x1, x2) + +inst_222: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 444*FLEN/8, x4, x1, x2) + +inst_223: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 446*FLEN/8, x4, x1, x2) + +inst_224: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 448*FLEN/8, x4, x1, x2) + +inst_225: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 450*FLEN/8, x4, x1, x2) + +inst_226: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 452*FLEN/8, x4, x1, x2) + +inst_227: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 454*FLEN/8, x4, x1, x2) + +inst_228: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x6a2c24 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x522917 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d6a2c24; op2val:0xfed22917; + valaddr_reg:x3; val_offset:456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 456*FLEN/8, x4, x1, x2) + +inst_229: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x522917 and fs2 == 0 and fe2 == 0xfa and fm2 == 0x6a2c24 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed22917; op2val:0x7d6a2c24; + valaddr_reg:x3; val_offset:458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 458*FLEN/8, x4, x1, x2) + +inst_230: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x6a2c24 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d6a2c24; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 460*FLEN/8, x4, x1, x2) + +inst_231: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 462*FLEN/8, x4, x1, x2) + +inst_232: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 464*FLEN/8, x4, x1, x2) + +inst_233: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x152f10 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x152f10; + valaddr_reg:x3; val_offset:466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 466*FLEN/8, x4, x1, x2) + +inst_234: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 468*FLEN/8, x4, x1, x2) + +inst_235: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x152f10 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x152f10; + valaddr_reg:x3; val_offset:470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 470*FLEN/8, x4, x1, x2) + +inst_236: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 472*FLEN/8, x4, x1, x2) + +inst_237: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 474*FLEN/8, x4, x1, x2) + +inst_238: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x357d2c; + valaddr_reg:x3; val_offset:476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 476*FLEN/8, x4, x1, x2) + +inst_239: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x357d2c; + valaddr_reg:x3; val_offset:478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 478*FLEN/8, x4, x1, x2) + +inst_240: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x1c8139; + valaddr_reg:x3; val_offset:480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 480*FLEN/8, x4, x1, x2) + +inst_241: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44f00b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x7f44f00b; + valaddr_reg:x3; val_offset:482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 482*FLEN/8, x4, x1, x2) + +inst_242: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44f00b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x152f10 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f44f00b; op2val:0x152f10; + valaddr_reg:x3; val_offset:484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 484*FLEN/8, x4, x1, x2) + +inst_243: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x1c8139; + valaddr_reg:x3; val_offset:486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 486*FLEN/8, x4, x1, x2) + +inst_244: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 488*FLEN/8, x4, x1, x2) + +inst_245: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 490*FLEN/8, x4, x1, x2) + +inst_246: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x802facf2; + valaddr_reg:x3; val_offset:492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 492*FLEN/8, x4, x1, x2) + +inst_247: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 494*FLEN/8, x4, x1, x2) + +inst_248: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x152f10 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x152f10; + valaddr_reg:x3; val_offset:496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 496*FLEN/8, x4, x1, x2) + +inst_249: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x802facf2; + valaddr_reg:x3; val_offset:498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 498*FLEN/8, x4, x1, x2) + +inst_250: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x800d858e; + valaddr_reg:x3; val_offset:500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 500*FLEN/8, x4, x1, x2) + +inst_251: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x3ad75a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0xfebad75a; + valaddr_reg:x3; val_offset:502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 502*FLEN/8, x4, x1, x2) + +inst_252: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x3ad75a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x152f10 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfebad75a; op2val:0x152f10; + valaddr_reg:x3; val_offset:504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 504*FLEN/8, x4, x1, x2) + +inst_253: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x800d858e; + valaddr_reg:x3; val_offset:506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 506*FLEN/8, x4, x1, x2) + +inst_254: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 508*FLEN/8, x4, x1, x2) + +inst_255: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7ad07d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0xff7ad07d; + valaddr_reg:x3; val_offset:510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 510*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_3) + +inst_256: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7ad07d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x152f10 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7ad07d; op2val:0x152f10; + valaddr_reg:x3; val_offset:512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 512*FLEN/8, x4, x1, x2) + +inst_257: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 514*FLEN/8, x4, x1, x2) + +inst_258: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x8011d249; + valaddr_reg:x3; val_offset:516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 516*FLEN/8, x4, x1, x2) + +inst_259: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x76411d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0xfef6411d; + valaddr_reg:x3; val_offset:518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 518*FLEN/8, x4, x1, x2) + +inst_260: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x76411d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x152f10 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfef6411d; op2val:0x152f10; + valaddr_reg:x3; val_offset:520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 520*FLEN/8, x4, x1, x2) + +inst_261: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x8011d249; + valaddr_reg:x3; val_offset:522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 522*FLEN/8, x4, x1, x2) + +inst_262: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 524*FLEN/8, x4, x1, x2) + +inst_263: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x152f10 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x152f10; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 526*FLEN/8, x4, x1, x2) + +inst_264: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x7f0; + valaddr_reg:x3; val_offset:528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 528*FLEN/8, x4, x1, x2) + +inst_265: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x7903cc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3ff903cc; op2val:0x7f0; + valaddr_reg:x3; val_offset:530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 530*FLEN/8, x4, x1, x2) + +inst_266: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x7903cc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x3ff903cc; + valaddr_reg:x3; val_offset:532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 532*FLEN/8, x4, x1, x2) + +inst_267: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x125b96 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x7903cc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f125b96; op2val:0x3ff903cc; + valaddr_reg:x3; val_offset:534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 534*FLEN/8, x4, x1, x2) + +inst_268: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 536*FLEN/8, x4, x1, x2) + +inst_269: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 538*FLEN/8, x4, x1, x2) + +inst_270: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 540*FLEN/8, x4, x1, x2) + +inst_271: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 542*FLEN/8, x4, x1, x2) + +inst_272: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 544*FLEN/8, x4, x1, x2) + +inst_273: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 546*FLEN/8, x4, x1, x2) + +inst_274: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 548*FLEN/8, x4, x1, x2) + +inst_275: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 550*FLEN/8, x4, x1, x2) + +inst_276: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 552*FLEN/8, x4, x1, x2) + +inst_277: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 554*FLEN/8, x4, x1, x2) + +inst_278: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 556*FLEN/8, x4, x1, x2) + +inst_279: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 558*FLEN/8, x4, x1, x2) + +inst_280: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x07167c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x7e07167c; + valaddr_reg:x3; val_offset:560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 560*FLEN/8, x4, x1, x2) + +inst_281: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x07167c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3f92c0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e07167c; op2val:0x3f92c0; + valaddr_reg:x3; val_offset:562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 562*FLEN/8, x4, x1, x2) + +inst_282: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 564*FLEN/8, x4, x1, x2) + +inst_283: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3f92c0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x3f92c0; + valaddr_reg:x3; val_offset:566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 566*FLEN/8, x4, x1, x2) + +inst_284: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 568*FLEN/8, x4, x1, x2) + +inst_285: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x667e2a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x7de67e2a; + valaddr_reg:x3; val_offset:570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 570*FLEN/8, x4, x1, x2) + +inst_286: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x667e2a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3f92c0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7de67e2a; op2val:0x3f92c0; + valaddr_reg:x3; val_offset:572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 572*FLEN/8, x4, x1, x2) + +inst_287: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 574*FLEN/8, x4, x1, x2) + +inst_288: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x357d2c; + valaddr_reg:x3; val_offset:576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 576*FLEN/8, x4, x1, x2) + +inst_289: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x13d219 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x7e13d219; + valaddr_reg:x3; val_offset:578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 578*FLEN/8, x4, x1, x2) + +inst_290: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x13d219 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3f92c0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e13d219; op2val:0x3f92c0; + valaddr_reg:x3; val_offset:580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 580*FLEN/8, x4, x1, x2) + +inst_291: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x357d2c; + valaddr_reg:x3; val_offset:582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 582*FLEN/8, x4, x1, x2) + +inst_292: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x1c8139; + valaddr_reg:x3; val_offset:584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 584*FLEN/8, x4, x1, x2) + +inst_293: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x1d8cd6 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x7d9d8cd6; + valaddr_reg:x3; val_offset:586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 586*FLEN/8, x4, x1, x2) + +inst_294: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d8cd6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3f92c0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d9d8cd6; op2val:0x3f92c0; + valaddr_reg:x3; val_offset:588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 588*FLEN/8, x4, x1, x2) + +inst_295: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x1c8139; + valaddr_reg:x3; val_offset:590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 590*FLEN/8, x4, x1, x2) + +inst_296: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 592*FLEN/8, x4, x1, x2) + +inst_297: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x1f6f2f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x7e1f6f2f; + valaddr_reg:x3; val_offset:594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 594*FLEN/8, x4, x1, x2) + +inst_298: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x1f6f2f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3f92c0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e1f6f2f; op2val:0x3f92c0; + valaddr_reg:x3; val_offset:596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 596*FLEN/8, x4, x1, x2) + +inst_299: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 598*FLEN/8, x4, x1, x2) + +inst_300: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x802facf2; + valaddr_reg:x3; val_offset:600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 600*FLEN/8, x4, x1, x2) + +inst_301: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x03c146 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0xfe03c146; + valaddr_reg:x3; val_offset:602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 602*FLEN/8, x4, x1, x2) + +inst_302: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03c146 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3f92c0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03c146; op2val:0x3f92c0; + valaddr_reg:x3; val_offset:604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 604*FLEN/8, x4, x1, x2) + +inst_303: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x802facf2; + valaddr_reg:x3; val_offset:606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 606*FLEN/8, x4, x1, x2) + +inst_304: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x800d858e; + valaddr_reg:x3; val_offset:608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 608*FLEN/8, x4, x1, x2) + +inst_305: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x157915 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0xfd157915; + valaddr_reg:x3; val_offset:610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 610*FLEN/8, x4, x1, x2) + +inst_306: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x157915 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3f92c0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd157915; op2val:0x3f92c0; + valaddr_reg:x3; val_offset:612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 612*FLEN/8, x4, x1, x2) + +inst_307: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x800d858e; + valaddr_reg:x3; val_offset:614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 614*FLEN/8, x4, x1, x2) + +inst_308: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 616*FLEN/8, x4, x1, x2) + +inst_309: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x48a6ca and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0xfdc8a6ca; + valaddr_reg:x3; val_offset:618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 618*FLEN/8, x4, x1, x2) + +inst_310: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x48a6ca and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3f92c0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdc8a6ca; op2val:0x3f92c0; + valaddr_reg:x3; val_offset:620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 620*FLEN/8, x4, x1, x2) + +inst_311: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 622*FLEN/8, x4, x1, x2) + +inst_312: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x8011d249; + valaddr_reg:x3; val_offset:624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 624*FLEN/8, x4, x1, x2) + +inst_313: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x4500e4 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0xfd4500e4; + valaddr_reg:x3; val_offset:626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 626*FLEN/8, x4, x1, x2) + +inst_314: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x4500e4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3f92c0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd4500e4; op2val:0x3f92c0; + valaddr_reg:x3; val_offset:628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 628*FLEN/8, x4, x1, x2) + +inst_315: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x8011d249; + valaddr_reg:x3; val_offset:630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 630*FLEN/8, x4, x1, x2) + +inst_316: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 632*FLEN/8, x4, x1, x2) + +inst_317: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x2b7553 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0xfe2b7553; + valaddr_reg:x3; val_offset:634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 634*FLEN/8, x4, x1, x2) + +inst_318: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2b7553 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3f92c0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2b7553; op2val:0x3f92c0; + valaddr_reg:x3; val_offset:636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 636*FLEN/8, x4, x1, x2) + +inst_319: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3f92c0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f92c0; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 638*FLEN/8, x4, x1, x2) + +inst_320: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x7f0; + valaddr_reg:x3; val_offset:640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 640*FLEN/8, x4, x1, x2) + +inst_321: +// fs1 == 0 and fe1 == 0x81 and fm1 == 0x3ad332 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x40bad332; op2val:0x7f0; + valaddr_reg:x3; val_offset:642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 642*FLEN/8, x4, x1, x2) + +inst_322: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x3ad332 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x40bad332; + valaddr_reg:x3; val_offset:644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 644*FLEN/8, x4, x1, x2) + +inst_323: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x2fb07b and fs2 == 0 and fe2 == 0x81 and fm2 == 0x3ad332 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e2fb07b; op2val:0x40bad332; + valaddr_reg:x3; val_offset:646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 646*FLEN/8, x4, x1, x2) + +inst_324: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 648*FLEN/8, x4, x1, x2) + +inst_325: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 650*FLEN/8, x4, x1, x2) + +inst_326: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 652*FLEN/8, x4, x1, x2) + +inst_327: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 654*FLEN/8, x4, x1, x2) + +inst_328: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 656*FLEN/8, x4, x1, x2) + +inst_329: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 658*FLEN/8, x4, x1, x2) + +inst_330: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 660*FLEN/8, x4, x1, x2) + +inst_331: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x291dc8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xfd291dc8; + valaddr_reg:x3; val_offset:662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 662*FLEN/8, x4, x1, x2) + +inst_332: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x291dc8 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd291dc8; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 664*FLEN/8, x4, x1, x2) + +inst_333: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 666*FLEN/8, x4, x1, x2) + +inst_334: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 668*FLEN/8, x4, x1, x2) + +inst_335: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfb and fm2 == 0x153eee and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xfd953eee; + valaddr_reg:x3; val_offset:670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 670*FLEN/8, x4, x1, x2) + +inst_336: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x153eee and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd953eee; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 672*FLEN/8, x4, x1, x2) + +inst_337: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 674*FLEN/8, x4, x1, x2) + +inst_338: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 676*FLEN/8, x4, x1, x2) + +inst_339: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfb and fm2 == 0x1946c8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xfd9946c8; + valaddr_reg:x3; val_offset:678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 678*FLEN/8, x4, x1, x2) + +inst_340: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x1946c8 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd9946c8; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 680*FLEN/8, x4, x1, x2) + +inst_341: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 682*FLEN/8, x4, x1, x2) + +inst_342: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 684*FLEN/8, x4, x1, x2) + +inst_343: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 686*FLEN/8, x4, x1, x2) + +inst_344: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 688*FLEN/8, x4, x1, x2) + +inst_345: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x07167c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x7e07167c; + valaddr_reg:x3; val_offset:690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 690*FLEN/8, x4, x1, x2) + +inst_346: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x07167c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2a65f8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e07167c; op2val:0x802a65f8; + valaddr_reg:x3; val_offset:692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 692*FLEN/8, x4, x1, x2) + +inst_347: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 694*FLEN/8, x4, x1, x2) + +inst_348: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2a65f8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x802a65f8; + valaddr_reg:x3; val_offset:696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 696*FLEN/8, x4, x1, x2) + +inst_349: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 698*FLEN/8, x4, x1, x2) + +inst_350: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x667e2a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x7de67e2a; + valaddr_reg:x3; val_offset:700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 700*FLEN/8, x4, x1, x2) + +inst_351: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x667e2a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2a65f8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7de67e2a; op2val:0x802a65f8; + valaddr_reg:x3; val_offset:702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 702*FLEN/8, x4, x1, x2) + +inst_352: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 704*FLEN/8, x4, x1, x2) + +inst_353: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x357d2c; + valaddr_reg:x3; val_offset:706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 706*FLEN/8, x4, x1, x2) + +inst_354: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x13d219 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x7e13d219; + valaddr_reg:x3; val_offset:708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 708*FLEN/8, x4, x1, x2) + +inst_355: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x13d219 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2a65f8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e13d219; op2val:0x802a65f8; + valaddr_reg:x3; val_offset:710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 710*FLEN/8, x4, x1, x2) + +inst_356: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x357d2c; + valaddr_reg:x3; val_offset:712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 712*FLEN/8, x4, x1, x2) + +inst_357: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x1c8139; + valaddr_reg:x3; val_offset:714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 714*FLEN/8, x4, x1, x2) + +inst_358: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x1d8cd6 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x7d9d8cd6; + valaddr_reg:x3; val_offset:716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 716*FLEN/8, x4, x1, x2) + +inst_359: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d8cd6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2a65f8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d9d8cd6; op2val:0x802a65f8; + valaddr_reg:x3; val_offset:718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 718*FLEN/8, x4, x1, x2) + +inst_360: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x1c8139; + valaddr_reg:x3; val_offset:720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 720*FLEN/8, x4, x1, x2) + +inst_361: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 722*FLEN/8, x4, x1, x2) + +inst_362: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x1f6f2f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x7e1f6f2f; + valaddr_reg:x3; val_offset:724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 724*FLEN/8, x4, x1, x2) + +inst_363: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x1f6f2f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2a65f8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e1f6f2f; op2val:0x802a65f8; + valaddr_reg:x3; val_offset:726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 726*FLEN/8, x4, x1, x2) + +inst_364: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 728*FLEN/8, x4, x1, x2) + +inst_365: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x802facf2; + valaddr_reg:x3; val_offset:730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 730*FLEN/8, x4, x1, x2) + +inst_366: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x03c146 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0xfe03c146; + valaddr_reg:x3; val_offset:732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 732*FLEN/8, x4, x1, x2) + +inst_367: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03c146 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2a65f8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03c146; op2val:0x802a65f8; + valaddr_reg:x3; val_offset:734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 734*FLEN/8, x4, x1, x2) + +inst_368: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x802facf2; + valaddr_reg:x3; val_offset:736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 736*FLEN/8, x4, x1, x2) + +inst_369: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x800d858e; + valaddr_reg:x3; val_offset:738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 738*FLEN/8, x4, x1, x2) + +inst_370: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x157915 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0xfd157915; + valaddr_reg:x3; val_offset:740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 740*FLEN/8, x4, x1, x2) + +inst_371: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x157915 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2a65f8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd157915; op2val:0x802a65f8; + valaddr_reg:x3; val_offset:742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 742*FLEN/8, x4, x1, x2) + +inst_372: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x800d858e; + valaddr_reg:x3; val_offset:744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 744*FLEN/8, x4, x1, x2) + +inst_373: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 746*FLEN/8, x4, x1, x2) + +inst_374: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x48a6ca and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0xfdc8a6ca; + valaddr_reg:x3; val_offset:748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 748*FLEN/8, x4, x1, x2) + +inst_375: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x48a6ca and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2a65f8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdc8a6ca; op2val:0x802a65f8; + valaddr_reg:x3; val_offset:750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 750*FLEN/8, x4, x1, x2) + +inst_376: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 752*FLEN/8, x4, x1, x2) + +inst_377: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x8011d249; + valaddr_reg:x3; val_offset:754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 754*FLEN/8, x4, x1, x2) + +inst_378: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x4500e4 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0xfd4500e4; + valaddr_reg:x3; val_offset:756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 756*FLEN/8, x4, x1, x2) + +inst_379: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x4500e4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2a65f8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd4500e4; op2val:0x802a65f8; + valaddr_reg:x3; val_offset:758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 758*FLEN/8, x4, x1, x2) + +inst_380: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x8011d249; + valaddr_reg:x3; val_offset:760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 760*FLEN/8, x4, x1, x2) + +inst_381: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 762*FLEN/8, x4, x1, x2) + +inst_382: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x2b7553 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0xfe2b7553; + valaddr_reg:x3; val_offset:764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 764*FLEN/8, x4, x1, x2) + +inst_383: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2b7553 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2a65f8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2b7553; op2val:0x802a65f8; + valaddr_reg:x3; val_offset:766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 766*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_4) + +inst_384: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2a65f8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802a65f8; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 768*FLEN/8, x4, x1, x2) + +inst_385: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0x7f0; + valaddr_reg:x3; val_offset:770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 770*FLEN/8, x4, x1, x2) + +inst_386: +// fs1 == 1 and fe1 == 0x80 and fm1 == 0x7931e5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc07931e5; op2val:0x7f0; + valaddr_reg:x3; val_offset:772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 772*FLEN/8, x4, x1, x2) + +inst_387: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x7931e5 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc07931e5; + valaddr_reg:x3; val_offset:774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 774*FLEN/8, x4, x1, x2) + +inst_388: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x6a577e and fs2 == 1 and fe2 == 0x80 and fm2 == 0x7931e5 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdea577e; op2val:0xc07931e5; + valaddr_reg:x3; val_offset:776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 776*FLEN/8, x4, x1, x2) + +inst_389: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 778*FLEN/8, x4, x1, x2) + +inst_390: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x291dc8 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd291dc8; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 780*FLEN/8, x4, x1, x2) + +inst_391: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0xfa and fm2 == 0x291dc8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0xfd291dc8; + valaddr_reg:x3; val_offset:782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 782*FLEN/8, x4, x1, x2) + +inst_392: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 784*FLEN/8, x4, x1, x2) + +inst_393: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 786*FLEN/8, x4, x1, x2) + +inst_394: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x291dc8 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd291dc8; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 788*FLEN/8, x4, x1, x2) + +inst_395: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 790*FLEN/8, x4, x1, x2) + +inst_396: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x291dc8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd291dc8; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 792*FLEN/8, x4, x1, x2) + +inst_397: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 794*FLEN/8, x4, x1, x2) + +inst_398: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x291dc8 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd291dc8; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 796*FLEN/8, x4, x1, x2) + +inst_399: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 798*FLEN/8, x4, x1, x2) + +inst_400: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 800*FLEN/8, x4, x1, x2) + +inst_401: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 802*FLEN/8, x4, x1, x2) + +inst_402: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 804*FLEN/8, x4, x1, x2) + +inst_403: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 806*FLEN/8, x4, x1, x2) + +inst_404: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x291dc8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x522917 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd291dc8; op2val:0xfed22917; + valaddr_reg:x3; val_offset:808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 808*FLEN/8, x4, x1, x2) + +inst_405: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x522917 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x291dc8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed22917; op2val:0xfd291dc8; + valaddr_reg:x3; val_offset:810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 810*FLEN/8, x4, x1, x2) + +inst_406: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x291dc8 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd291dc8; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 812*FLEN/8, x4, x1, x2) + +inst_407: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 814*FLEN/8, x4, x1, x2) + +inst_408: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 816*FLEN/8, x4, x1, x2) + +inst_409: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f4c77 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x800f4c77; + valaddr_reg:x3; val_offset:818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 818*FLEN/8, x4, x1, x2) + +inst_410: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 820*FLEN/8, x4, x1, x2) + +inst_411: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f4c77 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x800f4c77; + valaddr_reg:x3; val_offset:822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 822*FLEN/8, x4, x1, x2) + +inst_412: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 824*FLEN/8, x4, x1, x2) + +inst_413: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 826*FLEN/8, x4, x1, x2) + +inst_414: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x357d2c; + valaddr_reg:x3; val_offset:828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 828*FLEN/8, x4, x1, x2) + +inst_415: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x357d2c; + valaddr_reg:x3; val_offset:830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 830*FLEN/8, x4, x1, x2) + +inst_416: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x1c8139; + valaddr_reg:x3; val_offset:832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 832*FLEN/8, x4, x1, x2) + +inst_417: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44f00b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x7f44f00b; + valaddr_reg:x3; val_offset:834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 834*FLEN/8, x4, x1, x2) + +inst_418: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44f00b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f4c77 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f44f00b; op2val:0x800f4c77; + valaddr_reg:x3; val_offset:836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 836*FLEN/8, x4, x1, x2) + +inst_419: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x1c8139; + valaddr_reg:x3; val_offset:838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 838*FLEN/8, x4, x1, x2) + +inst_420: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 840*FLEN/8, x4, x1, x2) + +inst_421: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 842*FLEN/8, x4, x1, x2) + +inst_422: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x802facf2; + valaddr_reg:x3; val_offset:844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 844*FLEN/8, x4, x1, x2) + +inst_423: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 846*FLEN/8, x4, x1, x2) + +inst_424: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f4c77 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x800f4c77; + valaddr_reg:x3; val_offset:848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 848*FLEN/8, x4, x1, x2) + +inst_425: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x802facf2; + valaddr_reg:x3; val_offset:850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 850*FLEN/8, x4, x1, x2) + +inst_426: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x800d858e; + valaddr_reg:x3; val_offset:852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 852*FLEN/8, x4, x1, x2) + +inst_427: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x3ad75a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0xfebad75a; + valaddr_reg:x3; val_offset:854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 854*FLEN/8, x4, x1, x2) + +inst_428: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x3ad75a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f4c77 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfebad75a; op2val:0x800f4c77; + valaddr_reg:x3; val_offset:856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 856*FLEN/8, x4, x1, x2) + +inst_429: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x800d858e; + valaddr_reg:x3; val_offset:858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 858*FLEN/8, x4, x1, x2) + +inst_430: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 860*FLEN/8, x4, x1, x2) + +inst_431: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7ad07d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0xff7ad07d; + valaddr_reg:x3; val_offset:862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 862*FLEN/8, x4, x1, x2) + +inst_432: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7ad07d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f4c77 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7ad07d; op2val:0x800f4c77; + valaddr_reg:x3; val_offset:864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 864*FLEN/8, x4, x1, x2) + +inst_433: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 866*FLEN/8, x4, x1, x2) + +inst_434: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x8011d249; + valaddr_reg:x3; val_offset:868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 868*FLEN/8, x4, x1, x2) + +inst_435: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x76411d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0xfef6411d; + valaddr_reg:x3; val_offset:870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 870*FLEN/8, x4, x1, x2) + +inst_436: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x76411d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f4c77 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfef6411d; op2val:0x800f4c77; + valaddr_reg:x3; val_offset:872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 872*FLEN/8, x4, x1, x2) + +inst_437: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x8011d249; + valaddr_reg:x3; val_offset:874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 874*FLEN/8, x4, x1, x2) + +inst_438: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 876*FLEN/8, x4, x1, x2) + +inst_439: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f4c77 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f4c77; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 878*FLEN/8, x4, x1, x2) + +inst_440: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0x7f0; + valaddr_reg:x3; val_offset:880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 880*FLEN/8, x4, x1, x2) + +inst_441: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x33d5d8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbfb3d5d8; op2val:0x7f0; + valaddr_reg:x3; val_offset:882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 882*FLEN/8, x4, x1, x2) + +inst_442: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x33d5d8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xbfb3d5d8; + valaddr_reg:x3; val_offset:884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 884*FLEN/8, x4, x1, x2) + +inst_443: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x53653a and fs2 == 1 and fe2 == 0x7f and fm2 == 0x33d5d8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed3653a; op2val:0xbfb3d5d8; + valaddr_reg:x3; val_offset:886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 886*FLEN/8, x4, x1, x2) + +inst_444: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 888*FLEN/8, x4, x1, x2) + +inst_445: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x153eee and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd953eee; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 890*FLEN/8, x4, x1, x2) + +inst_446: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x153eee and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0xfd953eee; + valaddr_reg:x3; val_offset:892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 892*FLEN/8, x4, x1, x2) + +inst_447: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 894*FLEN/8, x4, x1, x2) + +inst_448: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 896*FLEN/8, x4, x1, x2) + +inst_449: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x153eee and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd953eee; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 898*FLEN/8, x4, x1, x2) + +inst_450: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 900*FLEN/8, x4, x1, x2) + +inst_451: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x153eee and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd953eee; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 902*FLEN/8, x4, x1, x2) + +inst_452: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 904*FLEN/8, x4, x1, x2) + +inst_453: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x153eee and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd953eee; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 906*FLEN/8, x4, x1, x2) + +inst_454: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 908*FLEN/8, x4, x1, x2) + +inst_455: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 910*FLEN/8, x4, x1, x2) + +inst_456: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 912*FLEN/8, x4, x1, x2) + +inst_457: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x153eee and fs2 == 1 and fe2 == 0xfd and fm2 == 0x522917 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd953eee; op2val:0xfed22917; + valaddr_reg:x3; val_offset:914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 914*FLEN/8, x4, x1, x2) + +inst_458: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x522917 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x153eee and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed22917; op2val:0xfd953eee; + valaddr_reg:x3; val_offset:916*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 916*FLEN/8, x4, x1, x2) + +inst_459: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x153eee and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd953eee; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:918*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 918*FLEN/8, x4, x1, x2) + +inst_460: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:920*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 920*FLEN/8, x4, x1, x2) + +inst_461: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:922*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 922*FLEN/8, x4, x1, x2) + +inst_462: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1b0098 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x801b0098; + valaddr_reg:x3; val_offset:924*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 924*FLEN/8, x4, x1, x2) + +inst_463: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:926*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 926*FLEN/8, x4, x1, x2) + +inst_464: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1b0098 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x801b0098; + valaddr_reg:x3; val_offset:928*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 928*FLEN/8, x4, x1, x2) + +inst_465: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:930*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 930*FLEN/8, x4, x1, x2) + +inst_466: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:932*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 932*FLEN/8, x4, x1, x2) + +inst_467: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x357d2c; + valaddr_reg:x3; val_offset:934*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 934*FLEN/8, x4, x1, x2) + +inst_468: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x357d2c; + valaddr_reg:x3; val_offset:936*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 936*FLEN/8, x4, x1, x2) + +inst_469: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x1c8139; + valaddr_reg:x3; val_offset:938*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 938*FLEN/8, x4, x1, x2) + +inst_470: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44f00b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x7f44f00b; + valaddr_reg:x3; val_offset:940*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 940*FLEN/8, x4, x1, x2) + +inst_471: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44f00b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1b0098 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f44f00b; op2val:0x801b0098; + valaddr_reg:x3; val_offset:942*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 942*FLEN/8, x4, x1, x2) + +inst_472: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x1c8139; + valaddr_reg:x3; val_offset:944*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 944*FLEN/8, x4, x1, x2) + +inst_473: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:946*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 946*FLEN/8, x4, x1, x2) + +inst_474: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:948*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 948*FLEN/8, x4, x1, x2) + +inst_475: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x802facf2; + valaddr_reg:x3; val_offset:950*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 950*FLEN/8, x4, x1, x2) + +inst_476: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:952*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 952*FLEN/8, x4, x1, x2) + +inst_477: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1b0098 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x801b0098; + valaddr_reg:x3; val_offset:954*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 954*FLEN/8, x4, x1, x2) + +inst_478: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x802facf2; + valaddr_reg:x3; val_offset:956*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 956*FLEN/8, x4, x1, x2) + +inst_479: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x800d858e; + valaddr_reg:x3; val_offset:958*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 958*FLEN/8, x4, x1, x2) + +inst_480: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x3ad75a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0xfebad75a; + valaddr_reg:x3; val_offset:960*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 960*FLEN/8, x4, x1, x2) + +inst_481: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x3ad75a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1b0098 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfebad75a; op2val:0x801b0098; + valaddr_reg:x3; val_offset:962*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 962*FLEN/8, x4, x1, x2) + +inst_482: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x800d858e; + valaddr_reg:x3; val_offset:964*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 964*FLEN/8, x4, x1, x2) + +inst_483: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:966*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 966*FLEN/8, x4, x1, x2) + +inst_484: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7ad07d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0xff7ad07d; + valaddr_reg:x3; val_offset:968*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 968*FLEN/8, x4, x1, x2) + +inst_485: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7ad07d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1b0098 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7ad07d; op2val:0x801b0098; + valaddr_reg:x3; val_offset:970*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 970*FLEN/8, x4, x1, x2) + +inst_486: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:972*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 972*FLEN/8, x4, x1, x2) + +inst_487: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x8011d249; + valaddr_reg:x3; val_offset:974*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 974*FLEN/8, x4, x1, x2) + +inst_488: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x76411d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0xfef6411d; + valaddr_reg:x3; val_offset:976*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 976*FLEN/8, x4, x1, x2) + +inst_489: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x76411d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1b0098 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfef6411d; op2val:0x801b0098; + valaddr_reg:x3; val_offset:978*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 978*FLEN/8, x4, x1, x2) + +inst_490: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x8011d249; + valaddr_reg:x3; val_offset:980*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 980*FLEN/8, x4, x1, x2) + +inst_491: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:982*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 982*FLEN/8, x4, x1, x2) + +inst_492: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1b0098 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801b0098; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:984*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 984*FLEN/8, x4, x1, x2) + +inst_493: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0x7f0; + valaddr_reg:x3; val_offset:986*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 986*FLEN/8, x4, x1, x2) + +inst_494: +// fs1 == 1 and fe1 == 0x80 and fm1 == 0x1eb493 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc01eb493; op2val:0x7f0; + valaddr_reg:x3; val_offset:988*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 988*FLEN/8, x4, x1, x2) + +inst_495: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x1eb493 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc01eb493; + valaddr_reg:x3; val_offset:990*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 990*FLEN/8, x4, x1, x2) + +inst_496: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3a8ea9 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x1eb493 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3a8ea9; op2val:0xc01eb493; + valaddr_reg:x3; val_offset:992*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 992*FLEN/8, x4, x1, x2) + +inst_497: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:994*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 994*FLEN/8, x4, x1, x2) + +inst_498: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x1946c8 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd9946c8; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:996*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 996*FLEN/8, x4, x1, x2) + +inst_499: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0xfb and fm2 == 0x1946c8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0xfd9946c8; + valaddr_reg:x3; val_offset:998*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 998*FLEN/8, x4, x1, x2) + +inst_500: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1000*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1000*FLEN/8, x4, x1, x2) + +inst_501: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1002*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1002*FLEN/8, x4, x1, x2) + +inst_502: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x1946c8 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd9946c8; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1004*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1004*FLEN/8, x4, x1, x2) + +inst_503: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1006*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1006*FLEN/8, x4, x1, x2) + +inst_504: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x1946c8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd9946c8; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1008*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1008*FLEN/8, x4, x1, x2) + +inst_505: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1010*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1010*FLEN/8, x4, x1, x2) + +inst_506: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x1946c8 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd9946c8; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1012*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1012*FLEN/8, x4, x1, x2) + +inst_507: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1014*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1014*FLEN/8, x4, x1, x2) + +inst_508: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x1946c8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x522917 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd9946c8; op2val:0xfed22917; + valaddr_reg:x3; val_offset:1016*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1016*FLEN/8, x4, x1, x2) + +inst_509: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x522917 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x1946c8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed22917; op2val:0xfd9946c8; + valaddr_reg:x3; val_offset:1018*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1018*FLEN/8, x4, x1, x2) + +inst_510: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x1946c8 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd9946c8; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1020*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1020*FLEN/8, x4, x1, x2) + +inst_511: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1022*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1022*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_5) + +inst_512: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1024*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1024*FLEN/8, x4, x1, x2) + +inst_513: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1bbb48 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x801bbb48; + valaddr_reg:x3; val_offset:1026*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1026*FLEN/8, x4, x1, x2) + +inst_514: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1028*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1028*FLEN/8, x4, x1, x2) + +inst_515: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1bbb48 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x801bbb48; + valaddr_reg:x3; val_offset:1030*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1030*FLEN/8, x4, x1, x2) + +inst_516: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1032*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1032*FLEN/8, x4, x1, x2) + +inst_517: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1034*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1034*FLEN/8, x4, x1, x2) + +inst_518: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1036*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1036*FLEN/8, x4, x1, x2) + +inst_519: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1038*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1038*FLEN/8, x4, x1, x2) + +inst_520: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1040*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1040*FLEN/8, x4, x1, x2) + +inst_521: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44f00b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x7f44f00b; + valaddr_reg:x3; val_offset:1042*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1042*FLEN/8, x4, x1, x2) + +inst_522: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44f00b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1bbb48 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f44f00b; op2val:0x801bbb48; + valaddr_reg:x3; val_offset:1044*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1044*FLEN/8, x4, x1, x2) + +inst_523: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1046*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1046*FLEN/8, x4, x1, x2) + +inst_524: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1048*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1048*FLEN/8, x4, x1, x2) + +inst_525: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1050*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1050*FLEN/8, x4, x1, x2) + +inst_526: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1052*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1052*FLEN/8, x4, x1, x2) + +inst_527: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1054*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1054*FLEN/8, x4, x1, x2) + +inst_528: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1bbb48 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x801bbb48; + valaddr_reg:x3; val_offset:1056*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1056*FLEN/8, x4, x1, x2) + +inst_529: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1058*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1058*FLEN/8, x4, x1, x2) + +inst_530: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1060*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1060*FLEN/8, x4, x1, x2) + +inst_531: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x3ad75a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0xfebad75a; + valaddr_reg:x3; val_offset:1062*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1062*FLEN/8, x4, x1, x2) + +inst_532: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x3ad75a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1bbb48 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfebad75a; op2val:0x801bbb48; + valaddr_reg:x3; val_offset:1064*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1064*FLEN/8, x4, x1, x2) + +inst_533: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1066*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1066*FLEN/8, x4, x1, x2) + +inst_534: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1068*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1068*FLEN/8, x4, x1, x2) + +inst_535: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7ad07d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0xff7ad07d; + valaddr_reg:x3; val_offset:1070*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1070*FLEN/8, x4, x1, x2) + +inst_536: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7ad07d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1bbb48 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7ad07d; op2val:0x801bbb48; + valaddr_reg:x3; val_offset:1072*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1072*FLEN/8, x4, x1, x2) + +inst_537: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1074*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1074*FLEN/8, x4, x1, x2) + +inst_538: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1076*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1076*FLEN/8, x4, x1, x2) + +inst_539: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x76411d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0xfef6411d; + valaddr_reg:x3; val_offset:1078*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1078*FLEN/8, x4, x1, x2) + +inst_540: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x76411d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1bbb48 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfef6411d; op2val:0x801bbb48; + valaddr_reg:x3; val_offset:1080*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1080*FLEN/8, x4, x1, x2) + +inst_541: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1082*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1082*FLEN/8, x4, x1, x2) + +inst_542: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1084*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1084*FLEN/8, x4, x1, x2) + +inst_543: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1bbb48 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801bbb48; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1086*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1086*FLEN/8, x4, x1, x2) + +inst_544: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0x7f0; + valaddr_reg:x3; val_offset:1088*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1088*FLEN/8, x4, x1, x2) + +inst_545: +// fs1 == 1 and fe1 == 0x80 and fm1 == 0x22fdd5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc022fdd5; op2val:0x7f0; + valaddr_reg:x3; val_offset:1090*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1090*FLEN/8, x4, x1, x2) + +inst_546: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x22fdd5 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc022fdd5; + valaddr_reg:x3; val_offset:1092*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1092*FLEN/8, x4, x1, x2) + +inst_547: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x3f987b and fs2 == 1 and fe2 == 0x80 and fm2 == 0x22fdd5 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff3f987b; op2val:0xc022fdd5; + valaddr_reg:x3; val_offset:1094*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1094*FLEN/8, x4, x1, x2) + +inst_548: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1096*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1096*FLEN/8, x4, x1, x2) + +inst_549: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1098*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1098*FLEN/8, x4, x1, x2) + +inst_550: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x522917 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed22917; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1100*FLEN/8, x4, x1, x2) + +inst_551: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0xfd and fm2 == 0x522917 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0xfed22917; + valaddr_reg:x3; val_offset:1102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1102*FLEN/8, x4, x1, x2) + +inst_552: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1104*FLEN/8, x4, x1, x2) + +inst_553: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x522917 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed22917; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1106*FLEN/8, x4, x1, x2) + +inst_554: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1108*FLEN/8, x4, x1, x2) + +inst_555: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x522917 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed22917; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1110*FLEN/8, x4, x1, x2) + +inst_556: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1112*FLEN/8, x4, x1, x2) + +inst_557: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x522917 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed22917; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1114*FLEN/8, x4, x1, x2) + +inst_558: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1116*FLEN/8, x4, x1, x2) + +inst_559: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x522917 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfed22917; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1118*FLEN/8, x4, x1, x2) + +inst_560: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1120*FLEN/8, x4, x1, x2) + +inst_561: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x07167c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x7e07167c; + valaddr_reg:x3; val_offset:1122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1122*FLEN/8, x4, x1, x2) + +inst_562: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x07167c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f3596 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e07167c; op2val:0x800f3596; + valaddr_reg:x3; val_offset:1124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1124*FLEN/8, x4, x1, x2) + +inst_563: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1126*FLEN/8, x4, x1, x2) + +inst_564: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f3596 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x800f3596; + valaddr_reg:x3; val_offset:1128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1128*FLEN/8, x4, x1, x2) + +inst_565: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1130*FLEN/8, x4, x1, x2) + +inst_566: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x667e2a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x7de67e2a; + valaddr_reg:x3; val_offset:1132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1132*FLEN/8, x4, x1, x2) + +inst_567: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x667e2a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f3596 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7de67e2a; op2val:0x800f3596; + valaddr_reg:x3; val_offset:1134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1134*FLEN/8, x4, x1, x2) + +inst_568: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1136*FLEN/8, x4, x1, x2) + +inst_569: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1138*FLEN/8, x4, x1, x2) + +inst_570: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x13d219 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x7e13d219; + valaddr_reg:x3; val_offset:1140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1140*FLEN/8, x4, x1, x2) + +inst_571: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x13d219 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f3596 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e13d219; op2val:0x800f3596; + valaddr_reg:x3; val_offset:1142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1142*FLEN/8, x4, x1, x2) + +inst_572: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1144*FLEN/8, x4, x1, x2) + +inst_573: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1146*FLEN/8, x4, x1, x2) + +inst_574: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x1d8cd6 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x7d9d8cd6; + valaddr_reg:x3; val_offset:1148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1148*FLEN/8, x4, x1, x2) + +inst_575: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d8cd6 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f3596 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d9d8cd6; op2val:0x800f3596; + valaddr_reg:x3; val_offset:1150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1150*FLEN/8, x4, x1, x2) + +inst_576: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1152*FLEN/8, x4, x1, x2) + +inst_577: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1154*FLEN/8, x4, x1, x2) + +inst_578: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x1f6f2f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x7e1f6f2f; + valaddr_reg:x3; val_offset:1156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1156*FLEN/8, x4, x1, x2) + +inst_579: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x1f6f2f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f3596 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e1f6f2f; op2val:0x800f3596; + valaddr_reg:x3; val_offset:1158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1158*FLEN/8, x4, x1, x2) + +inst_580: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1160*FLEN/8, x4, x1, x2) + +inst_581: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1162*FLEN/8, x4, x1, x2) + +inst_582: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x03c146 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0xfe03c146; + valaddr_reg:x3; val_offset:1164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1164*FLEN/8, x4, x1, x2) + +inst_583: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03c146 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f3596 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03c146; op2val:0x800f3596; + valaddr_reg:x3; val_offset:1166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1166*FLEN/8, x4, x1, x2) + +inst_584: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1168*FLEN/8, x4, x1, x2) + +inst_585: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1170*FLEN/8, x4, x1, x2) + +inst_586: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x157915 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0xfd157915; + valaddr_reg:x3; val_offset:1172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1172*FLEN/8, x4, x1, x2) + +inst_587: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x157915 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f3596 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd157915; op2val:0x800f3596; + valaddr_reg:x3; val_offset:1174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1174*FLEN/8, x4, x1, x2) + +inst_588: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1176*FLEN/8, x4, x1, x2) + +inst_589: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1178*FLEN/8, x4, x1, x2) + +inst_590: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x48a6ca and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0xfdc8a6ca; + valaddr_reg:x3; val_offset:1180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1180*FLEN/8, x4, x1, x2) + +inst_591: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x48a6ca and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f3596 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdc8a6ca; op2val:0x800f3596; + valaddr_reg:x3; val_offset:1182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1182*FLEN/8, x4, x1, x2) + +inst_592: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1184*FLEN/8, x4, x1, x2) + +inst_593: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1186*FLEN/8, x4, x1, x2) + +inst_594: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x4500e4 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0xfd4500e4; + valaddr_reg:x3; val_offset:1188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1188*FLEN/8, x4, x1, x2) + +inst_595: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x4500e4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f3596 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd4500e4; op2val:0x800f3596; + valaddr_reg:x3; val_offset:1190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1190*FLEN/8, x4, x1, x2) + +inst_596: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1192*FLEN/8, x4, x1, x2) + +inst_597: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1194*FLEN/8, x4, x1, x2) + +inst_598: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x2b7553 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0xfe2b7553; + valaddr_reg:x3; val_offset:1196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1196*FLEN/8, x4, x1, x2) + +inst_599: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2b7553 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0f3596 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2b7553; op2val:0x800f3596; + valaddr_reg:x3; val_offset:1198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1198*FLEN/8, x4, x1, x2) + +inst_600: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0f3596 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800f3596; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1200*FLEN/8, x4, x1, x2) + +inst_601: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0x7f0; + valaddr_reg:x3; val_offset:1202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1202*FLEN/8, x4, x1, x2) + +inst_602: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x32c8e8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbfb2c8e8; op2val:0x7f0; + valaddr_reg:x3; val_offset:1204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1204*FLEN/8, x4, x1, x2) + +inst_603: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x32c8e8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xbfb2c8e8; + valaddr_reg:x3; val_offset:1206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1206*FLEN/8, x4, x1, x2) + +inst_604: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x2820df and fs2 == 1 and fe2 == 0x7f and fm2 == 0x32c8e8 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd2820df; op2val:0xbfb2c8e8; + valaddr_reg:x3; val_offset:1208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1208*FLEN/8, x4, x1, x2) + +inst_605: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1210*FLEN/8, x4, x1, x2) + +inst_606: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x07167c and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e07167c; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1212*FLEN/8, x4, x1, x2) + +inst_607: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0xfc and fm2 == 0x07167c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x7e07167c; + valaddr_reg:x3; val_offset:1214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1214*FLEN/8, x4, x1, x2) + +inst_608: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1216*FLEN/8, x4, x1, x2) + +inst_609: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1218*FLEN/8, x4, x1, x2) + +inst_610: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1220*FLEN/8, x4, x1, x2) + +inst_611: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1222*FLEN/8, x4, x1, x2) + +inst_612: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x07167c and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e07167c; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1224*FLEN/8, x4, x1, x2) + +inst_613: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1226*FLEN/8, x4, x1, x2) + +inst_614: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1228*FLEN/8, x4, x1, x2) + +inst_615: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x07167c and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e07167c; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1230*FLEN/8, x4, x1, x2) + +inst_616: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1232*FLEN/8, x4, x1, x2) + +inst_617: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x07167c and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e07167c; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1234*FLEN/8, x4, x1, x2) + +inst_618: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1236*FLEN/8, x4, x1, x2) + +inst_619: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1238*FLEN/8, x4, x1, x2) + +inst_620: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1240*FLEN/8, x4, x1, x2) + +inst_621: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1242*FLEN/8, x4, x1, x2) + +inst_622: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x07167c and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e07167c; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1244*FLEN/8, x4, x1, x2) + +inst_623: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1246*FLEN/8, x4, x1, x2) + +inst_624: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1248*FLEN/8, x4, x1, x2) + +inst_625: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1250*FLEN/8, x4, x1, x2) + +inst_626: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1252*FLEN/8, x4, x1, x2) + +inst_627: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1254*FLEN/8, x4, x1, x2) + +inst_628: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1256*FLEN/8, x4, x1, x2) + +inst_629: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1258*FLEN/8, x4, x1, x2) + +inst_630: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1260*FLEN/8, x4, x1, x2) + +inst_631: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1262*FLEN/8, x4, x1, x2) + +inst_632: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1264*FLEN/8, x4, x1, x2) + +inst_633: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1266*FLEN/8, x4, x1, x2) + +inst_634: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1268*FLEN/8, x4, x1, x2) + +inst_635: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1270*FLEN/8, x4, x1, x2) + +inst_636: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1272*FLEN/8, x4, x1, x2) + +inst_637: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1274*FLEN/8, x4, x1, x2) + +inst_638: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1276*FLEN/8, x4, x1, x2) + +inst_639: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1278*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_6) + +inst_640: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1280*FLEN/8, x4, x1, x2) + +inst_641: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x7f0; + valaddr_reg:x3; val_offset:1282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1282*FLEN/8, x4, x1, x2) + +inst_642: +// fs1 == 0 and fe1 == 0x81 and fm1 == 0x0fa668 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x408fa668; op2val:0x7f0; + valaddr_reg:x3; val_offset:1284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1284*FLEN/8, x4, x1, x2) + +inst_643: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x0fa668 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x408fa668; + valaddr_reg:x3; val_offset:1286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1286*FLEN/8, x4, x1, x2) + +inst_644: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x30e1ae and fs2 == 0 and fe2 == 0x81 and fm2 == 0x0fa668 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x30e1ae; op2val:0x408fa668; + valaddr_reg:x3; val_offset:1288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1288*FLEN/8, x4, x1, x2) + +inst_645: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1290*FLEN/8, x4, x1, x2) + +inst_646: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x667e2a and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7de67e2a; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1292*FLEN/8, x4, x1, x2) + +inst_647: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x667e2a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x7de67e2a; + valaddr_reg:x3; val_offset:1294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1294*FLEN/8, x4, x1, x2) + +inst_648: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1296*FLEN/8, x4, x1, x2) + +inst_649: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1298*FLEN/8, x4, x1, x2) + +inst_650: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1300*FLEN/8, x4, x1, x2) + +inst_651: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1302*FLEN/8, x4, x1, x2) + +inst_652: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x667e2a and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7de67e2a; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1304*FLEN/8, x4, x1, x2) + +inst_653: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1306*FLEN/8, x4, x1, x2) + +inst_654: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1308*FLEN/8, x4, x1, x2) + +inst_655: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x667e2a and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7de67e2a; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1310*FLEN/8, x4, x1, x2) + +inst_656: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1312*FLEN/8, x4, x1, x2) + +inst_657: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x667e2a and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7de67e2a; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1314*FLEN/8, x4, x1, x2) + +inst_658: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1316*FLEN/8, x4, x1, x2) + +inst_659: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1318*FLEN/8, x4, x1, x2) + +inst_660: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1320*FLEN/8, x4, x1, x2) + +inst_661: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1322*FLEN/8, x4, x1, x2) + +inst_662: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x667e2a and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7de67e2a; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1324*FLEN/8, x4, x1, x2) + +inst_663: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1326*FLEN/8, x4, x1, x2) + +inst_664: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1328*FLEN/8, x4, x1, x2) + +inst_665: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1330*FLEN/8, x4, x1, x2) + +inst_666: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1332*FLEN/8, x4, x1, x2) + +inst_667: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1334*FLEN/8, x4, x1, x2) + +inst_668: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1336*FLEN/8, x4, x1, x2) + +inst_669: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1338*FLEN/8, x4, x1, x2) + +inst_670: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1340*FLEN/8, x4, x1, x2) + +inst_671: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1342*FLEN/8, x4, x1, x2) + +inst_672: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1344*FLEN/8, x4, x1, x2) + +inst_673: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1346*FLEN/8, x4, x1, x2) + +inst_674: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1348*FLEN/8, x4, x1, x2) + +inst_675: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1350*FLEN/8, x4, x1, x2) + +inst_676: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1352*FLEN/8, x4, x1, x2) + +inst_677: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1354*FLEN/8, x4, x1, x2) + +inst_678: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1356*FLEN/8, x4, x1, x2) + +inst_679: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x7f0; + valaddr_reg:x3; val_offset:1358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1358*FLEN/8, x4, x1, x2) + +inst_680: +// fs1 == 0 and fe1 == 0x80 and fm1 == 0x751a1e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x40751a1e; op2val:0x7f0; + valaddr_reg:x3; val_offset:1360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1360*FLEN/8, x4, x1, x2) + +inst_681: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x751a1e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x40751a1e; + valaddr_reg:x3; val_offset:1362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1362*FLEN/8, x4, x1, x2) + +inst_682: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x29b3b2 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x751a1e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x29b3b2; op2val:0x40751a1e; + valaddr_reg:x3; val_offset:1364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1364*FLEN/8, x4, x1, x2) + +inst_683: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1366*FLEN/8, x4, x1, x2) + +inst_684: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x13d219 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e13d219; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1368*FLEN/8, x4, x1, x2) + +inst_685: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0xfc and fm2 == 0x13d219 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x7e13d219; + valaddr_reg:x3; val_offset:1370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1370*FLEN/8, x4, x1, x2) + +inst_686: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1372*FLEN/8, x4, x1, x2) + +inst_687: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1374*FLEN/8, x4, x1, x2) + +inst_688: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1376*FLEN/8, x4, x1, x2) + +inst_689: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1378*FLEN/8, x4, x1, x2) + +inst_690: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x13d219 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e13d219; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1380*FLEN/8, x4, x1, x2) + +inst_691: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1382*FLEN/8, x4, x1, x2) + +inst_692: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1384*FLEN/8, x4, x1, x2) + +inst_693: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x13d219 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e13d219; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1386*FLEN/8, x4, x1, x2) + +inst_694: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1388*FLEN/8, x4, x1, x2) + +inst_695: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x13d219 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e13d219; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1390*FLEN/8, x4, x1, x2) + +inst_696: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1392*FLEN/8, x4, x1, x2) + +inst_697: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1394*FLEN/8, x4, x1, x2) + +inst_698: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1396*FLEN/8, x4, x1, x2) + +inst_699: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1398*FLEN/8, x4, x1, x2) + +inst_700: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x13d219 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e13d219; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1400*FLEN/8, x4, x1, x2) + +inst_701: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1402*FLEN/8, x4, x1, x2) + +inst_702: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1404*FLEN/8, x4, x1, x2) + +inst_703: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1406*FLEN/8, x4, x1, x2) + +inst_704: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1408*FLEN/8, x4, x1, x2) + +inst_705: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1410*FLEN/8, x4, x1, x2) + +inst_706: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1412*FLEN/8, x4, x1, x2) + +inst_707: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1414*FLEN/8, x4, x1, x2) + +inst_708: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1416*FLEN/8, x4, x1, x2) + +inst_709: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1418*FLEN/8, x4, x1, x2) + +inst_710: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1420*FLEN/8, x4, x1, x2) + +inst_711: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1422*FLEN/8, x4, x1, x2) + +inst_712: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1424*FLEN/8, x4, x1, x2) + +inst_713: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1426*FLEN/8, x4, x1, x2) + +inst_714: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1428*FLEN/8, x4, x1, x2) + +inst_715: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x7f0; + valaddr_reg:x3; val_offset:1430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1430*FLEN/8, x4, x1, x2) + +inst_716: +// fs1 == 0 and fe1 == 0x81 and fm1 == 0x1d309f and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x409d309f; op2val:0x7f0; + valaddr_reg:x3; val_offset:1432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1432*FLEN/8, x4, x1, x2) + +inst_717: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x1d309f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x409d309f; + valaddr_reg:x3; val_offset:1434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1434*FLEN/8, x4, x1, x2) + +inst_718: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x357d2c and fs2 == 0 and fe2 == 0x81 and fm2 == 0x1d309f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x357d2c; op2val:0x409d309f; + valaddr_reg:x3; val_offset:1436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1436*FLEN/8, x4, x1, x2) + +inst_719: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1438*FLEN/8, x4, x1, x2) + +inst_720: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d8cd6 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d9d8cd6; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1440*FLEN/8, x4, x1, x2) + +inst_721: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x1d8cd6 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x7d9d8cd6; + valaddr_reg:x3; val_offset:1442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1442*FLEN/8, x4, x1, x2) + +inst_722: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1444*FLEN/8, x4, x1, x2) + +inst_723: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1446*FLEN/8, x4, x1, x2) + +inst_724: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44f00b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f44f00b; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1448*FLEN/8, x4, x1, x2) + +inst_725: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x44f00b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x7f44f00b; + valaddr_reg:x3; val_offset:1450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1450*FLEN/8, x4, x1, x2) + +inst_726: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1452*FLEN/8, x4, x1, x2) + +inst_727: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d8cd6 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d9d8cd6; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1454*FLEN/8, x4, x1, x2) + +inst_728: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1456*FLEN/8, x4, x1, x2) + +inst_729: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44f00b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f44f00b; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1458*FLEN/8, x4, x1, x2) + +inst_730: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1460*FLEN/8, x4, x1, x2) + +inst_731: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d8cd6 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d9d8cd6; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1462*FLEN/8, x4, x1, x2) + +inst_732: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1464*FLEN/8, x4, x1, x2) + +inst_733: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d8cd6 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d9d8cd6; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1466*FLEN/8, x4, x1, x2) + +inst_734: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1468*FLEN/8, x4, x1, x2) + +inst_735: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44f00b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f44f00b; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1470*FLEN/8, x4, x1, x2) + +inst_736: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1472*FLEN/8, x4, x1, x2) + +inst_737: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44f00b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f44f00b; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1474*FLEN/8, x4, x1, x2) + +inst_738: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1476*FLEN/8, x4, x1, x2) + +inst_739: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x44f00b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f44f00b; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1478*FLEN/8, x4, x1, x2) + +inst_740: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1480*FLEN/8, x4, x1, x2) + +inst_741: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x1d8cd6 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d9d8cd6; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1482*FLEN/8, x4, x1, x2) + +inst_742: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1484*FLEN/8, x4, x1, x2) + +inst_743: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1486*FLEN/8, x4, x1, x2) + +inst_744: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1488*FLEN/8, x4, x1, x2) + +inst_745: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1490*FLEN/8, x4, x1, x2) + +inst_746: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1492*FLEN/8, x4, x1, x2) + +inst_747: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1494*FLEN/8, x4, x1, x2) + +inst_748: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1496*FLEN/8, x4, x1, x2) + +inst_749: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1498*FLEN/8, x4, x1, x2) + +inst_750: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1500*FLEN/8, x4, x1, x2) + +inst_751: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1502*FLEN/8, x4, x1, x2) + +inst_752: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1504*FLEN/8, x4, x1, x2) + +inst_753: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1506*FLEN/8, x4, x1, x2) + +inst_754: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x7f0; + valaddr_reg:x3; val_offset:1508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1508*FLEN/8, x4, x1, x2) + +inst_755: +// fs1 == 0 and fe1 == 0x80 and fm1 == 0x27893a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4027893a; op2val:0x7f0; + valaddr_reg:x3; val_offset:1510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1510*FLEN/8, x4, x1, x2) + +inst_756: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x27893a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x4027893a; + valaddr_reg:x3; val_offset:1512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1512*FLEN/8, x4, x1, x2) + +inst_757: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1c8139 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x27893a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1c8139; op2val:0x4027893a; + valaddr_reg:x3; val_offset:1514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1514*FLEN/8, x4, x1, x2) + +inst_758: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1516*FLEN/8, x4, x1, x2) + +inst_759: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x1f6f2f and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e1f6f2f; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1518*FLEN/8, x4, x1, x2) + +inst_760: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0xfc and fm2 == 0x1f6f2f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x7e1f6f2f; + valaddr_reg:x3; val_offset:1520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1520*FLEN/8, x4, x1, x2) + +inst_761: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1522*FLEN/8, x4, x1, x2) + +inst_762: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1524*FLEN/8, x4, x1, x2) + +inst_763: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1526*FLEN/8, x4, x1, x2) + +inst_764: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1528*FLEN/8, x4, x1, x2) + +inst_765: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x1f6f2f and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e1f6f2f; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1530*FLEN/8, x4, x1, x2) + +inst_766: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1532*FLEN/8, x4, x1, x2) + +inst_767: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1534*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_7) + +inst_768: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x1f6f2f and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e1f6f2f; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1536*FLEN/8, x4, x1, x2) + +inst_769: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1538*FLEN/8, x4, x1, x2) + +inst_770: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x1f6f2f and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e1f6f2f; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1540*FLEN/8, x4, x1, x2) + +inst_771: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1542*FLEN/8, x4, x1, x2) + +inst_772: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1544*FLEN/8, x4, x1, x2) + +inst_773: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1546*FLEN/8, x4, x1, x2) + +inst_774: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1548*FLEN/8, x4, x1, x2) + +inst_775: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x1f6f2f and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e1f6f2f; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1550*FLEN/8, x4, x1, x2) + +inst_776: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1552*FLEN/8, x4, x1, x2) + +inst_777: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1554*FLEN/8, x4, x1, x2) + +inst_778: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1556*FLEN/8, x4, x1, x2) + +inst_779: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1558*FLEN/8, x4, x1, x2) + +inst_780: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1560*FLEN/8, x4, x1, x2) + +inst_781: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1562*FLEN/8, x4, x1, x2) + +inst_782: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1564*FLEN/8, x4, x1, x2) + +inst_783: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1566*FLEN/8, x4, x1, x2) + +inst_784: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1568*FLEN/8, x4, x1, x2) + +inst_785: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1570*FLEN/8, x4, x1, x2) + +inst_786: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x7f0; + valaddr_reg:x3; val_offset:1572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1572*FLEN/8, x4, x1, x2) + +inst_787: +// fs1 == 0 and fe1 == 0x81 and fm1 == 0x298a26 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x40a98a26; op2val:0x7f0; + valaddr_reg:x3; val_offset:1574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1574*FLEN/8, x4, x1, x2) + +inst_788: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x298a26 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x40a98a26; + valaddr_reg:x3; val_offset:1576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1576*FLEN/8, x4, x1, x2) + +inst_789: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x39b0fc and fs2 == 0 and fe2 == 0x81 and fm2 == 0x298a26 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x39b0fc; op2val:0x40a98a26; + valaddr_reg:x3; val_offset:1578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1578*FLEN/8, x4, x1, x2) + +inst_790: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1580*FLEN/8, x4, x1, x2) + +inst_791: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03c146 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03c146; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1582*FLEN/8, x4, x1, x2) + +inst_792: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x03c146 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0xfe03c146; + valaddr_reg:x3; val_offset:1584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1584*FLEN/8, x4, x1, x2) + +inst_793: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1586*FLEN/8, x4, x1, x2) + +inst_794: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1588*FLEN/8, x4, x1, x2) + +inst_795: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1590*FLEN/8, x4, x1, x2) + +inst_796: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1592*FLEN/8, x4, x1, x2) + +inst_797: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03c146 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03c146; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1594*FLEN/8, x4, x1, x2) + +inst_798: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1596*FLEN/8, x4, x1, x2) + +inst_799: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1598*FLEN/8, x4, x1, x2) + +inst_800: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03c146 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03c146; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1600*FLEN/8, x4, x1, x2) + +inst_801: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1602*FLEN/8, x4, x1, x2) + +inst_802: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03c146 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03c146; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1604*FLEN/8, x4, x1, x2) + +inst_803: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1606*FLEN/8, x4, x1, x2) + +inst_804: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1608*FLEN/8, x4, x1, x2) + +inst_805: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1610*FLEN/8, x4, x1, x2) + +inst_806: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1612*FLEN/8, x4, x1, x2) + +inst_807: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03c146 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03c146; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1614*FLEN/8, x4, x1, x2) + +inst_808: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1616*FLEN/8, x4, x1, x2) + +inst_809: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1618*FLEN/8, x4, x1, x2) + +inst_810: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1620*FLEN/8, x4, x1, x2) + +inst_811: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1622*FLEN/8, x4, x1, x2) + +inst_812: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1624*FLEN/8, x4, x1, x2) + +inst_813: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1626*FLEN/8, x4, x1, x2) + +inst_814: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1628*FLEN/8, x4, x1, x2) + +inst_815: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1630*FLEN/8, x4, x1, x2) + +inst_816: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0x7f0; + valaddr_reg:x3; val_offset:1632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1632*FLEN/8, x4, x1, x2) + +inst_817: +// fs1 == 1 and fe1 == 0x81 and fm1 == 0x0c1b1e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc08c1b1e; op2val:0x7f0; + valaddr_reg:x3; val_offset:1634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1634*FLEN/8, x4, x1, x2) + +inst_818: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x0c1b1e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc08c1b1e; + valaddr_reg:x3; val_offset:1636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1636*FLEN/8, x4, x1, x2) + +inst_819: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2facf2 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x0c1b1e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802facf2; op2val:0xc08c1b1e; + valaddr_reg:x3; val_offset:1638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1638*FLEN/8, x4, x1, x2) + +inst_820: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1640*FLEN/8, x4, x1, x2) + +inst_821: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x157915 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd157915; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1642*FLEN/8, x4, x1, x2) + +inst_822: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0xfa and fm2 == 0x157915 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0xfd157915; + valaddr_reg:x3; val_offset:1644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1644*FLEN/8, x4, x1, x2) + +inst_823: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1646*FLEN/8, x4, x1, x2) + +inst_824: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1648*FLEN/8, x4, x1, x2) + +inst_825: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x3ad75a and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfebad75a; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1650*FLEN/8, x4, x1, x2) + +inst_826: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0xfd and fm2 == 0x3ad75a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0xfebad75a; + valaddr_reg:x3; val_offset:1652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1652*FLEN/8, x4, x1, x2) + +inst_827: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1654*FLEN/8, x4, x1, x2) + +inst_828: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x157915 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd157915; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1656*FLEN/8, x4, x1, x2) + +inst_829: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1658*FLEN/8, x4, x1, x2) + +inst_830: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x3ad75a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfebad75a; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1660*FLEN/8, x4, x1, x2) + +inst_831: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1662*FLEN/8, x4, x1, x2) + +inst_832: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x157915 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd157915; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1664*FLEN/8, x4, x1, x2) + +inst_833: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1666*FLEN/8, x4, x1, x2) + +inst_834: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x157915 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd157915; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1668*FLEN/8, x4, x1, x2) + +inst_835: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1670*FLEN/8, x4, x1, x2) + +inst_836: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x3ad75a and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfebad75a; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1672*FLEN/8, x4, x1, x2) + +inst_837: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1674*FLEN/8, x4, x1, x2) + +inst_838: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x3ad75a and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfebad75a; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1676*FLEN/8, x4, x1, x2) + +inst_839: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1678*FLEN/8, x4, x1, x2) + +inst_840: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x3ad75a and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfebad75a; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1680*FLEN/8, x4, x1, x2) + +inst_841: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1682*FLEN/8, x4, x1, x2) + +inst_842: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x157915 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd157915; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1684*FLEN/8, x4, x1, x2) + +inst_843: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1686*FLEN/8, x4, x1, x2) + +inst_844: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1688*FLEN/8, x4, x1, x2) + +inst_845: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1690*FLEN/8, x4, x1, x2) + +inst_846: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1692*FLEN/8, x4, x1, x2) + +inst_847: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1694*FLEN/8, x4, x1, x2) + +inst_848: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1696*FLEN/8, x4, x1, x2) + +inst_849: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0x7f0; + valaddr_reg:x3; val_offset:1698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1698*FLEN/8, x4, x1, x2) + +inst_850: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x1ef26a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf9ef26a; op2val:0x7f0; + valaddr_reg:x3; val_offset:1700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1700*FLEN/8, x4, x1, x2) + +inst_851: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x1ef26a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xbf9ef26a; + valaddr_reg:x3; val_offset:1702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1702*FLEN/8, x4, x1, x2) + +inst_852: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0d858e and fs2 == 1 and fe2 == 0x7f and fm2 == 0x1ef26a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800d858e; op2val:0xbf9ef26a; + valaddr_reg:x3; val_offset:1704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1704*FLEN/8, x4, x1, x2) + +inst_853: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1706*FLEN/8, x4, x1, x2) + +inst_854: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x48a6ca and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdc8a6ca; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1708*FLEN/8, x4, x1, x2) + +inst_855: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0xfb and fm2 == 0x48a6ca and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0xfdc8a6ca; + valaddr_reg:x3; val_offset:1710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1710*FLEN/8, x4, x1, x2) + +inst_856: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1712*FLEN/8, x4, x1, x2) + +inst_857: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1714*FLEN/8, x4, x1, x2) + +inst_858: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7ad07d and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7ad07d; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1716*FLEN/8, x4, x1, x2) + +inst_859: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7ad07d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0xff7ad07d; + valaddr_reg:x3; val_offset:1718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1718*FLEN/8, x4, x1, x2) + +inst_860: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1720*FLEN/8, x4, x1, x2) + +inst_861: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x48a6ca and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdc8a6ca; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1722*FLEN/8, x4, x1, x2) + +inst_862: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1724*FLEN/8, x4, x1, x2) + +inst_863: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7ad07d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7ad07d; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1726*FLEN/8, x4, x1, x2) + +inst_864: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1728*FLEN/8, x4, x1, x2) + +inst_865: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x48a6ca and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdc8a6ca; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1730*FLEN/8, x4, x1, x2) + +inst_866: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1732*FLEN/8, x4, x1, x2) + +inst_867: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x48a6ca and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdc8a6ca; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1734*FLEN/8, x4, x1, x2) + +inst_868: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1736*FLEN/8, x4, x1, x2) + +inst_869: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7ad07d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7ad07d; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1738*FLEN/8, x4, x1, x2) + +inst_870: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1740*FLEN/8, x4, x1, x2) + +inst_871: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7ad07d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7ad07d; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1742*FLEN/8, x4, x1, x2) + +inst_872: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1744*FLEN/8, x4, x1, x2) + +inst_873: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7ad07d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7ad07d; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1746*FLEN/8, x4, x1, x2) + +inst_874: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1748*FLEN/8, x4, x1, x2) + +inst_875: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x48a6ca and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdc8a6ca; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1750*FLEN/8, x4, x1, x2) + +inst_876: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1752*FLEN/8, x4, x1, x2) + +inst_877: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1754*FLEN/8, x4, x1, x2) + +inst_878: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1756*FLEN/8, x4, x1, x2) + +inst_879: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1758*FLEN/8, x4, x1, x2) + +inst_880: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0x7f0; + valaddr_reg:x3; val_offset:1760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1760*FLEN/8, x4, x1, x2) + +inst_881: +// fs1 == 1 and fe1 == 0x80 and fm1 == 0x555e8a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc0555e8a; op2val:0x7f0; + valaddr_reg:x3; val_offset:1762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1762*FLEN/8, x4, x1, x2) + +inst_882: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x555e8a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc0555e8a; + valaddr_reg:x3; val_offset:1764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1764*FLEN/8, x4, x1, x2) + +inst_883: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x244d8b and fs2 == 1 and fe2 == 0x80 and fm2 == 0x555e8a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80244d8b; op2val:0xc0555e8a; + valaddr_reg:x3; val_offset:1766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1766*FLEN/8, x4, x1, x2) + +inst_884: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1768*FLEN/8, x4, x1, x2) + +inst_885: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x4500e4 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd4500e4; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1770*FLEN/8, x4, x1, x2) + +inst_886: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x4500e4 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0xfd4500e4; + valaddr_reg:x3; val_offset:1772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1772*FLEN/8, x4, x1, x2) + +inst_887: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1774*FLEN/8, x4, x1, x2) + +inst_888: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1776*FLEN/8, x4, x1, x2) + +inst_889: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x76411d and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfef6411d; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1778*FLEN/8, x4, x1, x2) + +inst_890: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x76411d and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0xfef6411d; + valaddr_reg:x3; val_offset:1780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1780*FLEN/8, x4, x1, x2) + +inst_891: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1782*FLEN/8, x4, x1, x2) + +inst_892: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x4500e4 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd4500e4; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1784*FLEN/8, x4, x1, x2) + +inst_893: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1786*FLEN/8, x4, x1, x2) + +inst_894: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x76411d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfef6411d; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1788*FLEN/8, x4, x1, x2) + +inst_895: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1790*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_8) + +inst_896: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x4500e4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd4500e4; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1792*FLEN/8, x4, x1, x2) + +inst_897: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1794*FLEN/8, x4, x1, x2) + +inst_898: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x4500e4 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd4500e4; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1796*FLEN/8, x4, x1, x2) + +inst_899: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1798*FLEN/8, x4, x1, x2) + +inst_900: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x76411d and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfef6411d; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1800*FLEN/8, x4, x1, x2) + +inst_901: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1802*FLEN/8, x4, x1, x2) + +inst_902: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x76411d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfef6411d; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1804*FLEN/8, x4, x1, x2) + +inst_903: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1806*FLEN/8, x4, x1, x2) + +inst_904: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x76411d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfef6411d; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1808*FLEN/8, x4, x1, x2) + +inst_905: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1810*FLEN/8, x4, x1, x2) + +inst_906: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x4500e4 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd4500e4; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1812*FLEN/8, x4, x1, x2) + +inst_907: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1814*FLEN/8, x4, x1, x2) + +inst_908: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1816*FLEN/8, x4, x1, x2) + +inst_909: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0x7f0; + valaddr_reg:x3; val_offset:1818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1818*FLEN/8, x4, x1, x2) + +inst_910: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x517d72 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbfd17d72; op2val:0x7f0; + valaddr_reg:x3; val_offset:1820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1820*FLEN/8, x4, x1, x2) + +inst_911: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x517d72 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xbfd17d72; + valaddr_reg:x3; val_offset:1822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1822*FLEN/8, x4, x1, x2) + +inst_912: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x11d249 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x517d72 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8011d249; op2val:0xbfd17d72; + valaddr_reg:x3; val_offset:1824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1824*FLEN/8, x4, x1, x2) + +inst_913: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1826*FLEN/8, x4, x1, x2) + +inst_914: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2b7553 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2b7553; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1828*FLEN/8, x4, x1, x2) + +inst_915: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0xfc and fm2 == 0x2b7553 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0xfe2b7553; + valaddr_reg:x3; val_offset:1830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1830*FLEN/8, x4, x1, x2) + +inst_916: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1832*FLEN/8, x4, x1, x2) + +inst_917: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1834*FLEN/8, x4, x1, x2) + +inst_918: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1836*FLEN/8, x4, x1, x2) + +inst_919: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1838*FLEN/8, x4, x1, x2) + +inst_920: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2b7553 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2b7553; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1840*FLEN/8, x4, x1, x2) + +inst_921: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1842*FLEN/8, x4, x1, x2) + +inst_922: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1844*FLEN/8, x4, x1, x2) + +inst_923: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2b7553 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2b7553; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1846*FLEN/8, x4, x1, x2) + +inst_924: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1848*FLEN/8, x4, x1, x2) + +inst_925: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2b7553 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2b7553; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1850*FLEN/8, x4, x1, x2) + +inst_926: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1852*FLEN/8, x4, x1, x2) + +inst_927: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1854*FLEN/8, x4, x1, x2) + +inst_928: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1856*FLEN/8, x4, x1, x2) + +inst_929: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1858*FLEN/8, x4, x1, x2) + +inst_930: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2b7553 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2b7553; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1860*FLEN/8, x4, x1, x2) + +inst_931: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0x7f0; + valaddr_reg:x3; val_offset:1862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1862*FLEN/8, x4, x1, x2) + +inst_932: +// fs1 == 1 and fe1 == 0x81 and fm1 == 0x365363 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc0b65363; op2val:0x7f0; + valaddr_reg:x3; val_offset:1864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1864*FLEN/8, x4, x1, x2) + +inst_933: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x365363 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc0b65363; + valaddr_reg:x3; val_offset:1866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1866*FLEN/8, x4, x1, x2) + +inst_934: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e0acf and fs2 == 1 and fe2 == 0x81 and fm2 == 0x365363 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e0acf; op2val:0xc0b65363; + valaddr_reg:x3; val_offset:1868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1868*FLEN/8, x4, x1, x2) + +inst_935: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1870*FLEN/8, x4, x1, x2) + +inst_936: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7f0; + valaddr_reg:x3; val_offset:1872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1872*FLEN/8, x4, x1, x2) + +inst_937: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1874*FLEN/8, x4, x1, x2) + +inst_938: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x102b16 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7d902b16; + valaddr_reg:x3; val_offset:1876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1876*FLEN/8, x4, x1, x2) + +inst_939: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x125b96 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7f125b96; + valaddr_reg:x3; val_offset:1878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1878*FLEN/8, x4, x1, x2) + +inst_940: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x2fb07b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7e2fb07b; + valaddr_reg:x3; val_offset:1880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1880*FLEN/8, x4, x1, x2) + +inst_941: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x6a577e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xfdea577e; + valaddr_reg:x3; val_offset:1882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1882*FLEN/8, x4, x1, x2) + +inst_942: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x53653a and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xfed3653a; + valaddr_reg:x3; val_offset:1884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1884*FLEN/8, x4, x1, x2) + +inst_943: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3a8ea9 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xff3a8ea9; + valaddr_reg:x3; val_offset:1886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1886*FLEN/8, x4, x1, x2) + +inst_944: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x3f987b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xff3f987b; + valaddr_reg:x3; val_offset:1888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1888*FLEN/8, x4, x1, x2) + +inst_945: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfa and fm2 == 0x2820df and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xfd2820df; + valaddr_reg:x3; val_offset:1890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1890*FLEN/8, x4, x1, x2) + +inst_946: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x30e1ae and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x30e1ae; + valaddr_reg:x3; val_offset:1892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1892*FLEN/8, x4, x1, x2) + +inst_947: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x29b3b2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x29b3b2; + valaddr_reg:x3; val_offset:1894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1894*FLEN/8, x4, x1, x2) + +inst_948: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x357d2c and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x357d2c; + valaddr_reg:x3; val_offset:1896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1896*FLEN/8, x4, x1, x2) + +inst_949: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1c8139 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x1c8139; + valaddr_reg:x3; val_offset:1898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1898*FLEN/8, x4, x1, x2) + +inst_950: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x39b0fc and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x39b0fc; + valaddr_reg:x3; val_offset:1900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1900*FLEN/8, x4, x1, x2) + +inst_951: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2facf2 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x802facf2; + valaddr_reg:x3; val_offset:1902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1902*FLEN/8, x4, x1, x2) + +inst_952: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0d858e and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x800d858e; + valaddr_reg:x3; val_offset:1904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1904*FLEN/8, x4, x1, x2) + +inst_953: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x244d8b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x80244d8b; + valaddr_reg:x3; val_offset:1906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1906*FLEN/8, x4, x1, x2) + +inst_954: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x11d249 and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x8011d249; + valaddr_reg:x3; val_offset:1908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1908*FLEN/8, x4, x1, x2) + +inst_955: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e0acf and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x803e0acf; + valaddr_reg:x3; val_offset:1910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1910*FLEN/8, x4, x1, x2) + +inst_956: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x4e622b and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x7dce622b; + valaddr_reg:x3; val_offset:1912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1912*FLEN/8, x4, x1, x2) + +inst_957: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x4e622b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x3e3f3f and fcsr == 0 +/* opcode: fmaxm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7dce622b; op2val:0x7ebe3f3f; + valaddr_reg:x3; val_offset:1914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fmaxm.s, f31, f30, f29, 0, 0, x3, 1914*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2447111,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1079736044,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1079736044,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(1079736044,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(2098737817,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(902312,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1067571236,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1067571236,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(1067571236,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(2134128092,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(1709419,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1075400281,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1075400281,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(1075400281,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(2104110116,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(1388304,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1073284044,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1073284044,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(1073284044,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(4166336,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1085985586,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1085985586,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(1085985586,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2150262264,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3229168101,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3229168101,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(3229168101,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(4247330248,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2148486263,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3216233944,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3216233944,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(3216233944,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(4254416622,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2149253272,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3223237779,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3223237779,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(3223237779,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(4254680776,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2149301064,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3223518677,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3223518677,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(3223518677,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4275185943,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2148480406,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3216165096,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3216165096,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(3216165096,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2114393724,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1083156072,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1083156072,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(1083156072,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2112257578,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1081416222,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1081416222,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(1081416222,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2115228185,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1084043423,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1084043423,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(1084043423,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2135224331,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2107477206,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1076332858,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1076332858,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(1076332858,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2115989295,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1084852774,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1084852774,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(1084852774,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4261658950,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3230407454,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3230407454,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(3230407454,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4273657690,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4246042901,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3214865002,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3214865002,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(3214865002,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4286238845,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4257785546,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3226820234,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3226820234,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(3226820234,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(4277551389,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4249157860,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3218177394,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3218177394,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(3218177394,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(4264260947,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3233174371,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3233174371,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(3233174371,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2106600214,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2131909526,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2117054587,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4259993470,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4275266874,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4282027689,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4282357883,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4247265503,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3203502,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2732978,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3505452,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1868089,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3780860,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2150608114,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2148369806,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2149862795,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2148651593,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2151549647,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2110677547,32,FLEN) +NAN_BOXED(2126397247,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_5: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_6: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_7: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_8: + .fill 124*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/F_Zfa/src/fminm_b1-01.S b/riscv-test-suite/rv64i_m/F_Zfa/src/fminm_b1-01.S new file mode 100644 index 000000000..9e7bafde3 --- /dev/null +++ b/riscv-test-suite/rv64i_m/F_Zfa/src/fminm_b1-01.S @@ -0,0 +1,5889 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:36:43 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fminm.s.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fminm.s instruction of the RISC-V RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fminm_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fminm_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 == rs2 != rd, rs1==f30, rs2==f30, rd==f31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f30; dest:f31; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f31, rs2==f29, rd==f30,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f31; op2:f29; dest:f30; op1val:0x0; op2val:0x80000000; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f30, f31, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs1 == rs2 == rd, rs1==f28, rs2==f28, rd==f28,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f28; op2:f28; dest:f28; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f28, f28, f28, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs2 == rd != rs1, rs1==f29, rs2==f27, rd==f27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f29; op2:f27; dest:f27; op1val:0x0; op2val:0x80000001; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f27, f29, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs1 == rd != rs2, rs1==f26, rs2==f31, rd==f26,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f26; op2:f31; dest:f26; op1val:0x0; op2val:0x2; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f26, f26, f31, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f27, rs2==f26, rd==f29,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f27; op2:f26; dest:f29; op1val:0x0; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f29, f27, f26, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f24, rs2==f23, rd==f25,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f24; op2:f23; dest:f25; op1val:0x0; op2val:0x7fffff; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f25, f24, f23, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f23, rs2==f25, rd==f24,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f23; op2:f25; dest:f24; op1val:0x0; op2val:0x807fffff; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f24, f23, f25, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f25, rs2==f24, rd==f23,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f25; op2:f24; dest:f23; op1val:0x0; op2val:0x800000; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f23, f25, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rs2==f20, rd==f22,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f21; op2:f20; dest:f22; op1val:0x0; op2val:0x80800000; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f22, f21, f20, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f20, rs2==f22, rd==f21,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f20; op2:f22; dest:f21; op1val:0x0; op2val:0x800001; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f21, f20, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f22, rs2==f21, rd==f20,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f22; op2:f21; dest:f20; op1val:0x0; op2val:0x80855555; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f20, f22, f21, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f18, rs2==f17, rd==f19,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f18; op2:f17; dest:f19; op1val:0x0; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f19, f18, f17, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f17, rs2==f19, rd==f18,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f17; op2:f19; dest:f18; op1val:0x0; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f18, f17, f19, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f19, rs2==f18, rd==f17,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f19; op2:f18; dest:f17; op1val:0x0; op2val:0x7f800000; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f17, f19, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rs2==f14, rd==f16,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f15; op2:f14; dest:f16; op1val:0x0; op2val:0xff800000; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f16, f15, f14, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f14, rs2==f16, rd==f15,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f14; op2:f16; dest:f15; op1val:0x0; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f15, f14, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f16, rs2==f15, rd==f14,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f16; op2:f15; dest:f14; op1val:0x0; op2val:0xffc00000; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f14, f16, f15, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f12, rs2==f11, rd==f13,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f12; op2:f11; dest:f13; op1val:0x0; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f13, f12, f11, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f11, rs2==f13, rd==f12,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f11; op2:f13; dest:f12; op1val:0x0; op2val:0xffc55555; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f12, f11, f13, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f13, rs2==f12, rd==f11,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f13; op2:f12; dest:f11; op1val:0x0; op2val:0x7f800001; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f11, f13, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rs2==f8, rd==f10,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f9; op2:f8; dest:f10; op1val:0x0; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f10, f9, f8, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f8, rs2==f10, rd==f9,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f8; op2:f10; dest:f9; op1val:0x0; op2val:0x3f800000; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f9, f8, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f10, rs2==f9, rd==f8,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f10; op2:f9; dest:f8; op1val:0x0; op2val:0xbf800000; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f8, f10, f9, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f6, rs2==f5, rd==f7,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f6; op2:f5; dest:f7; op1val:0x80000000; op2val:0x0; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f7, f6, f5, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f5, rs2==f7, rd==f6,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f5; op2:f7; dest:f6; op1val:0x80000000; op2val:0x80000000; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f6, f5, f7, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f7, rs2==f6, rd==f5,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f7; op2:f6; dest:f5; op1val:0x80000000; op2val:0x1; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f5, f7, f6, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rs2==f2, rd==f4,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f3; op2:f2; dest:f4; op1val:0x80000000; op2val:0x80000001; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f4, f3, f2, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f2, rs2==f4, rd==f3,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f2; op2:f4; dest:f3; op1val:0x80000000; op2val:0x2; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f3, f2, f4, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f4, rs2==f3, rd==f2,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f4; op2:f3; dest:f2; op1val:0x80000000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f2, f4, f3, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f1,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f1; op2:f30; dest:f31; op1val:0x80000000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f1, f30, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f0; op2:f30; dest:f31; op1val:0x80000000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f0, f30, 0, 0, x3, 62*FLEN/8, x4, x1, x2) + +inst_32: +// rs2==f1,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f1; dest:f31; op1val:0x80000000; op2val:0x800000; + valaddr_reg:x3; val_offset:64*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f1, 0, 0, x3, 64*FLEN/8, x4, x1, x2) + +inst_33: +// rs2==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f0; dest:f31; op1val:0x80000000; op2val:0x80800000; + valaddr_reg:x3; val_offset:66*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f0, 0, 0, x3, 66*FLEN/8, x4, x1, x2) + +inst_34: +// rd==f1,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f31; op2:f30; dest:f1; op1val:0x80000000; op2val:0x800001; + valaddr_reg:x3; val_offset:68*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f1, f31, f30, 0, 0, x3, 68*FLEN/8, x4, x1, x2) + +inst_35: +// rd==f0,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f31; op2:f30; dest:f0; op1val:0x80000000; op2val:0x80855555; + valaddr_reg:x3; val_offset:70*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f0, f31, f30, 0, 0, x3, 70*FLEN/8, x4, x1, x2) + +inst_36: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:72*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 72*FLEN/8, x4, x1, x2) + +inst_37: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:74*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 74*FLEN/8, x4, x1, x2) + +inst_38: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:76*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 76*FLEN/8, x4, x1, x2) + +inst_39: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xff800000; + valaddr_reg:x3; val_offset:78*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 78*FLEN/8, x4, x1, x2) + +inst_40: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:80*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 80*FLEN/8, x4, x1, x2) + +inst_41: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:82*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 82*FLEN/8, x4, x1, x2) + +inst_42: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:84*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 84*FLEN/8, x4, x1, x2) + +inst_43: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:86*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 86*FLEN/8, x4, x1, x2) + +inst_44: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:88*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 88*FLEN/8, x4, x1, x2) + +inst_45: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:90*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 90*FLEN/8, x4, x1, x2) + +inst_46: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:92*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 92*FLEN/8, x4, x1, x2) + +inst_47: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:94*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 94*FLEN/8, x4, x1, x2) + +inst_48: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x0; + valaddr_reg:x3; val_offset:96*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 96*FLEN/8, x4, x1, x2) + +inst_49: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x80000000; + valaddr_reg:x3; val_offset:98*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 98*FLEN/8, x4, x1, x2) + +inst_50: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x1; + valaddr_reg:x3; val_offset:100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 100*FLEN/8, x4, x1, x2) + +inst_51: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x80000001; + valaddr_reg:x3; val_offset:102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 102*FLEN/8, x4, x1, x2) + +inst_52: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x2; + valaddr_reg:x3; val_offset:104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 104*FLEN/8, x4, x1, x2) + +inst_53: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 106*FLEN/8, x4, x1, x2) + +inst_54: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7fffff; + valaddr_reg:x3; val_offset:108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 108*FLEN/8, x4, x1, x2) + +inst_55: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x807fffff; + valaddr_reg:x3; val_offset:110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 110*FLEN/8, x4, x1, x2) + +inst_56: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x800000; + valaddr_reg:x3; val_offset:112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 112*FLEN/8, x4, x1, x2) + +inst_57: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x80800000; + valaddr_reg:x3; val_offset:114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 114*FLEN/8, x4, x1, x2) + +inst_58: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x800001; + valaddr_reg:x3; val_offset:116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 116*FLEN/8, x4, x1, x2) + +inst_59: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x80855555; + valaddr_reg:x3; val_offset:118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 118*FLEN/8, x4, x1, x2) + +inst_60: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 120*FLEN/8, x4, x1, x2) + +inst_61: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 122*FLEN/8, x4, x1, x2) + +inst_62: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7f800000; + valaddr_reg:x3; val_offset:124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 124*FLEN/8, x4, x1, x2) + +inst_63: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xff800000; + valaddr_reg:x3; val_offset:126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 126*FLEN/8, x4, x1, x2) + +inst_64: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 128*FLEN/8, x4, x1, x2) + +inst_65: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xffc00000; + valaddr_reg:x3; val_offset:130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 130*FLEN/8, x4, x1, x2) + +inst_66: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 132*FLEN/8, x4, x1, x2) + +inst_67: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xffc55555; + valaddr_reg:x3; val_offset:134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 134*FLEN/8, x4, x1, x2) + +inst_68: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x7f800001; + valaddr_reg:x3; val_offset:136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 136*FLEN/8, x4, x1, x2) + +inst_69: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 138*FLEN/8, x4, x1, x2) + +inst_70: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0x3f800000; + valaddr_reg:x3; val_offset:140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 140*FLEN/8, x4, x1, x2) + +inst_71: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1; op2val:0xbf800000; + valaddr_reg:x3; val_offset:142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 142*FLEN/8, x4, x1, x2) + +inst_72: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x0; + valaddr_reg:x3; val_offset:144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 144*FLEN/8, x4, x1, x2) + +inst_73: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x80000000; + valaddr_reg:x3; val_offset:146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 146*FLEN/8, x4, x1, x2) + +inst_74: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x1; + valaddr_reg:x3; val_offset:148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 148*FLEN/8, x4, x1, x2) + +inst_75: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x80000001; + valaddr_reg:x3; val_offset:150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 150*FLEN/8, x4, x1, x2) + +inst_76: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x2; + valaddr_reg:x3; val_offset:152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 152*FLEN/8, x4, x1, x2) + +inst_77: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 154*FLEN/8, x4, x1, x2) + +inst_78: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7fffff; + valaddr_reg:x3; val_offset:156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 156*FLEN/8, x4, x1, x2) + +inst_79: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x807fffff; + valaddr_reg:x3; val_offset:158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 158*FLEN/8, x4, x1, x2) + +inst_80: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x800000; + valaddr_reg:x3; val_offset:160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 160*FLEN/8, x4, x1, x2) + +inst_81: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x80800000; + valaddr_reg:x3; val_offset:162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 162*FLEN/8, x4, x1, x2) + +inst_82: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x800001; + valaddr_reg:x3; val_offset:164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 164*FLEN/8, x4, x1, x2) + +inst_83: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x80855555; + valaddr_reg:x3; val_offset:166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 166*FLEN/8, x4, x1, x2) + +inst_84: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 168*FLEN/8, x4, x1, x2) + +inst_85: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 170*FLEN/8, x4, x1, x2) + +inst_86: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7f800000; + valaddr_reg:x3; val_offset:172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 172*FLEN/8, x4, x1, x2) + +inst_87: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xff800000; + valaddr_reg:x3; val_offset:174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 174*FLEN/8, x4, x1, x2) + +inst_88: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 176*FLEN/8, x4, x1, x2) + +inst_89: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xffc00000; + valaddr_reg:x3; val_offset:178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 178*FLEN/8, x4, x1, x2) + +inst_90: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 180*FLEN/8, x4, x1, x2) + +inst_91: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xffc55555; + valaddr_reg:x3; val_offset:182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 182*FLEN/8, x4, x1, x2) + +inst_92: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x7f800001; + valaddr_reg:x3; val_offset:184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 184*FLEN/8, x4, x1, x2) + +inst_93: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 186*FLEN/8, x4, x1, x2) + +inst_94: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0x3f800000; + valaddr_reg:x3; val_offset:188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 188*FLEN/8, x4, x1, x2) + +inst_95: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80000001; op2val:0xbf800000; + valaddr_reg:x3; val_offset:190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 190*FLEN/8, x4, x1, x2) + +inst_96: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x0; + valaddr_reg:x3; val_offset:192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 192*FLEN/8, x4, x1, x2) + +inst_97: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x80000000; + valaddr_reg:x3; val_offset:194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 194*FLEN/8, x4, x1, x2) + +inst_98: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x1; + valaddr_reg:x3; val_offset:196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 196*FLEN/8, x4, x1, x2) + +inst_99: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x80000001; + valaddr_reg:x3; val_offset:198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 198*FLEN/8, x4, x1, x2) + +inst_100: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x2; + valaddr_reg:x3; val_offset:200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 200*FLEN/8, x4, x1, x2) + +inst_101: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 202*FLEN/8, x4, x1, x2) + +inst_102: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7fffff; + valaddr_reg:x3; val_offset:204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 204*FLEN/8, x4, x1, x2) + +inst_103: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x807fffff; + valaddr_reg:x3; val_offset:206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 206*FLEN/8, x4, x1, x2) + +inst_104: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x800000; + valaddr_reg:x3; val_offset:208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 208*FLEN/8, x4, x1, x2) + +inst_105: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x80800000; + valaddr_reg:x3; val_offset:210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 210*FLEN/8, x4, x1, x2) + +inst_106: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x800001; + valaddr_reg:x3; val_offset:212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 212*FLEN/8, x4, x1, x2) + +inst_107: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x80855555; + valaddr_reg:x3; val_offset:214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 214*FLEN/8, x4, x1, x2) + +inst_108: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 216*FLEN/8, x4, x1, x2) + +inst_109: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 218*FLEN/8, x4, x1, x2) + +inst_110: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7f800000; + valaddr_reg:x3; val_offset:220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 220*FLEN/8, x4, x1, x2) + +inst_111: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xff800000; + valaddr_reg:x3; val_offset:222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 222*FLEN/8, x4, x1, x2) + +inst_112: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 224*FLEN/8, x4, x1, x2) + +inst_113: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xffc00000; + valaddr_reg:x3; val_offset:226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 226*FLEN/8, x4, x1, x2) + +inst_114: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 228*FLEN/8, x4, x1, x2) + +inst_115: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xffc55555; + valaddr_reg:x3; val_offset:230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 230*FLEN/8, x4, x1, x2) + +inst_116: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x7f800001; + valaddr_reg:x3; val_offset:232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 232*FLEN/8, x4, x1, x2) + +inst_117: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 234*FLEN/8, x4, x1, x2) + +inst_118: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0x3f800000; + valaddr_reg:x3; val_offset:236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 236*FLEN/8, x4, x1, x2) + +inst_119: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2; op2val:0xbf800000; + valaddr_reg:x3; val_offset:238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 238*FLEN/8, x4, x1, x2) + +inst_120: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x0; + valaddr_reg:x3; val_offset:240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 240*FLEN/8, x4, x1, x2) + +inst_121: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x80000000; + valaddr_reg:x3; val_offset:242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 242*FLEN/8, x4, x1, x2) + +inst_122: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x1; + valaddr_reg:x3; val_offset:244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 244*FLEN/8, x4, x1, x2) + +inst_123: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x80000001; + valaddr_reg:x3; val_offset:246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 246*FLEN/8, x4, x1, x2) + +inst_124: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x2; + valaddr_reg:x3; val_offset:248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 248*FLEN/8, x4, x1, x2) + +inst_125: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 250*FLEN/8, x4, x1, x2) + +inst_126: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7fffff; + valaddr_reg:x3; val_offset:252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 252*FLEN/8, x4, x1, x2) + +inst_127: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x807fffff; + valaddr_reg:x3; val_offset:254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 254*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_2) + +inst_128: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x800000; + valaddr_reg:x3; val_offset:256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 256*FLEN/8, x4, x1, x2) + +inst_129: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x80800000; + valaddr_reg:x3; val_offset:258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 258*FLEN/8, x4, x1, x2) + +inst_130: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x800001; + valaddr_reg:x3; val_offset:260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 260*FLEN/8, x4, x1, x2) + +inst_131: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x80855555; + valaddr_reg:x3; val_offset:262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 262*FLEN/8, x4, x1, x2) + +inst_132: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 264*FLEN/8, x4, x1, x2) + +inst_133: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 266*FLEN/8, x4, x1, x2) + +inst_134: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7f800000; + valaddr_reg:x3; val_offset:268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 268*FLEN/8, x4, x1, x2) + +inst_135: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xff800000; + valaddr_reg:x3; val_offset:270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 270*FLEN/8, x4, x1, x2) + +inst_136: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 272*FLEN/8, x4, x1, x2) + +inst_137: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xffc00000; + valaddr_reg:x3; val_offset:274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 274*FLEN/8, x4, x1, x2) + +inst_138: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 276*FLEN/8, x4, x1, x2) + +inst_139: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xffc55555; + valaddr_reg:x3; val_offset:278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 278*FLEN/8, x4, x1, x2) + +inst_140: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x7f800001; + valaddr_reg:x3; val_offset:280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 280*FLEN/8, x4, x1, x2) + +inst_141: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 282*FLEN/8, x4, x1, x2) + +inst_142: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0x3f800000; + valaddr_reg:x3; val_offset:284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 284*FLEN/8, x4, x1, x2) + +inst_143: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807ffffe; op2val:0xbf800000; + valaddr_reg:x3; val_offset:286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 286*FLEN/8, x4, x1, x2) + +inst_144: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x0; + valaddr_reg:x3; val_offset:288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 288*FLEN/8, x4, x1, x2) + +inst_145: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x80000000; + valaddr_reg:x3; val_offset:290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 290*FLEN/8, x4, x1, x2) + +inst_146: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x1; + valaddr_reg:x3; val_offset:292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 292*FLEN/8, x4, x1, x2) + +inst_147: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x80000001; + valaddr_reg:x3; val_offset:294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 294*FLEN/8, x4, x1, x2) + +inst_148: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x2; + valaddr_reg:x3; val_offset:296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 296*FLEN/8, x4, x1, x2) + +inst_149: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 298*FLEN/8, x4, x1, x2) + +inst_150: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7fffff; + valaddr_reg:x3; val_offset:300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 300*FLEN/8, x4, x1, x2) + +inst_151: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x807fffff; + valaddr_reg:x3; val_offset:302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 302*FLEN/8, x4, x1, x2) + +inst_152: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x800000; + valaddr_reg:x3; val_offset:304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 304*FLEN/8, x4, x1, x2) + +inst_153: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x80800000; + valaddr_reg:x3; val_offset:306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 306*FLEN/8, x4, x1, x2) + +inst_154: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x800001; + valaddr_reg:x3; val_offset:308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 308*FLEN/8, x4, x1, x2) + +inst_155: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x80855555; + valaddr_reg:x3; val_offset:310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 310*FLEN/8, x4, x1, x2) + +inst_156: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 312*FLEN/8, x4, x1, x2) + +inst_157: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 314*FLEN/8, x4, x1, x2) + +inst_158: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7f800000; + valaddr_reg:x3; val_offset:316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 316*FLEN/8, x4, x1, x2) + +inst_159: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xff800000; + valaddr_reg:x3; val_offset:318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 318*FLEN/8, x4, x1, x2) + +inst_160: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 320*FLEN/8, x4, x1, x2) + +inst_161: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xffc00000; + valaddr_reg:x3; val_offset:322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 322*FLEN/8, x4, x1, x2) + +inst_162: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 324*FLEN/8, x4, x1, x2) + +inst_163: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xffc55555; + valaddr_reg:x3; val_offset:326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 326*FLEN/8, x4, x1, x2) + +inst_164: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x7f800001; + valaddr_reg:x3; val_offset:328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 328*FLEN/8, x4, x1, x2) + +inst_165: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 330*FLEN/8, x4, x1, x2) + +inst_166: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0x3f800000; + valaddr_reg:x3; val_offset:332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 332*FLEN/8, x4, x1, x2) + +inst_167: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fffff; op2val:0xbf800000; + valaddr_reg:x3; val_offset:334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 334*FLEN/8, x4, x1, x2) + +inst_168: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x0; + valaddr_reg:x3; val_offset:336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 336*FLEN/8, x4, x1, x2) + +inst_169: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x80000000; + valaddr_reg:x3; val_offset:338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 338*FLEN/8, x4, x1, x2) + +inst_170: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x1; + valaddr_reg:x3; val_offset:340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 340*FLEN/8, x4, x1, x2) + +inst_171: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x80000001; + valaddr_reg:x3; val_offset:342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 342*FLEN/8, x4, x1, x2) + +inst_172: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x2; + valaddr_reg:x3; val_offset:344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 344*FLEN/8, x4, x1, x2) + +inst_173: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 346*FLEN/8, x4, x1, x2) + +inst_174: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7fffff; + valaddr_reg:x3; val_offset:348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 348*FLEN/8, x4, x1, x2) + +inst_175: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x807fffff; + valaddr_reg:x3; val_offset:350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 350*FLEN/8, x4, x1, x2) + +inst_176: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x800000; + valaddr_reg:x3; val_offset:352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 352*FLEN/8, x4, x1, x2) + +inst_177: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x80800000; + valaddr_reg:x3; val_offset:354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 354*FLEN/8, x4, x1, x2) + +inst_178: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x800001; + valaddr_reg:x3; val_offset:356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 356*FLEN/8, x4, x1, x2) + +inst_179: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x80855555; + valaddr_reg:x3; val_offset:358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 358*FLEN/8, x4, x1, x2) + +inst_180: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 360*FLEN/8, x4, x1, x2) + +inst_181: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 362*FLEN/8, x4, x1, x2) + +inst_182: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7f800000; + valaddr_reg:x3; val_offset:364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 364*FLEN/8, x4, x1, x2) + +inst_183: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xff800000; + valaddr_reg:x3; val_offset:366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 366*FLEN/8, x4, x1, x2) + +inst_184: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 368*FLEN/8, x4, x1, x2) + +inst_185: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xffc00000; + valaddr_reg:x3; val_offset:370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 370*FLEN/8, x4, x1, x2) + +inst_186: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 372*FLEN/8, x4, x1, x2) + +inst_187: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xffc55555; + valaddr_reg:x3; val_offset:374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 374*FLEN/8, x4, x1, x2) + +inst_188: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x7f800001; + valaddr_reg:x3; val_offset:376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 376*FLEN/8, x4, x1, x2) + +inst_189: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 378*FLEN/8, x4, x1, x2) + +inst_190: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0x3f800000; + valaddr_reg:x3; val_offset:380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 380*FLEN/8, x4, x1, x2) + +inst_191: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x807fffff; op2val:0xbf800000; + valaddr_reg:x3; val_offset:382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 382*FLEN/8, x4, x1, x2) + +inst_192: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x0; + valaddr_reg:x3; val_offset:384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 384*FLEN/8, x4, x1, x2) + +inst_193: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 386*FLEN/8, x4, x1, x2) + +inst_194: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x1; + valaddr_reg:x3; val_offset:388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 388*FLEN/8, x4, x1, x2) + +inst_195: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 390*FLEN/8, x4, x1, x2) + +inst_196: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x2; + valaddr_reg:x3; val_offset:392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 392*FLEN/8, x4, x1, x2) + +inst_197: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 394*FLEN/8, x4, x1, x2) + +inst_198: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 396*FLEN/8, x4, x1, x2) + +inst_199: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 398*FLEN/8, x4, x1, x2) + +inst_200: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x800000; + valaddr_reg:x3; val_offset:400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 400*FLEN/8, x4, x1, x2) + +inst_201: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 402*FLEN/8, x4, x1, x2) + +inst_202: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x800001; + valaddr_reg:x3; val_offset:404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 404*FLEN/8, x4, x1, x2) + +inst_203: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 406*FLEN/8, x4, x1, x2) + +inst_204: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 408*FLEN/8, x4, x1, x2) + +inst_205: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 410*FLEN/8, x4, x1, x2) + +inst_206: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 412*FLEN/8, x4, x1, x2) + +inst_207: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 414*FLEN/8, x4, x1, x2) + +inst_208: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 416*FLEN/8, x4, x1, x2) + +inst_209: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 418*FLEN/8, x4, x1, x2) + +inst_210: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 420*FLEN/8, x4, x1, x2) + +inst_211: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 422*FLEN/8, x4, x1, x2) + +inst_212: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 424*FLEN/8, x4, x1, x2) + +inst_213: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 426*FLEN/8, x4, x1, x2) + +inst_214: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 428*FLEN/8, x4, x1, x2) + +inst_215: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 430*FLEN/8, x4, x1, x2) + +inst_216: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x0; + valaddr_reg:x3; val_offset:432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 432*FLEN/8, x4, x1, x2) + +inst_217: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 434*FLEN/8, x4, x1, x2) + +inst_218: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x1; + valaddr_reg:x3; val_offset:436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 436*FLEN/8, x4, x1, x2) + +inst_219: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 438*FLEN/8, x4, x1, x2) + +inst_220: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x2; + valaddr_reg:x3; val_offset:440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 440*FLEN/8, x4, x1, x2) + +inst_221: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 442*FLEN/8, x4, x1, x2) + +inst_222: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 444*FLEN/8, x4, x1, x2) + +inst_223: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 446*FLEN/8, x4, x1, x2) + +inst_224: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x800000; + valaddr_reg:x3; val_offset:448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 448*FLEN/8, x4, x1, x2) + +inst_225: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 450*FLEN/8, x4, x1, x2) + +inst_226: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x800001; + valaddr_reg:x3; val_offset:452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 452*FLEN/8, x4, x1, x2) + +inst_227: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 454*FLEN/8, x4, x1, x2) + +inst_228: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 456*FLEN/8, x4, x1, x2) + +inst_229: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 458*FLEN/8, x4, x1, x2) + +inst_230: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 460*FLEN/8, x4, x1, x2) + +inst_231: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 462*FLEN/8, x4, x1, x2) + +inst_232: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 464*FLEN/8, x4, x1, x2) + +inst_233: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 466*FLEN/8, x4, x1, x2) + +inst_234: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 468*FLEN/8, x4, x1, x2) + +inst_235: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 470*FLEN/8, x4, x1, x2) + +inst_236: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 472*FLEN/8, x4, x1, x2) + +inst_237: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 474*FLEN/8, x4, x1, x2) + +inst_238: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 476*FLEN/8, x4, x1, x2) + +inst_239: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 478*FLEN/8, x4, x1, x2) + +inst_240: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x0; + valaddr_reg:x3; val_offset:480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 480*FLEN/8, x4, x1, x2) + +inst_241: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x80000000; + valaddr_reg:x3; val_offset:482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 482*FLEN/8, x4, x1, x2) + +inst_242: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x1; + valaddr_reg:x3; val_offset:484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 484*FLEN/8, x4, x1, x2) + +inst_243: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x80000001; + valaddr_reg:x3; val_offset:486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 486*FLEN/8, x4, x1, x2) + +inst_244: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x2; + valaddr_reg:x3; val_offset:488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 488*FLEN/8, x4, x1, x2) + +inst_245: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 490*FLEN/8, x4, x1, x2) + +inst_246: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7fffff; + valaddr_reg:x3; val_offset:492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 492*FLEN/8, x4, x1, x2) + +inst_247: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x807fffff; + valaddr_reg:x3; val_offset:494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 494*FLEN/8, x4, x1, x2) + +inst_248: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x800000; + valaddr_reg:x3; val_offset:496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 496*FLEN/8, x4, x1, x2) + +inst_249: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x80800000; + valaddr_reg:x3; val_offset:498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 498*FLEN/8, x4, x1, x2) + +inst_250: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x800001; + valaddr_reg:x3; val_offset:500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 500*FLEN/8, x4, x1, x2) + +inst_251: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x80855555; + valaddr_reg:x3; val_offset:502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 502*FLEN/8, x4, x1, x2) + +inst_252: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 504*FLEN/8, x4, x1, x2) + +inst_253: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 506*FLEN/8, x4, x1, x2) + +inst_254: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7f800000; + valaddr_reg:x3; val_offset:508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 508*FLEN/8, x4, x1, x2) + +inst_255: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xff800000; + valaddr_reg:x3; val_offset:510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 510*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_3) + +inst_256: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 512*FLEN/8, x4, x1, x2) + +inst_257: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xffc00000; + valaddr_reg:x3; val_offset:514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 514*FLEN/8, x4, x1, x2) + +inst_258: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 516*FLEN/8, x4, x1, x2) + +inst_259: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xffc55555; + valaddr_reg:x3; val_offset:518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 518*FLEN/8, x4, x1, x2) + +inst_260: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x7f800001; + valaddr_reg:x3; val_offset:520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 520*FLEN/8, x4, x1, x2) + +inst_261: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 522*FLEN/8, x4, x1, x2) + +inst_262: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0x3f800000; + valaddr_reg:x3; val_offset:524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 524*FLEN/8, x4, x1, x2) + +inst_263: +// fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800001; op2val:0xbf800000; + valaddr_reg:x3; val_offset:526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 526*FLEN/8, x4, x1, x2) + +inst_264: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x0; + valaddr_reg:x3; val_offset:528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 528*FLEN/8, x4, x1, x2) + +inst_265: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x80000000; + valaddr_reg:x3; val_offset:530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 530*FLEN/8, x4, x1, x2) + +inst_266: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x1; + valaddr_reg:x3; val_offset:532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 532*FLEN/8, x4, x1, x2) + +inst_267: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x80000001; + valaddr_reg:x3; val_offset:534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 534*FLEN/8, x4, x1, x2) + +inst_268: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x2; + valaddr_reg:x3; val_offset:536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 536*FLEN/8, x4, x1, x2) + +inst_269: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 538*FLEN/8, x4, x1, x2) + +inst_270: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7fffff; + valaddr_reg:x3; val_offset:540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 540*FLEN/8, x4, x1, x2) + +inst_271: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x807fffff; + valaddr_reg:x3; val_offset:542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 542*FLEN/8, x4, x1, x2) + +inst_272: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x800000; + valaddr_reg:x3; val_offset:544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 544*FLEN/8, x4, x1, x2) + +inst_273: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x80800000; + valaddr_reg:x3; val_offset:546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 546*FLEN/8, x4, x1, x2) + +inst_274: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x800001; + valaddr_reg:x3; val_offset:548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 548*FLEN/8, x4, x1, x2) + +inst_275: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x80855555; + valaddr_reg:x3; val_offset:550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 550*FLEN/8, x4, x1, x2) + +inst_276: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 552*FLEN/8, x4, x1, x2) + +inst_277: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 554*FLEN/8, x4, x1, x2) + +inst_278: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7f800000; + valaddr_reg:x3; val_offset:556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 556*FLEN/8, x4, x1, x2) + +inst_279: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xff800000; + valaddr_reg:x3; val_offset:558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 558*FLEN/8, x4, x1, x2) + +inst_280: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 560*FLEN/8, x4, x1, x2) + +inst_281: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xffc00000; + valaddr_reg:x3; val_offset:562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 562*FLEN/8, x4, x1, x2) + +inst_282: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 564*FLEN/8, x4, x1, x2) + +inst_283: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xffc55555; + valaddr_reg:x3; val_offset:566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 566*FLEN/8, x4, x1, x2) + +inst_284: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x7f800001; + valaddr_reg:x3; val_offset:568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 568*FLEN/8, x4, x1, x2) + +inst_285: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 570*FLEN/8, x4, x1, x2) + +inst_286: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0x3f800000; + valaddr_reg:x3; val_offset:572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 572*FLEN/8, x4, x1, x2) + +inst_287: +// fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80855555; op2val:0xbf800000; + valaddr_reg:x3; val_offset:574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 574*FLEN/8, x4, x1, x2) + +inst_288: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x0; + valaddr_reg:x3; val_offset:576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 576*FLEN/8, x4, x1, x2) + +inst_289: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x80000000; + valaddr_reg:x3; val_offset:578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 578*FLEN/8, x4, x1, x2) + +inst_290: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x1; + valaddr_reg:x3; val_offset:580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 580*FLEN/8, x4, x1, x2) + +inst_291: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x80000001; + valaddr_reg:x3; val_offset:582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 582*FLEN/8, x4, x1, x2) + +inst_292: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x2; + valaddr_reg:x3; val_offset:584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 584*FLEN/8, x4, x1, x2) + +inst_293: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 586*FLEN/8, x4, x1, x2) + +inst_294: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7fffff; + valaddr_reg:x3; val_offset:588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 588*FLEN/8, x4, x1, x2) + +inst_295: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x807fffff; + valaddr_reg:x3; val_offset:590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 590*FLEN/8, x4, x1, x2) + +inst_296: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x800000; + valaddr_reg:x3; val_offset:592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 592*FLEN/8, x4, x1, x2) + +inst_297: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x80800000; + valaddr_reg:x3; val_offset:594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 594*FLEN/8, x4, x1, x2) + +inst_298: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x800001; + valaddr_reg:x3; val_offset:596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 596*FLEN/8, x4, x1, x2) + +inst_299: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x80855555; + valaddr_reg:x3; val_offset:598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 598*FLEN/8, x4, x1, x2) + +inst_300: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 600*FLEN/8, x4, x1, x2) + +inst_301: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 602*FLEN/8, x4, x1, x2) + +inst_302: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7f800000; + valaddr_reg:x3; val_offset:604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 604*FLEN/8, x4, x1, x2) + +inst_303: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xff800000; + valaddr_reg:x3; val_offset:606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 606*FLEN/8, x4, x1, x2) + +inst_304: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 608*FLEN/8, x4, x1, x2) + +inst_305: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xffc00000; + valaddr_reg:x3; val_offset:610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 610*FLEN/8, x4, x1, x2) + +inst_306: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 612*FLEN/8, x4, x1, x2) + +inst_307: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xffc55555; + valaddr_reg:x3; val_offset:614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 614*FLEN/8, x4, x1, x2) + +inst_308: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7f800001; + valaddr_reg:x3; val_offset:616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 616*FLEN/8, x4, x1, x2) + +inst_309: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 618*FLEN/8, x4, x1, x2) + +inst_310: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x3f800000; + valaddr_reg:x3; val_offset:620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 620*FLEN/8, x4, x1, x2) + +inst_311: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xbf800000; + valaddr_reg:x3; val_offset:622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 622*FLEN/8, x4, x1, x2) + +inst_312: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x0; + valaddr_reg:x3; val_offset:624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 624*FLEN/8, x4, x1, x2) + +inst_313: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x80000000; + valaddr_reg:x3; val_offset:626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 626*FLEN/8, x4, x1, x2) + +inst_314: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x1; + valaddr_reg:x3; val_offset:628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 628*FLEN/8, x4, x1, x2) + +inst_315: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x80000001; + valaddr_reg:x3; val_offset:630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 630*FLEN/8, x4, x1, x2) + +inst_316: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x2; + valaddr_reg:x3; val_offset:632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 632*FLEN/8, x4, x1, x2) + +inst_317: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 634*FLEN/8, x4, x1, x2) + +inst_318: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7fffff; + valaddr_reg:x3; val_offset:636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 636*FLEN/8, x4, x1, x2) + +inst_319: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x807fffff; + valaddr_reg:x3; val_offset:638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 638*FLEN/8, x4, x1, x2) + +inst_320: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x800000; + valaddr_reg:x3; val_offset:640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 640*FLEN/8, x4, x1, x2) + +inst_321: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x80800000; + valaddr_reg:x3; val_offset:642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 642*FLEN/8, x4, x1, x2) + +inst_322: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x800001; + valaddr_reg:x3; val_offset:644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 644*FLEN/8, x4, x1, x2) + +inst_323: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x80855555; + valaddr_reg:x3; val_offset:646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 646*FLEN/8, x4, x1, x2) + +inst_324: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 648*FLEN/8, x4, x1, x2) + +inst_325: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 650*FLEN/8, x4, x1, x2) + +inst_326: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7f800000; + valaddr_reg:x3; val_offset:652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 652*FLEN/8, x4, x1, x2) + +inst_327: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xff800000; + valaddr_reg:x3; val_offset:654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 654*FLEN/8, x4, x1, x2) + +inst_328: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 656*FLEN/8, x4, x1, x2) + +inst_329: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xffc00000; + valaddr_reg:x3; val_offset:658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 658*FLEN/8, x4, x1, x2) + +inst_330: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 660*FLEN/8, x4, x1, x2) + +inst_331: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xffc55555; + valaddr_reg:x3; val_offset:662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 662*FLEN/8, x4, x1, x2) + +inst_332: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7f800001; + valaddr_reg:x3; val_offset:664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 664*FLEN/8, x4, x1, x2) + +inst_333: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 666*FLEN/8, x4, x1, x2) + +inst_334: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x3f800000; + valaddr_reg:x3; val_offset:668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 668*FLEN/8, x4, x1, x2) + +inst_335: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xbf800000; + valaddr_reg:x3; val_offset:670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 670*FLEN/8, x4, x1, x2) + +inst_336: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x0; + valaddr_reg:x3; val_offset:672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 672*FLEN/8, x4, x1, x2) + +inst_337: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 674*FLEN/8, x4, x1, x2) + +inst_338: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x1; + valaddr_reg:x3; val_offset:676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 676*FLEN/8, x4, x1, x2) + +inst_339: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 678*FLEN/8, x4, x1, x2) + +inst_340: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x2; + valaddr_reg:x3; val_offset:680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 680*FLEN/8, x4, x1, x2) + +inst_341: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 682*FLEN/8, x4, x1, x2) + +inst_342: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 684*FLEN/8, x4, x1, x2) + +inst_343: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 686*FLEN/8, x4, x1, x2) + +inst_344: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x800000; + valaddr_reg:x3; val_offset:688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 688*FLEN/8, x4, x1, x2) + +inst_345: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 690*FLEN/8, x4, x1, x2) + +inst_346: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x800001; + valaddr_reg:x3; val_offset:692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 692*FLEN/8, x4, x1, x2) + +inst_347: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 694*FLEN/8, x4, x1, x2) + +inst_348: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 696*FLEN/8, x4, x1, x2) + +inst_349: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 698*FLEN/8, x4, x1, x2) + +inst_350: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 700*FLEN/8, x4, x1, x2) + +inst_351: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 702*FLEN/8, x4, x1, x2) + +inst_352: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 704*FLEN/8, x4, x1, x2) + +inst_353: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 706*FLEN/8, x4, x1, x2) + +inst_354: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 708*FLEN/8, x4, x1, x2) + +inst_355: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 710*FLEN/8, x4, x1, x2) + +inst_356: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 712*FLEN/8, x4, x1, x2) + +inst_357: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 714*FLEN/8, x4, x1, x2) + +inst_358: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 716*FLEN/8, x4, x1, x2) + +inst_359: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 718*FLEN/8, x4, x1, x2) + +inst_360: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x0; + valaddr_reg:x3; val_offset:720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 720*FLEN/8, x4, x1, x2) + +inst_361: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 722*FLEN/8, x4, x1, x2) + +inst_362: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x1; + valaddr_reg:x3; val_offset:724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 724*FLEN/8, x4, x1, x2) + +inst_363: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 726*FLEN/8, x4, x1, x2) + +inst_364: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x2; + valaddr_reg:x3; val_offset:728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 728*FLEN/8, x4, x1, x2) + +inst_365: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 730*FLEN/8, x4, x1, x2) + +inst_366: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 732*FLEN/8, x4, x1, x2) + +inst_367: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 734*FLEN/8, x4, x1, x2) + +inst_368: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x800000; + valaddr_reg:x3; val_offset:736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 736*FLEN/8, x4, x1, x2) + +inst_369: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 738*FLEN/8, x4, x1, x2) + +inst_370: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x800001; + valaddr_reg:x3; val_offset:740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 740*FLEN/8, x4, x1, x2) + +inst_371: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 742*FLEN/8, x4, x1, x2) + +inst_372: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 744*FLEN/8, x4, x1, x2) + +inst_373: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 746*FLEN/8, x4, x1, x2) + +inst_374: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 748*FLEN/8, x4, x1, x2) + +inst_375: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 750*FLEN/8, x4, x1, x2) + +inst_376: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 752*FLEN/8, x4, x1, x2) + +inst_377: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 754*FLEN/8, x4, x1, x2) + +inst_378: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 756*FLEN/8, x4, x1, x2) + +inst_379: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 758*FLEN/8, x4, x1, x2) + +inst_380: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 760*FLEN/8, x4, x1, x2) + +inst_381: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 762*FLEN/8, x4, x1, x2) + +inst_382: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 764*FLEN/8, x4, x1, x2) + +inst_383: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 766*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_4) + +inst_384: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x0; + valaddr_reg:x3; val_offset:768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 768*FLEN/8, x4, x1, x2) + +inst_385: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x80000000; + valaddr_reg:x3; val_offset:770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 770*FLEN/8, x4, x1, x2) + +inst_386: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x1; + valaddr_reg:x3; val_offset:772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 772*FLEN/8, x4, x1, x2) + +inst_387: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x80000001; + valaddr_reg:x3; val_offset:774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 774*FLEN/8, x4, x1, x2) + +inst_388: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x2; + valaddr_reg:x3; val_offset:776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 776*FLEN/8, x4, x1, x2) + +inst_389: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 778*FLEN/8, x4, x1, x2) + +inst_390: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 780*FLEN/8, x4, x1, x2) + +inst_391: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 782*FLEN/8, x4, x1, x2) + +inst_392: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x800000; + valaddr_reg:x3; val_offset:784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 784*FLEN/8, x4, x1, x2) + +inst_393: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x80800000; + valaddr_reg:x3; val_offset:786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 786*FLEN/8, x4, x1, x2) + +inst_394: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x800001; + valaddr_reg:x3; val_offset:788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 788*FLEN/8, x4, x1, x2) + +inst_395: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x80855555; + valaddr_reg:x3; val_offset:790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 790*FLEN/8, x4, x1, x2) + +inst_396: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 792*FLEN/8, x4, x1, x2) + +inst_397: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 794*FLEN/8, x4, x1, x2) + +inst_398: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 796*FLEN/8, x4, x1, x2) + +inst_399: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xff800000; + valaddr_reg:x3; val_offset:798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 798*FLEN/8, x4, x1, x2) + +inst_400: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 800*FLEN/8, x4, x1, x2) + +inst_401: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 802*FLEN/8, x4, x1, x2) + +inst_402: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 804*FLEN/8, x4, x1, x2) + +inst_403: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 806*FLEN/8, x4, x1, x2) + +inst_404: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 808*FLEN/8, x4, x1, x2) + +inst_405: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 810*FLEN/8, x4, x1, x2) + +inst_406: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 812*FLEN/8, x4, x1, x2) + +inst_407: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 814*FLEN/8, x4, x1, x2) + +inst_408: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x0; + valaddr_reg:x3; val_offset:816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 816*FLEN/8, x4, x1, x2) + +inst_409: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x80000000; + valaddr_reg:x3; val_offset:818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 818*FLEN/8, x4, x1, x2) + +inst_410: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x1; + valaddr_reg:x3; val_offset:820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 820*FLEN/8, x4, x1, x2) + +inst_411: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x80000001; + valaddr_reg:x3; val_offset:822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 822*FLEN/8, x4, x1, x2) + +inst_412: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x2; + valaddr_reg:x3; val_offset:824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 824*FLEN/8, x4, x1, x2) + +inst_413: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 826*FLEN/8, x4, x1, x2) + +inst_414: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 828*FLEN/8, x4, x1, x2) + +inst_415: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 830*FLEN/8, x4, x1, x2) + +inst_416: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x800000; + valaddr_reg:x3; val_offset:832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 832*FLEN/8, x4, x1, x2) + +inst_417: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x80800000; + valaddr_reg:x3; val_offset:834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 834*FLEN/8, x4, x1, x2) + +inst_418: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x800001; + valaddr_reg:x3; val_offset:836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 836*FLEN/8, x4, x1, x2) + +inst_419: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x80855555; + valaddr_reg:x3; val_offset:838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 838*FLEN/8, x4, x1, x2) + +inst_420: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 840*FLEN/8, x4, x1, x2) + +inst_421: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 842*FLEN/8, x4, x1, x2) + +inst_422: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 844*FLEN/8, x4, x1, x2) + +inst_423: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xff800000; + valaddr_reg:x3; val_offset:846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 846*FLEN/8, x4, x1, x2) + +inst_424: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 848*FLEN/8, x4, x1, x2) + +inst_425: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 850*FLEN/8, x4, x1, x2) + +inst_426: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 852*FLEN/8, x4, x1, x2) + +inst_427: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 854*FLEN/8, x4, x1, x2) + +inst_428: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 856*FLEN/8, x4, x1, x2) + +inst_429: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 858*FLEN/8, x4, x1, x2) + +inst_430: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 860*FLEN/8, x4, x1, x2) + +inst_431: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc00000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 862*FLEN/8, x4, x1, x2) + +inst_432: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x0; + valaddr_reg:x3; val_offset:864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 864*FLEN/8, x4, x1, x2) + +inst_433: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x80000000; + valaddr_reg:x3; val_offset:866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 866*FLEN/8, x4, x1, x2) + +inst_434: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x1; + valaddr_reg:x3; val_offset:868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 868*FLEN/8, x4, x1, x2) + +inst_435: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x80000001; + valaddr_reg:x3; val_offset:870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 870*FLEN/8, x4, x1, x2) + +inst_436: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x2; + valaddr_reg:x3; val_offset:872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 872*FLEN/8, x4, x1, x2) + +inst_437: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 874*FLEN/8, x4, x1, x2) + +inst_438: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7fffff; + valaddr_reg:x3; val_offset:876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 876*FLEN/8, x4, x1, x2) + +inst_439: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x807fffff; + valaddr_reg:x3; val_offset:878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 878*FLEN/8, x4, x1, x2) + +inst_440: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x800000; + valaddr_reg:x3; val_offset:880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 880*FLEN/8, x4, x1, x2) + +inst_441: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x80800000; + valaddr_reg:x3; val_offset:882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 882*FLEN/8, x4, x1, x2) + +inst_442: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x800001; + valaddr_reg:x3; val_offset:884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 884*FLEN/8, x4, x1, x2) + +inst_443: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x80855555; + valaddr_reg:x3; val_offset:886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 886*FLEN/8, x4, x1, x2) + +inst_444: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 888*FLEN/8, x4, x1, x2) + +inst_445: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 890*FLEN/8, x4, x1, x2) + +inst_446: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7f800000; + valaddr_reg:x3; val_offset:892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 892*FLEN/8, x4, x1, x2) + +inst_447: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xff800000; + valaddr_reg:x3; val_offset:894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 894*FLEN/8, x4, x1, x2) + +inst_448: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 896*FLEN/8, x4, x1, x2) + +inst_449: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xffc00000; + valaddr_reg:x3; val_offset:898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 898*FLEN/8, x4, x1, x2) + +inst_450: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 900*FLEN/8, x4, x1, x2) + +inst_451: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xffc55555; + valaddr_reg:x3; val_offset:902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 902*FLEN/8, x4, x1, x2) + +inst_452: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x7f800001; + valaddr_reg:x3; val_offset:904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 904*FLEN/8, x4, x1, x2) + +inst_453: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 906*FLEN/8, x4, x1, x2) + +inst_454: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0x3f800000; + valaddr_reg:x3; val_offset:908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 908*FLEN/8, x4, x1, x2) + +inst_455: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7fc00001; op2val:0xbf800000; + valaddr_reg:x3; val_offset:910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 910*FLEN/8, x4, x1, x2) + +inst_456: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x0; + valaddr_reg:x3; val_offset:912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 912*FLEN/8, x4, x1, x2) + +inst_457: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x80000000; + valaddr_reg:x3; val_offset:914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 914*FLEN/8, x4, x1, x2) + +inst_458: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x1; + valaddr_reg:x3; val_offset:916*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 916*FLEN/8, x4, x1, x2) + +inst_459: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x80000001; + valaddr_reg:x3; val_offset:918*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 918*FLEN/8, x4, x1, x2) + +inst_460: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x2; + valaddr_reg:x3; val_offset:920*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 920*FLEN/8, x4, x1, x2) + +inst_461: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:922*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 922*FLEN/8, x4, x1, x2) + +inst_462: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7fffff; + valaddr_reg:x3; val_offset:924*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 924*FLEN/8, x4, x1, x2) + +inst_463: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x807fffff; + valaddr_reg:x3; val_offset:926*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 926*FLEN/8, x4, x1, x2) + +inst_464: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x800000; + valaddr_reg:x3; val_offset:928*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 928*FLEN/8, x4, x1, x2) + +inst_465: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x80800000; + valaddr_reg:x3; val_offset:930*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 930*FLEN/8, x4, x1, x2) + +inst_466: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x800001; + valaddr_reg:x3; val_offset:932*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 932*FLEN/8, x4, x1, x2) + +inst_467: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x80855555; + valaddr_reg:x3; val_offset:934*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 934*FLEN/8, x4, x1, x2) + +inst_468: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:936*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 936*FLEN/8, x4, x1, x2) + +inst_469: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:938*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 938*FLEN/8, x4, x1, x2) + +inst_470: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7f800000; + valaddr_reg:x3; val_offset:940*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 940*FLEN/8, x4, x1, x2) + +inst_471: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xff800000; + valaddr_reg:x3; val_offset:942*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 942*FLEN/8, x4, x1, x2) + +inst_472: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:944*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 944*FLEN/8, x4, x1, x2) + +inst_473: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xffc00000; + valaddr_reg:x3; val_offset:946*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 946*FLEN/8, x4, x1, x2) + +inst_474: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:948*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 948*FLEN/8, x4, x1, x2) + +inst_475: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xffc55555; + valaddr_reg:x3; val_offset:950*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 950*FLEN/8, x4, x1, x2) + +inst_476: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x7f800001; + valaddr_reg:x3; val_offset:952*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 952*FLEN/8, x4, x1, x2) + +inst_477: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:954*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 954*FLEN/8, x4, x1, x2) + +inst_478: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0x3f800000; + valaddr_reg:x3; val_offset:956*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 956*FLEN/8, x4, x1, x2) + +inst_479: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffc55555; op2val:0xbf800000; + valaddr_reg:x3; val_offset:958*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 958*FLEN/8, x4, x1, x2) + +inst_480: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x0; + valaddr_reg:x3; val_offset:960*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 960*FLEN/8, x4, x1, x2) + +inst_481: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x80000000; + valaddr_reg:x3; val_offset:962*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 962*FLEN/8, x4, x1, x2) + +inst_482: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x1; + valaddr_reg:x3; val_offset:964*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 964*FLEN/8, x4, x1, x2) + +inst_483: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x80000001; + valaddr_reg:x3; val_offset:966*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 966*FLEN/8, x4, x1, x2) + +inst_484: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x2; + valaddr_reg:x3; val_offset:968*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 968*FLEN/8, x4, x1, x2) + +inst_485: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:970*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 970*FLEN/8, x4, x1, x2) + +inst_486: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7fffff; + valaddr_reg:x3; val_offset:972*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 972*FLEN/8, x4, x1, x2) + +inst_487: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x807fffff; + valaddr_reg:x3; val_offset:974*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 974*FLEN/8, x4, x1, x2) + +inst_488: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x800000; + valaddr_reg:x3; val_offset:976*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 976*FLEN/8, x4, x1, x2) + +inst_489: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x80800000; + valaddr_reg:x3; val_offset:978*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 978*FLEN/8, x4, x1, x2) + +inst_490: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x800001; + valaddr_reg:x3; val_offset:980*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 980*FLEN/8, x4, x1, x2) + +inst_491: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x80855555; + valaddr_reg:x3; val_offset:982*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 982*FLEN/8, x4, x1, x2) + +inst_492: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:984*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 984*FLEN/8, x4, x1, x2) + +inst_493: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:986*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 986*FLEN/8, x4, x1, x2) + +inst_494: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7f800000; + valaddr_reg:x3; val_offset:988*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 988*FLEN/8, x4, x1, x2) + +inst_495: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xff800000; + valaddr_reg:x3; val_offset:990*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 990*FLEN/8, x4, x1, x2) + +inst_496: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:992*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 992*FLEN/8, x4, x1, x2) + +inst_497: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xffc00000; + valaddr_reg:x3; val_offset:994*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 994*FLEN/8, x4, x1, x2) + +inst_498: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:996*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 996*FLEN/8, x4, x1, x2) + +inst_499: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xffc55555; + valaddr_reg:x3; val_offset:998*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 998*FLEN/8, x4, x1, x2) + +inst_500: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x7f800001; + valaddr_reg:x3; val_offset:1000*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1000*FLEN/8, x4, x1, x2) + +inst_501: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:1002*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1002*FLEN/8, x4, x1, x2) + +inst_502: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0x3f800000; + valaddr_reg:x3; val_offset:1004*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1004*FLEN/8, x4, x1, x2) + +inst_503: +// fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f800001; op2val:0xbf800000; + valaddr_reg:x3; val_offset:1006*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1006*FLEN/8, x4, x1, x2) + +inst_504: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x0; + valaddr_reg:x3; val_offset:1008*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1008*FLEN/8, x4, x1, x2) + +inst_505: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x80000000; + valaddr_reg:x3; val_offset:1010*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1010*FLEN/8, x4, x1, x2) + +inst_506: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x1; + valaddr_reg:x3; val_offset:1012*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1012*FLEN/8, x4, x1, x2) + +inst_507: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x80000001; + valaddr_reg:x3; val_offset:1014*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1014*FLEN/8, x4, x1, x2) + +inst_508: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x2; + valaddr_reg:x3; val_offset:1016*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1016*FLEN/8, x4, x1, x2) + +inst_509: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:1018*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1018*FLEN/8, x4, x1, x2) + +inst_510: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7fffff; + valaddr_reg:x3; val_offset:1020*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1020*FLEN/8, x4, x1, x2) + +inst_511: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x807fffff; + valaddr_reg:x3; val_offset:1022*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1022*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_5) + +inst_512: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x800000; + valaddr_reg:x3; val_offset:1024*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1024*FLEN/8, x4, x1, x2) + +inst_513: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x80800000; + valaddr_reg:x3; val_offset:1026*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1026*FLEN/8, x4, x1, x2) + +inst_514: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x800001; + valaddr_reg:x3; val_offset:1028*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1028*FLEN/8, x4, x1, x2) + +inst_515: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x80855555; + valaddr_reg:x3; val_offset:1030*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1030*FLEN/8, x4, x1, x2) + +inst_516: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1032*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1032*FLEN/8, x4, x1, x2) + +inst_517: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1034*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1034*FLEN/8, x4, x1, x2) + +inst_518: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7f800000; + valaddr_reg:x3; val_offset:1036*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1036*FLEN/8, x4, x1, x2) + +inst_519: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xff800000; + valaddr_reg:x3; val_offset:1038*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1038*FLEN/8, x4, x1, x2) + +inst_520: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:1040*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1040*FLEN/8, x4, x1, x2) + +inst_521: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xffc00000; + valaddr_reg:x3; val_offset:1042*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1042*FLEN/8, x4, x1, x2) + +inst_522: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:1044*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1044*FLEN/8, x4, x1, x2) + +inst_523: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xffc55555; + valaddr_reg:x3; val_offset:1046*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1046*FLEN/8, x4, x1, x2) + +inst_524: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x7f800001; + valaddr_reg:x3; val_offset:1048*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1048*FLEN/8, x4, x1, x2) + +inst_525: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:1050*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1050*FLEN/8, x4, x1, x2) + +inst_526: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0x3f800000; + valaddr_reg:x3; val_offset:1052*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1052*FLEN/8, x4, x1, x2) + +inst_527: +// fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xffaaaaaa; op2val:0xbf800000; + valaddr_reg:x3; val_offset:1054*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1054*FLEN/8, x4, x1, x2) + +inst_528: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x0; + valaddr_reg:x3; val_offset:1056*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1056*FLEN/8, x4, x1, x2) + +inst_529: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:1058*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1058*FLEN/8, x4, x1, x2) + +inst_530: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x1; + valaddr_reg:x3; val_offset:1060*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1060*FLEN/8, x4, x1, x2) + +inst_531: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:1062*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1062*FLEN/8, x4, x1, x2) + +inst_532: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x2; + valaddr_reg:x3; val_offset:1064*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1064*FLEN/8, x4, x1, x2) + +inst_533: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:1066*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1066*FLEN/8, x4, x1, x2) + +inst_534: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:1068*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1068*FLEN/8, x4, x1, x2) + +inst_535: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:1070*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1070*FLEN/8, x4, x1, x2) + +inst_536: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x800000; + valaddr_reg:x3; val_offset:1072*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1072*FLEN/8, x4, x1, x2) + +inst_537: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:1074*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1074*FLEN/8, x4, x1, x2) + +inst_538: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x800001; + valaddr_reg:x3; val_offset:1076*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1076*FLEN/8, x4, x1, x2) + +inst_539: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:1078*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1078*FLEN/8, x4, x1, x2) + +inst_540: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1080*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1080*FLEN/8, x4, x1, x2) + +inst_541: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1082*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1082*FLEN/8, x4, x1, x2) + +inst_542: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:1084*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1084*FLEN/8, x4, x1, x2) + +inst_543: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:1086*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1086*FLEN/8, x4, x1, x2) + +inst_544: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:1088*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1088*FLEN/8, x4, x1, x2) + +inst_545: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:1090*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1090*FLEN/8, x4, x1, x2) + +inst_546: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:1092*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1092*FLEN/8, x4, x1, x2) + +inst_547: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:1094*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1094*FLEN/8, x4, x1, x2) + +inst_548: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:1096*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1096*FLEN/8, x4, x1, x2) + +inst_549: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:1098*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1098*FLEN/8, x4, x1, x2) + +inst_550: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:1100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1100*FLEN/8, x4, x1, x2) + +inst_551: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:1102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1102*FLEN/8, x4, x1, x2) + +inst_552: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x0; + valaddr_reg:x3; val_offset:1104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1104*FLEN/8, x4, x1, x2) + +inst_553: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x80000000; + valaddr_reg:x3; val_offset:1106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1106*FLEN/8, x4, x1, x2) + +inst_554: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x1; + valaddr_reg:x3; val_offset:1108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1108*FLEN/8, x4, x1, x2) + +inst_555: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x80000001; + valaddr_reg:x3; val_offset:1110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1110*FLEN/8, x4, x1, x2) + +inst_556: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000002 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x2; + valaddr_reg:x3; val_offset:1112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1112*FLEN/8, x4, x1, x2) + +inst_557: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7ffffe and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x807ffffe; + valaddr_reg:x3; val_offset:1114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1114*FLEN/8, x4, x1, x2) + +inst_558: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7fffff; + valaddr_reg:x3; val_offset:1116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1116*FLEN/8, x4, x1, x2) + +inst_559: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x807fffff; + valaddr_reg:x3; val_offset:1118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1118*FLEN/8, x4, x1, x2) + +inst_560: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x800000; + valaddr_reg:x3; val_offset:1120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1120*FLEN/8, x4, x1, x2) + +inst_561: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x80800000; + valaddr_reg:x3; val_offset:1122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1122*FLEN/8, x4, x1, x2) + +inst_562: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x01 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x800001; + valaddr_reg:x3; val_offset:1124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1124*FLEN/8, x4, x1, x2) + +inst_563: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x01 and fm2 == 0x055555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x80855555; + valaddr_reg:x3; val_offset:1126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1126*FLEN/8, x4, x1, x2) + +inst_564: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1128*FLEN/8, x4, x1, x2) + +inst_565: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1130*FLEN/8, x4, x1, x2) + +inst_566: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7f800000; + valaddr_reg:x3; val_offset:1132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1132*FLEN/8, x4, x1, x2) + +inst_567: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xff800000; + valaddr_reg:x3; val_offset:1134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1134*FLEN/8, x4, x1, x2) + +inst_568: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7fc00000; + valaddr_reg:x3; val_offset:1136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1136*FLEN/8, x4, x1, x2) + +inst_569: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x400000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xffc00000; + valaddr_reg:x3; val_offset:1138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1138*FLEN/8, x4, x1, x2) + +inst_570: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x400001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7fc00001; + valaddr_reg:x3; val_offset:1140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1140*FLEN/8, x4, x1, x2) + +inst_571: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x455555 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xffc55555; + valaddr_reg:x3; val_offset:1142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1142*FLEN/8, x4, x1, x2) + +inst_572: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0xff and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x7f800001; + valaddr_reg:x3; val_offset:1144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1144*FLEN/8, x4, x1, x2) + +inst_573: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0xff and fm2 == 0x2aaaaa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xffaaaaaa; + valaddr_reg:x3; val_offset:1146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1146*FLEN/8, x4, x1, x2) + +inst_574: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0x3f800000; + valaddr_reg:x3; val_offset:1148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1148*FLEN/8, x4, x1, x2) + +inst_575: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf800000; op2val:0xbf800000; + valaddr_reg:x3; val_offset:1150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1150*FLEN/8, x4, x1, x2) + +inst_576: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000000 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x0; + valaddr_reg:x3; val_offset:1152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1152*FLEN/8, x4, x1, x2) + +inst_577: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x000001 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x0; op2val:0x1; + valaddr_reg:x3; val_offset:1154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1154*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(1,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_5: + .fill 132*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/F_Zfa/src/fminm_b19-01.S b/riscv-test-suite/rv64i_m/F_Zfa/src/fminm_b19-01.S new file mode 100644 index 000000000..5b83b47ee --- /dev/null +++ b/riscv-test-suite/rv64i_m/F_Zfa/src/fminm_b19-01.S @@ -0,0 +1,10074 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:36:43 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fminm.s.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fminm.s instruction of the RISC-V RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fminm_b19 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fminm_b19) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 == rs2 != rd, rs1==f30, rs2==f30, rd==f31,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f30; dest:f31; op1val:0x7f222105; op2val:0x7f222105; + valaddr_reg:x3; val_offset:0*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f30, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==f31, rs2==f29, rd==f30,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f31; op2:f29; dest:f30; op1val:0x7f222105; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:2*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f30, f31, f29, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_2: +// rs1 == rs2 == rd, rs1==f28, rs2==f28, rd==f28,fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f28; op2:f28; dest:f28; op1val:0x7ec45459; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:4*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f28, f28, f28, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_3: +// rs2 == rd != rs1, rs1==f29, rs2==f27, rd==f27,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f29; op2:f27; dest:f27; op1val:0x7f222105; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:6*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f27, f29, f27, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_4: +// rs1 == rd != rs2, rs1==f26, rs2==f31, rd==f26,fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f26; op2:f31; dest:f26; op1val:0x7eb70362; op2val:0x7f222105; + valaddr_reg:x3; val_offset:8*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f26, f26, f31, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f27, rs2==f26, rd==f29,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f27; op2:f26; dest:f29; op1val:0x7f222105; op2val:0x7e587392; + valaddr_reg:x3; val_offset:10*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f29, f27, f26, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f24, rs2==f23, rd==f25,fs1 == 0 and fe1 == 0xfb and fm1 == 0x01b404 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f24; op2:f23; dest:f25; op1val:0x7d81b404; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:12*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f25, f24, f23, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f23, rs2==f25, rd==f24,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x01b404 and fcsr == 0 +/* opcode: fminm.s ; op1:f23; op2:f25; dest:f24; op1val:0x7f7fffff; op2val:0x7d81b404; + valaddr_reg:x3; val_offset:14*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f24, f23, f25, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f25, rs2==f24, rd==f23,fs1 == 0 and fe1 == 0xfb and fm1 == 0x01b404 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f25; op2:f24; dest:f23; op1val:0x7d81b404; op2val:0x7e587392; + valaddr_reg:x3; val_offset:16*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f23, f25, f24, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rs2==f20, rd==f22,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x01b404 and fcsr == 0 +/* opcode: fminm.s ; op1:f21; op2:f20; dest:f22; op1val:0x7f222105; op2val:0x7d81b404; + valaddr_reg:x3; val_offset:18*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f22, f21, f20, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f20, rs2==f22, rd==f21,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f20; op2:f22; dest:f21; op1val:0x7f222105; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:20*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f21, f20, f22, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f22, rs2==f21, rd==f20,fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f22; op2:f21; dest:f20; op1val:0x7f2eabd8; op2val:0x7f222105; + valaddr_reg:x3; val_offset:22*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f20, f22, f21, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f18, rs2==f17, rd==f19,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f18; op2:f17; dest:f19; op1val:0x7f222105; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:24*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f19, f18, f17, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f17, rs2==f19, rd==f18,fs1 == 0 and fe1 == 0xfb and fm1 == 0x01b404 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f17; op2:f19; dest:f18; op1val:0x7d81b404; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:26*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f18, f17, f19, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f19, rs2==f18, rd==f17,fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x01b404 and fcsr == 0 +/* opcode: fminm.s ; op1:f19; op2:f18; dest:f17; op1val:0xff7fffff; op2val:0x7d81b404; + valaddr_reg:x3; val_offset:28*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f17, f19, f18, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rs2==f14, rd==f16,fs1 == 0 and fe1 == 0xfb and fm1 == 0x01b404 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f15; op2:f14; dest:f16; op1val:0x7d81b404; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:30*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f16, f15, f14, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f14, rs2==f16, rd==f15,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f14; op2:f16; dest:f15; op1val:0x7f222105; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:32*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f15, f14, f16, 0, 0, x3, 32*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f16, rs2==f15, rd==f14,fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f16; op2:f15; dest:f14; op1val:0xfee4815a; op2val:0x7f222105; + valaddr_reg:x3; val_offset:34*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f14, f16, f15, 0, 0, x3, 34*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f12, rs2==f11, rd==f13,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f12; op2:f11; dest:f13; op1val:0x7f222105; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:36*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f13, f12, f11, 0, 0, x3, 36*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f11, rs2==f13, rd==f12,fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f11; op2:f13; dest:f12; op1val:0xfe9ffb35; op2val:0x7f222105; + valaddr_reg:x3; val_offset:38*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f12, f11, f13, 0, 0, x3, 38*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f13, rs2==f12, rd==f11,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f13; op2:f12; dest:f11; op1val:0x7f222105; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:40*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f11, f13, f12, 0, 0, x3, 40*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rs2==f8, rd==f10,fs1 == 0 and fe1 == 0xfb and fm1 == 0x01b404 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f9; op2:f8; dest:f10; op1val:0x7d81b404; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:42*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f10, f9, f8, 0, 0, x3, 42*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f8, rs2==f10, rd==f9,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f8; op2:f10; dest:f9; op1val:0x7f222105; op2val:0xfc538835; + valaddr_reg:x3; val_offset:44*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f9, f8, f10, 0, 0, x3, 44*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f10, rs2==f9, rd==f8,fs1 == 0 and fe1 == 0xf7 and fm1 == 0x4f866d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f10; op2:f9; dest:f8; op1val:0x7bcf866d; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:46*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f8, f10, f9, 0, 0, x3, 46*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f6, rs2==f5, rd==f7,fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xf7 and fm2 == 0x4f866d and fcsr == 0 +/* opcode: fminm.s ; op1:f6; op2:f5; dest:f7; op1val:0xff7fffff; op2val:0x7bcf866d; + valaddr_reg:x3; val_offset:48*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f7, f6, f5, 0, 0, x3, 48*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f5, rs2==f7, rd==f6,fs1 == 0 and fe1 == 0xf7 and fm1 == 0x4f866d and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f5; op2:f7; dest:f6; op1val:0x7bcf866d; op2val:0xfc538835; + valaddr_reg:x3; val_offset:50*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f6, f5, f7, 0, 0, x3, 50*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f7, rs2==f6, rd==f5,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xf7 and fm2 == 0x4f866d and fcsr == 0 +/* opcode: fminm.s ; op1:f7; op2:f6; dest:f5; op1val:0x7f222105; op2val:0x7bcf866d; + valaddr_reg:x3; val_offset:52*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f5, f7, f6, 0, 0, x3, 52*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rs2==f2, rd==f4,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f3; op2:f2; dest:f4; op1val:0x7f222105; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:54*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f4, f3, f2, 0, 0, x3, 54*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f2, rs2==f4, rd==f3,fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x39f704 and fcsr == 0 +/* opcode: fminm.s ; op1:f2; op2:f4; dest:f3; op1val:0x177770; op2val:0x7f39f704; + valaddr_reg:x3; val_offset:56*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f3, f2, f4, 0, 0, x3, 56*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f4, rs2==f3, rd==f2,fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x177770 and fcsr == 0 +/* opcode: fminm.s ; op1:f4; op2:f3; dest:f2; op1val:0x7f39f704; op2val:0x177770; + valaddr_reg:x3; val_offset:58*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f2, f4, f3, 0, 0, x3, 58*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f1,fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f1; op2:f30; dest:f31; op1val:0x177770; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:60*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f1, f30, 0, 0, x3, 60*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f0,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x177770 and fcsr == 0 +/* opcode: fminm.s ; op1:f0; op2:f30; dest:f31; op1val:0x7f222105; op2val:0x177770; + valaddr_reg:x3; val_offset:62*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f0, f30, 0, 0, x3, 62*FLEN/8, x4, x1, x2) + +inst_32: +// rs2==f1,fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f1; dest:f31; op1val:0x7f222105; op2val:0x3229c1; + valaddr_reg:x3; val_offset:64*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f1, 0, 0, x3, 64*FLEN/8, x4, x1, x2) + +inst_33: +// rs2==f0,fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f0; dest:f31; op1val:0x177770; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:66*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f0, 0, 0, x3, 66*FLEN/8, x4, x1, x2) + +inst_34: +// rd==f1,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x177770 and fcsr == 0 +/* opcode: fminm.s ; op1:f31; op2:f30; dest:f1; op1val:0x7f7fffff; op2val:0x177770; + valaddr_reg:x3; val_offset:68*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f1, f31, f30, 0, 0, x3, 68*FLEN/8, x4, x1, x2) + +inst_35: +// rd==f0,fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f31; op2:f30; dest:f0; op1val:0x177770; op2val:0x3229c1; + valaddr_reg:x3; val_offset:70*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f0, f31, f30, 0, 0, x3, 70*FLEN/8, x4, x1, x2) + +inst_36: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:72*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 72*FLEN/8, x4, x1, x2) + +inst_37: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x177770; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:74*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 74*FLEN/8, x4, x1, x2) + +inst_38: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x27935b; + valaddr_reg:x3; val_offset:76*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 76*FLEN/8, x4, x1, x2) + +inst_39: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x177770; op2val:0x27935b; + valaddr_reg:x3; val_offset:78*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 78*FLEN/8, x4, x1, x2) + +inst_40: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x33da99; + valaddr_reg:x3; val_offset:80*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 80*FLEN/8, x4, x1, x2) + +inst_41: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x177770; op2val:0x33da99; + valaddr_reg:x3; val_offset:82*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 82*FLEN/8, x4, x1, x2) + +inst_42: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:84*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 84*FLEN/8, x4, x1, x2) + +inst_43: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x177770; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:86*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 86*FLEN/8, x4, x1, x2) + +inst_44: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x177770 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x177770; + valaddr_reg:x3; val_offset:88*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 88*FLEN/8, x4, x1, x2) + +inst_45: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x177770; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:90*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 90*FLEN/8, x4, x1, x2) + +inst_46: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x802c9686; + valaddr_reg:x3; val_offset:92*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 92*FLEN/8, x4, x1, x2) + +inst_47: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x177770; op2val:0x802c9686; + valaddr_reg:x3; val_offset:94*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 94*FLEN/8, x4, x1, x2) + +inst_48: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x801fb335; + valaddr_reg:x3; val_offset:96*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 96*FLEN/8, x4, x1, x2) + +inst_49: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x5b0376 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x177770; op2val:0xff5b0376; + valaddr_reg:x3; val_offset:98*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 98*FLEN/8, x4, x1, x2) + +inst_50: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x177770 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0x177770; + valaddr_reg:x3; val_offset:100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 100*FLEN/8, x4, x1, x2) + +inst_51: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x177770; op2val:0x801fb335; + valaddr_reg:x3; val_offset:102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 102*FLEN/8, x4, x1, x2) + +inst_52: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 104*FLEN/8, x4, x1, x2) + +inst_53: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x177770 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x177770; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 106*FLEN/8, x4, x1, x2) + +inst_54: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x800642ea; + valaddr_reg:x3; val_offset:108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 108*FLEN/8, x4, x1, x2) + +inst_55: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0258be and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x258be; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 110*FLEN/8, x4, x1, x2) + +inst_56: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0258be and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x258be; + valaddr_reg:x3; val_offset:112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 112*FLEN/8, x4, x1, x2) + +inst_57: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0258be and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x258be; op2val:0x800642ea; + valaddr_reg:x3; val_offset:114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 114*FLEN/8, x4, x1, x2) + +inst_58: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0258be and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x258be; + valaddr_reg:x3; val_offset:116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 116*FLEN/8, x4, x1, x2) + +inst_59: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x7f0; + valaddr_reg:x3; val_offset:118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 118*FLEN/8, x4, x1, x2) + +inst_60: +// fs1 == 0 and fe1 == 0x80 and fm1 == 0x09ec91 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4009ec91; op2val:0x7f0; + valaddr_reg:x3; val_offset:120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 120*FLEN/8, x4, x1, x2) + +inst_61: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x09ec91 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x4009ec91; + valaddr_reg:x3; val_offset:122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 122*FLEN/8, x4, x1, x2) + +inst_62: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x09ec91 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x4009ec91; + valaddr_reg:x3; val_offset:124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 124*FLEN/8, x4, x1, x2) + +inst_63: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 126*FLEN/8, x4, x1, x2) + +inst_64: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 128*FLEN/8, x4, x1, x2) + +inst_65: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 130*FLEN/8, x4, x1, x2) + +inst_66: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x7e587392; + valaddr_reg:x3; val_offset:132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 132*FLEN/8, x4, x1, x2) + +inst_67: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x1d1047 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d1d1047; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 134*FLEN/8, x4, x1, x2) + +inst_68: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x1d1047 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7d1d1047; + valaddr_reg:x3; val_offset:136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 136*FLEN/8, x4, x1, x2) + +inst_69: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x1d1047 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d1d1047; op2val:0x7e587392; + valaddr_reg:x3; val_offset:138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 138*FLEN/8, x4, x1, x2) + +inst_70: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0xfa and fm2 == 0x1d1047 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x7d1d1047; + valaddr_reg:x3; val_offset:140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 140*FLEN/8, x4, x1, x2) + +inst_71: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 142*FLEN/8, x4, x1, x2) + +inst_72: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 144*FLEN/8, x4, x1, x2) + +inst_73: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 146*FLEN/8, x4, x1, x2) + +inst_74: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x1d1047 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d1d1047; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 148*FLEN/8, x4, x1, x2) + +inst_75: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x1d1047 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7d1d1047; + valaddr_reg:x3; val_offset:150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 150*FLEN/8, x4, x1, x2) + +inst_76: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x1d1047 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d1d1047; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 152*FLEN/8, x4, x1, x2) + +inst_77: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 154*FLEN/8, x4, x1, x2) + +inst_78: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 156*FLEN/8, x4, x1, x2) + +inst_79: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 158*FLEN/8, x4, x1, x2) + +inst_80: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 160*FLEN/8, x4, x1, x2) + +inst_81: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 162*FLEN/8, x4, x1, x2) + +inst_82: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x1d1047 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d1d1047; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 164*FLEN/8, x4, x1, x2) + +inst_83: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0xfc538835; + valaddr_reg:x3; val_offset:166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 166*FLEN/8, x4, x1, x2) + +inst_84: +// fs1 == 0 and fe1 == 0xf6 and fm1 == 0x7b4d3e and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7b7b4d3e; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 168*FLEN/8, x4, x1, x2) + +inst_85: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xf6 and fm2 == 0x7b4d3e and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7b7b4d3e; + valaddr_reg:x3; val_offset:170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 170*FLEN/8, x4, x1, x2) + +inst_86: +// fs1 == 0 and fe1 == 0xf6 and fm1 == 0x7b4d3e and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7b7b4d3e; op2val:0xfc538835; + valaddr_reg:x3; val_offset:172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 172*FLEN/8, x4, x1, x2) + +inst_87: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0xf6 and fm2 == 0x7b4d3e and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x7b7b4d3e; + valaddr_reg:x3; val_offset:174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 174*FLEN/8, x4, x1, x2) + +inst_88: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 176*FLEN/8, x4, x1, x2) + +inst_89: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x39f704 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0x7f39f704; + valaddr_reg:x3; val_offset:178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 178*FLEN/8, x4, x1, x2) + +inst_90: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0e3558 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f39f704; op2val:0xe3558; + valaddr_reg:x3; val_offset:180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 180*FLEN/8, x4, x1, x2) + +inst_91: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 182*FLEN/8, x4, x1, x2) + +inst_92: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0e3558 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0xe3558; + valaddr_reg:x3; val_offset:184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 184*FLEN/8, x4, x1, x2) + +inst_93: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x3229c1; + valaddr_reg:x3; val_offset:186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 186*FLEN/8, x4, x1, x2) + +inst_94: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 188*FLEN/8, x4, x1, x2) + +inst_95: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0e3558 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xe3558; + valaddr_reg:x3; val_offset:190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 190*FLEN/8, x4, x1, x2) + +inst_96: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0x3229c1; + valaddr_reg:x3; val_offset:192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 192*FLEN/8, x4, x1, x2) + +inst_97: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 194*FLEN/8, x4, x1, x2) + +inst_98: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 196*FLEN/8, x4, x1, x2) + +inst_99: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x27935b; + valaddr_reg:x3; val_offset:198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 198*FLEN/8, x4, x1, x2) + +inst_100: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0x27935b; + valaddr_reg:x3; val_offset:200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 200*FLEN/8, x4, x1, x2) + +inst_101: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x33da99; + valaddr_reg:x3; val_offset:202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 202*FLEN/8, x4, x1, x2) + +inst_102: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0x33da99; + valaddr_reg:x3; val_offset:204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 204*FLEN/8, x4, x1, x2) + +inst_103: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 206*FLEN/8, x4, x1, x2) + +inst_104: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 208*FLEN/8, x4, x1, x2) + +inst_105: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0e3558 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xe3558; + valaddr_reg:x3; val_offset:210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 210*FLEN/8, x4, x1, x2) + +inst_106: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 212*FLEN/8, x4, x1, x2) + +inst_107: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x802c9686; + valaddr_reg:x3; val_offset:214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 214*FLEN/8, x4, x1, x2) + +inst_108: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0x802c9686; + valaddr_reg:x3; val_offset:216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 216*FLEN/8, x4, x1, x2) + +inst_109: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x801fb335; + valaddr_reg:x3; val_offset:218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 218*FLEN/8, x4, x1, x2) + +inst_110: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x5b0376 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0xff5b0376; + valaddr_reg:x3; val_offset:220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 220*FLEN/8, x4, x1, x2) + +inst_111: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0e3558 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0xe3558; + valaddr_reg:x3; val_offset:222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 222*FLEN/8, x4, x1, x2) + +inst_112: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0x801fb335; + valaddr_reg:x3; val_offset:224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 224*FLEN/8, x4, x1, x2) + +inst_113: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 226*FLEN/8, x4, x1, x2) + +inst_114: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0e3558 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xe3558; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 228*FLEN/8, x4, x1, x2) + +inst_115: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x800642ea; + valaddr_reg:x3; val_offset:230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 230*FLEN/8, x4, x1, x2) + +inst_116: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x016bbc and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x16bbc; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 232*FLEN/8, x4, x1, x2) + +inst_117: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x016bbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x16bbc; + valaddr_reg:x3; val_offset:234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 234*FLEN/8, x4, x1, x2) + +inst_118: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x016bbc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x16bbc; op2val:0x800642ea; + valaddr_reg:x3; val_offset:236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 236*FLEN/8, x4, x1, x2) + +inst_119: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x016bbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x16bbc; + valaddr_reg:x3; val_offset:238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 238*FLEN/8, x4, x1, x2) + +inst_120: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x7f0; + valaddr_reg:x3; val_offset:240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 240*FLEN/8, x4, x1, x2) + +inst_121: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x2704c6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3fa704c6; op2val:0x7f0; + valaddr_reg:x3; val_offset:242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 242*FLEN/8, x4, x1, x2) + +inst_122: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x2704c6 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x3fa704c6; + valaddr_reg:x3; val_offset:244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 244*FLEN/8, x4, x1, x2) + +inst_123: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x2704c6 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x3fa704c6; + valaddr_reg:x3; val_offset:246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 246*FLEN/8, x4, x1, x2) + +inst_124: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 248*FLEN/8, x4, x1, x2) + +inst_125: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x7e587392; + valaddr_reg:x3; val_offset:250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 250*FLEN/8, x4, x1, x2) + +inst_126: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x12691b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d12691b; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 252*FLEN/8, x4, x1, x2) + +inst_127: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x12691b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7d12691b; + valaddr_reg:x3; val_offset:254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 254*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_2) + +inst_128: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x12691b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d12691b; op2val:0x7e587392; + valaddr_reg:x3; val_offset:256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 256*FLEN/8, x4, x1, x2) + +inst_129: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0xfa and fm2 == 0x12691b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x7d12691b; + valaddr_reg:x3; val_offset:258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 258*FLEN/8, x4, x1, x2) + +inst_130: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 260*FLEN/8, x4, x1, x2) + +inst_131: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 262*FLEN/8, x4, x1, x2) + +inst_132: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 264*FLEN/8, x4, x1, x2) + +inst_133: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x12691b and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d12691b; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 266*FLEN/8, x4, x1, x2) + +inst_134: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfa and fm2 == 0x12691b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7d12691b; + valaddr_reg:x3; val_offset:268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 268*FLEN/8, x4, x1, x2) + +inst_135: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x12691b and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d12691b; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 270*FLEN/8, x4, x1, x2) + +inst_136: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 272*FLEN/8, x4, x1, x2) + +inst_137: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 274*FLEN/8, x4, x1, x2) + +inst_138: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 276*FLEN/8, x4, x1, x2) + +inst_139: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 278*FLEN/8, x4, x1, x2) + +inst_140: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 280*FLEN/8, x4, x1, x2) + +inst_141: +// fs1 == 0 and fe1 == 0xfa and fm1 == 0x12691b and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d12691b; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 282*FLEN/8, x4, x1, x2) + +inst_142: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0xfc538835; + valaddr_reg:x3; val_offset:284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 284*FLEN/8, x4, x1, x2) + +inst_143: +// fs1 == 0 and fe1 == 0xf6 and fm1 == 0x6a41c5 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7b6a41c5; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 286*FLEN/8, x4, x1, x2) + +inst_144: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xf6 and fm2 == 0x6a41c5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7b6a41c5; + valaddr_reg:x3; val_offset:288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 288*FLEN/8, x4, x1, x2) + +inst_145: +// fs1 == 0 and fe1 == 0xf6 and fm1 == 0x6a41c5 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7b6a41c5; op2val:0xfc538835; + valaddr_reg:x3; val_offset:290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 290*FLEN/8, x4, x1, x2) + +inst_146: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0xf6 and fm2 == 0x6a41c5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x7b6a41c5; + valaddr_reg:x3; val_offset:292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 292*FLEN/8, x4, x1, x2) + +inst_147: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 294*FLEN/8, x4, x1, x2) + +inst_148: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x39f704 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0x7f39f704; + valaddr_reg:x3; val_offset:296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 296*FLEN/8, x4, x1, x2) + +inst_149: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0d3ea3 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f39f704; op2val:0xd3ea3; + valaddr_reg:x3; val_offset:298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 298*FLEN/8, x4, x1, x2) + +inst_150: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 300*FLEN/8, x4, x1, x2) + +inst_151: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0d3ea3 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0xd3ea3; + valaddr_reg:x3; val_offset:302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 302*FLEN/8, x4, x1, x2) + +inst_152: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x3229c1; + valaddr_reg:x3; val_offset:304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 304*FLEN/8, x4, x1, x2) + +inst_153: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 306*FLEN/8, x4, x1, x2) + +inst_154: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0d3ea3 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xd3ea3; + valaddr_reg:x3; val_offset:308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 308*FLEN/8, x4, x1, x2) + +inst_155: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0x3229c1; + valaddr_reg:x3; val_offset:310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 310*FLEN/8, x4, x1, x2) + +inst_156: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 312*FLEN/8, x4, x1, x2) + +inst_157: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 314*FLEN/8, x4, x1, x2) + +inst_158: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x27935b; + valaddr_reg:x3; val_offset:316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 316*FLEN/8, x4, x1, x2) + +inst_159: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0x27935b; + valaddr_reg:x3; val_offset:318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 318*FLEN/8, x4, x1, x2) + +inst_160: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x33da99; + valaddr_reg:x3; val_offset:320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 320*FLEN/8, x4, x1, x2) + +inst_161: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0x33da99; + valaddr_reg:x3; val_offset:322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 322*FLEN/8, x4, x1, x2) + +inst_162: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 324*FLEN/8, x4, x1, x2) + +inst_163: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 326*FLEN/8, x4, x1, x2) + +inst_164: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0d3ea3 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xd3ea3; + valaddr_reg:x3; val_offset:328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 328*FLEN/8, x4, x1, x2) + +inst_165: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 330*FLEN/8, x4, x1, x2) + +inst_166: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x802c9686; + valaddr_reg:x3; val_offset:332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 332*FLEN/8, x4, x1, x2) + +inst_167: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0x802c9686; + valaddr_reg:x3; val_offset:334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 334*FLEN/8, x4, x1, x2) + +inst_168: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x801fb335; + valaddr_reg:x3; val_offset:336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 336*FLEN/8, x4, x1, x2) + +inst_169: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x5b0376 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0xff5b0376; + valaddr_reg:x3; val_offset:338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 338*FLEN/8, x4, x1, x2) + +inst_170: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0d3ea3 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0xd3ea3; + valaddr_reg:x3; val_offset:340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 340*FLEN/8, x4, x1, x2) + +inst_171: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0x801fb335; + valaddr_reg:x3; val_offset:342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 342*FLEN/8, x4, x1, x2) + +inst_172: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 344*FLEN/8, x4, x1, x2) + +inst_173: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0d3ea3 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xd3ea3; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 346*FLEN/8, x4, x1, x2) + +inst_174: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x800642ea; + valaddr_reg:x3; val_offset:348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 348*FLEN/8, x4, x1, x2) + +inst_175: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x015310 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x15310; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 350*FLEN/8, x4, x1, x2) + +inst_176: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x015310 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x15310; + valaddr_reg:x3; val_offset:352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 352*FLEN/8, x4, x1, x2) + +inst_177: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x015310 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x15310; op2val:0x800642ea; + valaddr_reg:x3; val_offset:354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 354*FLEN/8, x4, x1, x2) + +inst_178: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x015310 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x15310; + valaddr_reg:x3; val_offset:356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 356*FLEN/8, x4, x1, x2) + +inst_179: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x7f0; + valaddr_reg:x3; val_offset:358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 358*FLEN/8, x4, x1, x2) + +inst_180: +// fs1 == 0 and fe1 == 0x7f and fm1 == 0x1bb0c0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f9bb0c0; op2val:0x7f0; + valaddr_reg:x3; val_offset:360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 360*FLEN/8, x4, x1, x2) + +inst_181: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x1bb0c0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x3f9bb0c0; + valaddr_reg:x3; val_offset:362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 362*FLEN/8, x4, x1, x2) + +inst_182: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x370362 and fs2 == 0 and fe2 == 0x7f and fm2 == 0x1bb0c0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7eb70362; op2val:0x3f9bb0c0; + valaddr_reg:x3; val_offset:364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 364*FLEN/8, x4, x1, x2) + +inst_183: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x7f222105; + valaddr_reg:x3; val_offset:366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 366*FLEN/8, x4, x1, x2) + +inst_184: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7f222105; + valaddr_reg:x3; val_offset:368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 368*FLEN/8, x4, x1, x2) + +inst_185: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 370*FLEN/8, x4, x1, x2) + +inst_186: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x7e587392; + valaddr_reg:x3; val_offset:372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 372*FLEN/8, x4, x1, x2) + +inst_187: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 374*FLEN/8, x4, x1, x2) + +inst_188: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 376*FLEN/8, x4, x1, x2) + +inst_189: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 378*FLEN/8, x4, x1, x2) + +inst_190: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 380*FLEN/8, x4, x1, x2) + +inst_191: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 382*FLEN/8, x4, x1, x2) + +inst_192: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x0bbcad and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7d8bbcad; + valaddr_reg:x3; val_offset:384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 384*FLEN/8, x4, x1, x2) + +inst_193: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x0bbcad and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d8bbcad; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 386*FLEN/8, x4, x1, x2) + +inst_194: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 388*FLEN/8, x4, x1, x2) + +inst_195: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 390*FLEN/8, x4, x1, x2) + +inst_196: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x7e587392; + valaddr_reg:x3; val_offset:392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 392*FLEN/8, x4, x1, x2) + +inst_197: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 394*FLEN/8, x4, x1, x2) + +inst_198: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x36cde1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xfd36cde1; + valaddr_reg:x3; val_offset:396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 396*FLEN/8, x4, x1, x2) + +inst_199: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x36cde1 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd36cde1; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 398*FLEN/8, x4, x1, x2) + +inst_200: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 400*FLEN/8, x4, x1, x2) + +inst_201: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 402*FLEN/8, x4, x1, x2) + +inst_202: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x7ff856 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xfcfff856; + valaddr_reg:x3; val_offset:404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 404*FLEN/8, x4, x1, x2) + +inst_203: +// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x7ff856 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfcfff856; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 406*FLEN/8, x4, x1, x2) + +inst_204: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 408*FLEN/8, x4, x1, x2) + +inst_205: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 410*FLEN/8, x4, x1, x2) + +inst_206: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x7e587392; + valaddr_reg:x3; val_offset:412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 412*FLEN/8, x4, x1, x2) + +inst_207: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0xfc538835; + valaddr_reg:x3; val_offset:414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 414*FLEN/8, x4, x1, x2) + +inst_208: +// fs1 == 0 and fe1 == 0xf9 and fm1 == 0x2d2942 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x043521 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7cad2942; op2val:0xfe043521; + valaddr_reg:x3; val_offset:416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 416*FLEN/8, x4, x1, x2) + +inst_209: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x043521 and fs2 == 0 and fe2 == 0xf9 and fm2 == 0x2d2942 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe043521; op2val:0x7cad2942; + valaddr_reg:x3; val_offset:418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 418*FLEN/8, x4, x1, x2) + +inst_210: +// fs1 == 0 and fe1 == 0xf9 and fm1 == 0x2d2942 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7cad2942; op2val:0xfc538835; + valaddr_reg:x3; val_offset:420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 420*FLEN/8, x4, x1, x2) + +inst_211: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0xf9 and fm2 == 0x2d2942 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x7cad2942; + valaddr_reg:x3; val_offset:422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 422*FLEN/8, x4, x1, x2) + +inst_212: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 424*FLEN/8, x4, x1, x2) + +inst_213: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x14c59d and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x7d94c59d; + valaddr_reg:x3; val_offset:426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 426*FLEN/8, x4, x1, x2) + +inst_214: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x14c59d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x4e52b1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d94c59d; op2val:0x4e52b1; + valaddr_reg:x3; val_offset:428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 428*FLEN/8, x4, x1, x2) + +inst_215: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 430*FLEN/8, x4, x1, x2) + +inst_216: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x4e52b1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x4e52b1; + valaddr_reg:x3; val_offset:432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 432*FLEN/8, x4, x1, x2) + +inst_217: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x3229c1; + valaddr_reg:x3; val_offset:434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 434*FLEN/8, x4, x1, x2) + +inst_218: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x0aa123 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x7e0aa123; + valaddr_reg:x3; val_offset:436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 436*FLEN/8, x4, x1, x2) + +inst_219: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0aa123 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x4e52b1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0aa123; op2val:0x4e52b1; + valaddr_reg:x3; val_offset:438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 438*FLEN/8, x4, x1, x2) + +inst_220: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x3229c1; + valaddr_reg:x3; val_offset:440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 440*FLEN/8, x4, x1, x2) + +inst_221: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 442*FLEN/8, x4, x1, x2) + +inst_222: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x209de3 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x7e209de3; + valaddr_reg:x3; val_offset:444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 444*FLEN/8, x4, x1, x2) + +inst_223: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x209de3 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x4e52b1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e209de3; op2val:0x4e52b1; + valaddr_reg:x3; val_offset:446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 446*FLEN/8, x4, x1, x2) + +inst_224: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 448*FLEN/8, x4, x1, x2) + +inst_225: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x27935b; + valaddr_reg:x3; val_offset:450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 450*FLEN/8, x4, x1, x2) + +inst_226: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x5abd82 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x7ddabd82; + valaddr_reg:x3; val_offset:452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 452*FLEN/8, x4, x1, x2) + +inst_227: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x5abd82 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x4e52b1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ddabd82; op2val:0x4e52b1; + valaddr_reg:x3; val_offset:454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 454*FLEN/8, x4, x1, x2) + +inst_228: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x27935b; + valaddr_reg:x3; val_offset:456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 456*FLEN/8, x4, x1, x2) + +inst_229: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x33da99; + valaddr_reg:x3; val_offset:458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 458*FLEN/8, x4, x1, x2) + +inst_230: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x0f4d55 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x7e0f4d55; + valaddr_reg:x3; val_offset:460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 460*FLEN/8, x4, x1, x2) + +inst_231: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0f4d55 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x4e52b1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0f4d55; op2val:0x4e52b1; + valaddr_reg:x3; val_offset:462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 462*FLEN/8, x4, x1, x2) + +inst_232: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x33da99; + valaddr_reg:x3; val_offset:464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 464*FLEN/8, x4, x1, x2) + +inst_233: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 466*FLEN/8, x4, x1, x2) + +inst_234: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x03130e and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0xfe03130e; + valaddr_reg:x3; val_offset:468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 468*FLEN/8, x4, x1, x2) + +inst_235: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03130e and fs2 == 0 and fe2 == 0x00 and fm2 == 0x4e52b1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03130e; op2val:0x4e52b1; + valaddr_reg:x3; val_offset:470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 470*FLEN/8, x4, x1, x2) + +inst_236: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 472*FLEN/8, x4, x1, x2) + +inst_237: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x802c9686; + valaddr_reg:x3; val_offset:474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 474*FLEN/8, x4, x1, x2) + +inst_238: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x7671c2 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0xfdf671c2; + valaddr_reg:x3; val_offset:476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 476*FLEN/8, x4, x1, x2) + +inst_239: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x7671c2 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x4e52b1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdf671c2; op2val:0x4e52b1; + valaddr_reg:x3; val_offset:478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 478*FLEN/8, x4, x1, x2) + +inst_240: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x802c9686; + valaddr_reg:x3; val_offset:480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 480*FLEN/8, x4, x1, x2) + +inst_241: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x801fb335; + valaddr_reg:x3; val_offset:482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 482*FLEN/8, x4, x1, x2) + +inst_242: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x2f35f8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0xfdaf35f8; + valaddr_reg:x3; val_offset:484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 484*FLEN/8, x4, x1, x2) + +inst_243: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x2f35f8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x4e52b1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdaf35f8; op2val:0x4e52b1; + valaddr_reg:x3; val_offset:486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 486*FLEN/8, x4, x1, x2) + +inst_244: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x801fb335; + valaddr_reg:x3; val_offset:488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 488*FLEN/8, x4, x1, x2) + +inst_245: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 490*FLEN/8, x4, x1, x2) + +inst_246: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x048fde and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0xfe048fde; + valaddr_reg:x3; val_offset:492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 492*FLEN/8, x4, x1, x2) + +inst_247: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x048fde and fs2 == 0 and fe2 == 0x00 and fm2 == 0x4e52b1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe048fde; op2val:0x4e52b1; + valaddr_reg:x3; val_offset:494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 494*FLEN/8, x4, x1, x2) + +inst_248: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x4e52b1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x4e52b1; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 496*FLEN/8, x4, x1, x2) + +inst_249: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x800642ea; + valaddr_reg:x3; val_offset:498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 498*FLEN/8, x4, x1, x2) + +inst_250: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x07d511 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x2d09bb and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d511; op2val:0xfe2d09bb; + valaddr_reg:x3; val_offset:500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 500*FLEN/8, x4, x1, x2) + +inst_251: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2d09bb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x07d511 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2d09bb; op2val:0x7d511; + valaddr_reg:x3; val_offset:502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 502*FLEN/8, x4, x1, x2) + +inst_252: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x07d511 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d511; op2val:0x800642ea; + valaddr_reg:x3; val_offset:504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 504*FLEN/8, x4, x1, x2) + +inst_253: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x07d511 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x7d511; + valaddr_reg:x3; val_offset:506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 506*FLEN/8, x4, x1, x2) + +inst_254: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x7f0; + valaddr_reg:x3; val_offset:508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 508*FLEN/8, x4, x1, x2) + +inst_255: +// fs1 == 0 and fe1 == 0x81 and fm1 == 0x662bb0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x40e62bb0; op2val:0x7f0; + valaddr_reg:x3; val_offset:510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 510*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_3) + +inst_256: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x662bb0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x40e62bb0; + valaddr_reg:x3; val_offset:512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 512*FLEN/8, x4, x1, x2) + +inst_257: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x587392 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x662bb0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e587392; op2val:0x40e62bb0; + valaddr_reg:x3; val_offset:514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 514*FLEN/8, x4, x1, x2) + +inst_258: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 516*FLEN/8, x4, x1, x2) + +inst_259: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x7e587392; + valaddr_reg:x3; val_offset:518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 518*FLEN/8, x4, x1, x2) + +inst_260: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x0bbcad and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d8bbcad; op2val:0x7e587392; + valaddr_reg:x3; val_offset:520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 520*FLEN/8, x4, x1, x2) + +inst_261: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x0bbcad and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x7d8bbcad; + valaddr_reg:x3; val_offset:522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 522*FLEN/8, x4, x1, x2) + +inst_262: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 524*FLEN/8, x4, x1, x2) + +inst_263: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x0bbcad and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d8bbcad; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 526*FLEN/8, x4, x1, x2) + +inst_264: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfb and fm2 == 0x0bbcad and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7d8bbcad; + valaddr_reg:x3; val_offset:528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 528*FLEN/8, x4, x1, x2) + +inst_265: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x0bbcad and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d8bbcad; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 530*FLEN/8, x4, x1, x2) + +inst_266: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 532*FLEN/8, x4, x1, x2) + +inst_267: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 534*FLEN/8, x4, x1, x2) + +inst_268: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 536*FLEN/8, x4, x1, x2) + +inst_269: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 538*FLEN/8, x4, x1, x2) + +inst_270: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 540*FLEN/8, x4, x1, x2) + +inst_271: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x0bbcad and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d8bbcad; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 542*FLEN/8, x4, x1, x2) + +inst_272: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0xfc538835; + valaddr_reg:x3; val_offset:544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 544*FLEN/8, x4, x1, x2) + +inst_273: +// fs1 == 0 and fe1 == 0xf7 and fm1 == 0x5f9448 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7bdf9448; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 546*FLEN/8, x4, x1, x2) + +inst_274: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xf7 and fm2 == 0x5f9448 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7bdf9448; + valaddr_reg:x3; val_offset:548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 548*FLEN/8, x4, x1, x2) + +inst_275: +// fs1 == 0 and fe1 == 0xf7 and fm1 == 0x5f9448 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7bdf9448; op2val:0xfc538835; + valaddr_reg:x3; val_offset:550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 550*FLEN/8, x4, x1, x2) + +inst_276: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0xf7 and fm2 == 0x5f9448 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x7bdf9448; + valaddr_reg:x3; val_offset:552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 552*FLEN/8, x4, x1, x2) + +inst_277: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 554*FLEN/8, x4, x1, x2) + +inst_278: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x39f704 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0x7f39f704; + valaddr_reg:x3; val_offset:556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 556*FLEN/8, x4, x1, x2) + +inst_279: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x19482d and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f39f704; op2val:0x19482d; + valaddr_reg:x3; val_offset:558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 558*FLEN/8, x4, x1, x2) + +inst_280: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 560*FLEN/8, x4, x1, x2) + +inst_281: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x19482d and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x19482d; + valaddr_reg:x3; val_offset:562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 562*FLEN/8, x4, x1, x2) + +inst_282: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x3229c1; + valaddr_reg:x3; val_offset:564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 564*FLEN/8, x4, x1, x2) + +inst_283: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 566*FLEN/8, x4, x1, x2) + +inst_284: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x19482d and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x19482d; + valaddr_reg:x3; val_offset:568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 568*FLEN/8, x4, x1, x2) + +inst_285: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0x3229c1; + valaddr_reg:x3; val_offset:570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 570*FLEN/8, x4, x1, x2) + +inst_286: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 572*FLEN/8, x4, x1, x2) + +inst_287: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 574*FLEN/8, x4, x1, x2) + +inst_288: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x27935b; + valaddr_reg:x3; val_offset:576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 576*FLEN/8, x4, x1, x2) + +inst_289: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0x27935b; + valaddr_reg:x3; val_offset:578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 578*FLEN/8, x4, x1, x2) + +inst_290: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x33da99; + valaddr_reg:x3; val_offset:580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 580*FLEN/8, x4, x1, x2) + +inst_291: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0x33da99; + valaddr_reg:x3; val_offset:582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 582*FLEN/8, x4, x1, x2) + +inst_292: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 584*FLEN/8, x4, x1, x2) + +inst_293: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 586*FLEN/8, x4, x1, x2) + +inst_294: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x19482d and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x19482d; + valaddr_reg:x3; val_offset:588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 588*FLEN/8, x4, x1, x2) + +inst_295: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 590*FLEN/8, x4, x1, x2) + +inst_296: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x802c9686; + valaddr_reg:x3; val_offset:592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 592*FLEN/8, x4, x1, x2) + +inst_297: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0x802c9686; + valaddr_reg:x3; val_offset:594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 594*FLEN/8, x4, x1, x2) + +inst_298: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x801fb335; + valaddr_reg:x3; val_offset:596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 596*FLEN/8, x4, x1, x2) + +inst_299: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 1 and fe2 == 0xfe and fm2 == 0x5b0376 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0xff5b0376; + valaddr_reg:x3; val_offset:598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 598*FLEN/8, x4, x1, x2) + +inst_300: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x19482d and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0x19482d; + valaddr_reg:x3; val_offset:600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 600*FLEN/8, x4, x1, x2) + +inst_301: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0x801fb335; + valaddr_reg:x3; val_offset:602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 602*FLEN/8, x4, x1, x2) + +inst_302: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 604*FLEN/8, x4, x1, x2) + +inst_303: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x19482d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x19482d; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 606*FLEN/8, x4, x1, x2) + +inst_304: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x800642ea; + valaddr_reg:x3; val_offset:608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 608*FLEN/8, x4, x1, x2) + +inst_305: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x028737 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x28737; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 610*FLEN/8, x4, x1, x2) + +inst_306: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0x00 and fm2 == 0x028737 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x28737; + valaddr_reg:x3; val_offset:612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 612*FLEN/8, x4, x1, x2) + +inst_307: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x028737 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x28737; op2val:0x800642ea; + valaddr_reg:x3; val_offset:614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 614*FLEN/8, x4, x1, x2) + +inst_308: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x028737 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x28737; + valaddr_reg:x3; val_offset:616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 616*FLEN/8, x4, x1, x2) + +inst_309: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x7f0; + valaddr_reg:x3; val_offset:618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 618*FLEN/8, x4, x1, x2) + +inst_310: +// fs1 == 0 and fe1 == 0x80 and fm1 == 0x149808 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x40149808; op2val:0x7f0; + valaddr_reg:x3; val_offset:620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 620*FLEN/8, x4, x1, x2) + +inst_311: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x149808 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x40149808; + valaddr_reg:x3; val_offset:622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 622*FLEN/8, x4, x1, x2) + +inst_312: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x2eabd8 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x149808 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f2eabd8; op2val:0x40149808; + valaddr_reg:x3; val_offset:624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 624*FLEN/8, x4, x1, x2) + +inst_313: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x7f222105; + valaddr_reg:x3; val_offset:626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 626*FLEN/8, x4, x1, x2) + +inst_314: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7f222105; + valaddr_reg:x3; val_offset:628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 628*FLEN/8, x4, x1, x2) + +inst_315: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 630*FLEN/8, x4, x1, x2) + +inst_316: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 632*FLEN/8, x4, x1, x2) + +inst_317: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 634*FLEN/8, x4, x1, x2) + +inst_318: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 636*FLEN/8, x4, x1, x2) + +inst_319: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 638*FLEN/8, x4, x1, x2) + +inst_320: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 640*FLEN/8, x4, x1, x2) + +inst_321: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 642*FLEN/8, x4, x1, x2) + +inst_322: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 644*FLEN/8, x4, x1, x2) + +inst_323: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 646*FLEN/8, x4, x1, x2) + +inst_324: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfa and fm2 == 0x36cde1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xfd36cde1; + valaddr_reg:x3; val_offset:648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 648*FLEN/8, x4, x1, x2) + +inst_325: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x36cde1 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd36cde1; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 650*FLEN/8, x4, x1, x2) + +inst_326: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 652*FLEN/8, x4, x1, x2) + +inst_327: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 654*FLEN/8, x4, x1, x2) + +inst_328: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x7ff856 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xfcfff856; + valaddr_reg:x3; val_offset:656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 656*FLEN/8, x4, x1, x2) + +inst_329: +// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x7ff856 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfcfff856; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 658*FLEN/8, x4, x1, x2) + +inst_330: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 660*FLEN/8, x4, x1, x2) + +inst_331: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 662*FLEN/8, x4, x1, x2) + +inst_332: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 664*FLEN/8, x4, x1, x2) + +inst_333: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0xfc538835; + valaddr_reg:x3; val_offset:666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 666*FLEN/8, x4, x1, x2) + +inst_334: +// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x14b67a and fs2 == 1 and fe2 == 0xfc and fm2 == 0x043521 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc94b67a; op2val:0xfe043521; + valaddr_reg:x3; val_offset:668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 668*FLEN/8, x4, x1, x2) + +inst_335: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x043521 and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x14b67a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe043521; op2val:0xfc94b67a; + valaddr_reg:x3; val_offset:670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 670*FLEN/8, x4, x1, x2) + +inst_336: +// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x14b67a and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc94b67a; op2val:0xfc538835; + valaddr_reg:x3; val_offset:672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 672*FLEN/8, x4, x1, x2) + +inst_337: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x14b67a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0xfc94b67a; + valaddr_reg:x3; val_offset:674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 674*FLEN/8, x4, x1, x2) + +inst_338: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 676*FLEN/8, x4, x1, x2) + +inst_339: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x14c59d and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x7d94c59d; + valaddr_reg:x3; val_offset:678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 678*FLEN/8, x4, x1, x2) + +inst_340: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x14c59d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x4343c4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d94c59d; op2val:0x804343c4; + valaddr_reg:x3; val_offset:680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 680*FLEN/8, x4, x1, x2) + +inst_341: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 682*FLEN/8, x4, x1, x2) + +inst_342: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x4343c4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x804343c4; + valaddr_reg:x3; val_offset:684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 684*FLEN/8, x4, x1, x2) + +inst_343: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x3229c1; + valaddr_reg:x3; val_offset:686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 686*FLEN/8, x4, x1, x2) + +inst_344: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x0aa123 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x7e0aa123; + valaddr_reg:x3; val_offset:688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 688*FLEN/8, x4, x1, x2) + +inst_345: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0aa123 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x4343c4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0aa123; op2val:0x804343c4; + valaddr_reg:x3; val_offset:690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 690*FLEN/8, x4, x1, x2) + +inst_346: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x3229c1; + valaddr_reg:x3; val_offset:692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 692*FLEN/8, x4, x1, x2) + +inst_347: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 694*FLEN/8, x4, x1, x2) + +inst_348: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x209de3 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x7e209de3; + valaddr_reg:x3; val_offset:696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 696*FLEN/8, x4, x1, x2) + +inst_349: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x209de3 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x4343c4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e209de3; op2val:0x804343c4; + valaddr_reg:x3; val_offset:698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 698*FLEN/8, x4, x1, x2) + +inst_350: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 700*FLEN/8, x4, x1, x2) + +inst_351: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x27935b; + valaddr_reg:x3; val_offset:702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 702*FLEN/8, x4, x1, x2) + +inst_352: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x5abd82 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x7ddabd82; + valaddr_reg:x3; val_offset:704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 704*FLEN/8, x4, x1, x2) + +inst_353: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x5abd82 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x4343c4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ddabd82; op2val:0x804343c4; + valaddr_reg:x3; val_offset:706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 706*FLEN/8, x4, x1, x2) + +inst_354: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x27935b; + valaddr_reg:x3; val_offset:708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 708*FLEN/8, x4, x1, x2) + +inst_355: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x33da99; + valaddr_reg:x3; val_offset:710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 710*FLEN/8, x4, x1, x2) + +inst_356: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x0f4d55 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x7e0f4d55; + valaddr_reg:x3; val_offset:712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 712*FLEN/8, x4, x1, x2) + +inst_357: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0f4d55 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x4343c4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0f4d55; op2val:0x804343c4; + valaddr_reg:x3; val_offset:714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 714*FLEN/8, x4, x1, x2) + +inst_358: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x33da99; + valaddr_reg:x3; val_offset:716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 716*FLEN/8, x4, x1, x2) + +inst_359: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 718*FLEN/8, x4, x1, x2) + +inst_360: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x03130e and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0xfe03130e; + valaddr_reg:x3; val_offset:720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 720*FLEN/8, x4, x1, x2) + +inst_361: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03130e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x4343c4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03130e; op2val:0x804343c4; + valaddr_reg:x3; val_offset:722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 722*FLEN/8, x4, x1, x2) + +inst_362: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 724*FLEN/8, x4, x1, x2) + +inst_363: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x802c9686; + valaddr_reg:x3; val_offset:726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 726*FLEN/8, x4, x1, x2) + +inst_364: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x7671c2 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0xfdf671c2; + valaddr_reg:x3; val_offset:728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 728*FLEN/8, x4, x1, x2) + +inst_365: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x7671c2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x4343c4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdf671c2; op2val:0x804343c4; + valaddr_reg:x3; val_offset:730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 730*FLEN/8, x4, x1, x2) + +inst_366: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x802c9686; + valaddr_reg:x3; val_offset:732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 732*FLEN/8, x4, x1, x2) + +inst_367: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x801fb335; + valaddr_reg:x3; val_offset:734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 734*FLEN/8, x4, x1, x2) + +inst_368: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x2f35f8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0xfdaf35f8; + valaddr_reg:x3; val_offset:736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 736*FLEN/8, x4, x1, x2) + +inst_369: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x2f35f8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x4343c4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdaf35f8; op2val:0x804343c4; + valaddr_reg:x3; val_offset:738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 738*FLEN/8, x4, x1, x2) + +inst_370: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x801fb335; + valaddr_reg:x3; val_offset:740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 740*FLEN/8, x4, x1, x2) + +inst_371: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 742*FLEN/8, x4, x1, x2) + +inst_372: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x048fde and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0xfe048fde; + valaddr_reg:x3; val_offset:744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 744*FLEN/8, x4, x1, x2) + +inst_373: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x048fde and fs2 == 1 and fe2 == 0x00 and fm2 == 0x4343c4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe048fde; op2val:0x804343c4; + valaddr_reg:x3; val_offset:746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 746*FLEN/8, x4, x1, x2) + +inst_374: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x4343c4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x804343c4; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 748*FLEN/8, x4, x1, x2) + +inst_375: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x800642ea; + valaddr_reg:x3; val_offset:750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 750*FLEN/8, x4, x1, x2) + +inst_376: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x06b9fa and fs2 == 1 and fe2 == 0xfc and fm2 == 0x2d09bb and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8006b9fa; op2val:0xfe2d09bb; + valaddr_reg:x3; val_offset:752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 752*FLEN/8, x4, x1, x2) + +inst_377: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2d09bb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x06b9fa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2d09bb; op2val:0x8006b9fa; + valaddr_reg:x3; val_offset:754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 754*FLEN/8, x4, x1, x2) + +inst_378: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x06b9fa and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8006b9fa; op2val:0x800642ea; + valaddr_reg:x3; val_offset:756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 756*FLEN/8, x4, x1, x2) + +inst_379: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x06b9fa and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x8006b9fa; + valaddr_reg:x3; val_offset:758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 758*FLEN/8, x4, x1, x2) + +inst_380: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0x7f0; + valaddr_reg:x3; val_offset:760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 760*FLEN/8, x4, x1, x2) + +inst_381: +// fs1 == 1 and fe1 == 0x81 and fm1 == 0x45ac58 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc0c5ac58; op2val:0x7f0; + valaddr_reg:x3; val_offset:762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 762*FLEN/8, x4, x1, x2) + +inst_382: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x45ac58 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc0c5ac58; + valaddr_reg:x3; val_offset:764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 764*FLEN/8, x4, x1, x2) + +inst_383: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x39e419 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x45ac58 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe39e419; op2val:0xc0c5ac58; + valaddr_reg:x3; val_offset:766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 766*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_4) + +inst_384: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 768*FLEN/8, x4, x1, x2) + +inst_385: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x7e587392; + valaddr_reg:x3; val_offset:770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 770*FLEN/8, x4, x1, x2) + +inst_386: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x36cde1 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd36cde1; op2val:0x7e587392; + valaddr_reg:x3; val_offset:772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 772*FLEN/8, x4, x1, x2) + +inst_387: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0xfa and fm2 == 0x36cde1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0xfd36cde1; + valaddr_reg:x3; val_offset:774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 774*FLEN/8, x4, x1, x2) + +inst_388: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 776*FLEN/8, x4, x1, x2) + +inst_389: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x36cde1 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd36cde1; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 778*FLEN/8, x4, x1, x2) + +inst_390: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 780*FLEN/8, x4, x1, x2) + +inst_391: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 782*FLEN/8, x4, x1, x2) + +inst_392: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 784*FLEN/8, x4, x1, x2) + +inst_393: +// fs1 == 1 and fe1 == 0xfa and fm1 == 0x36cde1 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfd36cde1; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 786*FLEN/8, x4, x1, x2) + +inst_394: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0xfc538835; + valaddr_reg:x3; val_offset:788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 788*FLEN/8, x4, x1, x2) + +inst_395: +// fs1 == 1 and fe1 == 0xf7 and fm1 == 0x123e4e and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfb923e4e; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 790*FLEN/8, x4, x1, x2) + +inst_396: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xf7 and fm2 == 0x123e4e and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xfb923e4e; + valaddr_reg:x3; val_offset:792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 792*FLEN/8, x4, x1, x2) + +inst_397: +// fs1 == 1 and fe1 == 0xf7 and fm1 == 0x123e4e and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfb923e4e; op2val:0xfc538835; + valaddr_reg:x3; val_offset:794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 794*FLEN/8, x4, x1, x2) + +inst_398: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0xf7 and fm2 == 0x123e4e and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0xfb923e4e; + valaddr_reg:x3; val_offset:796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 796*FLEN/8, x4, x1, x2) + +inst_399: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 798*FLEN/8, x4, x1, x2) + +inst_400: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x39f704 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0x7f39f704; + valaddr_reg:x3; val_offset:800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 800*FLEN/8, x4, x1, x2) + +inst_401: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108974 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f39f704; op2val:0x80108974; + valaddr_reg:x3; val_offset:802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 802*FLEN/8, x4, x1, x2) + +inst_402: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 804*FLEN/8, x4, x1, x2) + +inst_403: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108974 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x80108974; + valaddr_reg:x3; val_offset:806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 806*FLEN/8, x4, x1, x2) + +inst_404: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x3229c1; + valaddr_reg:x3; val_offset:808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 808*FLEN/8, x4, x1, x2) + +inst_405: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 810*FLEN/8, x4, x1, x2) + +inst_406: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108974 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x80108974; + valaddr_reg:x3; val_offset:812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 812*FLEN/8, x4, x1, x2) + +inst_407: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0x3229c1; + valaddr_reg:x3; val_offset:814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 814*FLEN/8, x4, x1, x2) + +inst_408: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 816*FLEN/8, x4, x1, x2) + +inst_409: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 818*FLEN/8, x4, x1, x2) + +inst_410: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x27935b; + valaddr_reg:x3; val_offset:820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 820*FLEN/8, x4, x1, x2) + +inst_411: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0x27935b; + valaddr_reg:x3; val_offset:822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 822*FLEN/8, x4, x1, x2) + +inst_412: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x33da99; + valaddr_reg:x3; val_offset:824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 824*FLEN/8, x4, x1, x2) + +inst_413: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0x33da99; + valaddr_reg:x3; val_offset:826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 826*FLEN/8, x4, x1, x2) + +inst_414: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 828*FLEN/8, x4, x1, x2) + +inst_415: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 830*FLEN/8, x4, x1, x2) + +inst_416: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108974 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x80108974; + valaddr_reg:x3; val_offset:832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 832*FLEN/8, x4, x1, x2) + +inst_417: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 834*FLEN/8, x4, x1, x2) + +inst_418: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x802c9686; + valaddr_reg:x3; val_offset:836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 836*FLEN/8, x4, x1, x2) + +inst_419: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0x802c9686; + valaddr_reg:x3; val_offset:838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 838*FLEN/8, x4, x1, x2) + +inst_420: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x801fb335; + valaddr_reg:x3; val_offset:840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 840*FLEN/8, x4, x1, x2) + +inst_421: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x5b0376 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0xff5b0376; + valaddr_reg:x3; val_offset:842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 842*FLEN/8, x4, x1, x2) + +inst_422: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x108974 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0x80108974; + valaddr_reg:x3; val_offset:844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 844*FLEN/8, x4, x1, x2) + +inst_423: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0x801fb335; + valaddr_reg:x3; val_offset:846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 846*FLEN/8, x4, x1, x2) + +inst_424: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 848*FLEN/8, x4, x1, x2) + +inst_425: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x108974 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80108974; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 850*FLEN/8, x4, x1, x2) + +inst_426: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x800642ea; + valaddr_reg:x3; val_offset:852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 852*FLEN/8, x4, x1, x2) + +inst_427: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x01a758 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8001a758; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 854*FLEN/8, x4, x1, x2) + +inst_428: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x01a758 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x8001a758; + valaddr_reg:x3; val_offset:856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 856*FLEN/8, x4, x1, x2) + +inst_429: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x01a758 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8001a758; op2val:0x800642ea; + valaddr_reg:x3; val_offset:858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 858*FLEN/8, x4, x1, x2) + +inst_430: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0x00 and fm2 == 0x01a758 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x8001a758; + valaddr_reg:x3; val_offset:860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 860*FLEN/8, x4, x1, x2) + +inst_431: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0x7f0; + valaddr_reg:x3; val_offset:862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 862*FLEN/8, x4, x1, x2) + +inst_432: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x42640b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbfc2640b; op2val:0x7f0; + valaddr_reg:x3; val_offset:864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 864*FLEN/8, x4, x1, x2) + +inst_433: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x42640b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xbfc2640b; + valaddr_reg:x3; val_offset:866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 866*FLEN/8, x4, x1, x2) + +inst_434: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x64815a and fs2 == 1 and fe2 == 0x7f and fm2 == 0x42640b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfee4815a; op2val:0xbfc2640b; + valaddr_reg:x3; val_offset:868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 868*FLEN/8, x4, x1, x2) + +inst_435: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 870*FLEN/8, x4, x1, x2) + +inst_436: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x7e587392; + valaddr_reg:x3; val_offset:872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 872*FLEN/8, x4, x1, x2) + +inst_437: +// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x7ff856 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfcfff856; op2val:0x7e587392; + valaddr_reg:x3; val_offset:874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 874*FLEN/8, x4, x1, x2) + +inst_438: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x7ff856 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0xfcfff856; + valaddr_reg:x3; val_offset:876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 876*FLEN/8, x4, x1, x2) + +inst_439: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 878*FLEN/8, x4, x1, x2) + +inst_440: +// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x7ff856 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfcfff856; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 880*FLEN/8, x4, x1, x2) + +inst_441: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 882*FLEN/8, x4, x1, x2) + +inst_442: +// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x7ff856 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfcfff856; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 884*FLEN/8, x4, x1, x2) + +inst_443: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0xfc538835; + valaddr_reg:x3; val_offset:886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 886*FLEN/8, x4, x1, x2) + +inst_444: +// fs1 == 1 and fe1 == 0xf6 and fm1 == 0x4cc6ab and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfb4cc6ab; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 888*FLEN/8, x4, x1, x2) + +inst_445: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0xf6 and fm2 == 0x4cc6ab and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0xfb4cc6ab; + valaddr_reg:x3; val_offset:890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 890*FLEN/8, x4, x1, x2) + +inst_446: +// fs1 == 1 and fe1 == 0xf6 and fm1 == 0x4cc6ab and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfb4cc6ab; op2val:0xfc538835; + valaddr_reg:x3; val_offset:892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 892*FLEN/8, x4, x1, x2) + +inst_447: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0xf6 and fm2 == 0x4cc6ab and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0xfb4cc6ab; + valaddr_reg:x3; val_offset:894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 894*FLEN/8, x4, x1, x2) + +inst_448: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 896*FLEN/8, x4, x1, x2) + +inst_449: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 0 and fe2 == 0xfe and fm2 == 0x39f704 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0x7f39f704; + valaddr_reg:x3; val_offset:898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 898*FLEN/8, x4, x1, x2) + +inst_450: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0b93ee and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f39f704; op2val:0x800b93ee; + valaddr_reg:x3; val_offset:900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 900*FLEN/8, x4, x1, x2) + +inst_451: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 902*FLEN/8, x4, x1, x2) + +inst_452: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0b93ee and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x800b93ee; + valaddr_reg:x3; val_offset:904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 904*FLEN/8, x4, x1, x2) + +inst_453: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x3229c1; + valaddr_reg:x3; val_offset:906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 906*FLEN/8, x4, x1, x2) + +inst_454: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 908*FLEN/8, x4, x1, x2) + +inst_455: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0b93ee and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f7fffff; op2val:0x800b93ee; + valaddr_reg:x3; val_offset:910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 910*FLEN/8, x4, x1, x2) + +inst_456: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0x3229c1; + valaddr_reg:x3; val_offset:912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 912*FLEN/8, x4, x1, x2) + +inst_457: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 914*FLEN/8, x4, x1, x2) + +inst_458: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:916*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 916*FLEN/8, x4, x1, x2) + +inst_459: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x27935b; + valaddr_reg:x3; val_offset:918*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 918*FLEN/8, x4, x1, x2) + +inst_460: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0x27935b; + valaddr_reg:x3; val_offset:920*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 920*FLEN/8, x4, x1, x2) + +inst_461: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x33da99; + valaddr_reg:x3; val_offset:922*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 922*FLEN/8, x4, x1, x2) + +inst_462: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0x33da99; + valaddr_reg:x3; val_offset:924*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 924*FLEN/8, x4, x1, x2) + +inst_463: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:926*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 926*FLEN/8, x4, x1, x2) + +inst_464: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:928*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 928*FLEN/8, x4, x1, x2) + +inst_465: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0b93ee and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x800b93ee; + valaddr_reg:x3; val_offset:930*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 930*FLEN/8, x4, x1, x2) + +inst_466: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:932*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 932*FLEN/8, x4, x1, x2) + +inst_467: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x802c9686; + valaddr_reg:x3; val_offset:934*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 934*FLEN/8, x4, x1, x2) + +inst_468: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0x802c9686; + valaddr_reg:x3; val_offset:936*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 936*FLEN/8, x4, x1, x2) + +inst_469: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x801fb335; + valaddr_reg:x3; val_offset:938*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 938*FLEN/8, x4, x1, x2) + +inst_470: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 1 and fe2 == 0xfe and fm2 == 0x5b0376 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0xff5b0376; + valaddr_reg:x3; val_offset:940*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 940*FLEN/8, x4, x1, x2) + +inst_471: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0b93ee and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0x800b93ee; + valaddr_reg:x3; val_offset:942*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 942*FLEN/8, x4, x1, x2) + +inst_472: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0x801fb335; + valaddr_reg:x3; val_offset:944*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 944*FLEN/8, x4, x1, x2) + +inst_473: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:946*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 946*FLEN/8, x4, x1, x2) + +inst_474: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0b93ee and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800b93ee; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:948*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 948*FLEN/8, x4, x1, x2) + +inst_475: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x800642ea; + valaddr_reg:x3; val_offset:950*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 950*FLEN/8, x4, x1, x2) + +inst_476: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x012864 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80012864; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:952*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 952*FLEN/8, x4, x1, x2) + +inst_477: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fs2 == 1 and fe2 == 0x00 and fm2 == 0x012864 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff7fffff; op2val:0x80012864; + valaddr_reg:x3; val_offset:954*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 954*FLEN/8, x4, x1, x2) + +inst_478: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x012864 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80012864; op2val:0x800642ea; + valaddr_reg:x3; val_offset:956*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 956*FLEN/8, x4, x1, x2) + +inst_479: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x012864 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x80012864; + valaddr_reg:x3; val_offset:958*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 958*FLEN/8, x4, x1, x2) + +inst_480: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0x7f0; + valaddr_reg:x3; val_offset:960*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 960*FLEN/8, x4, x1, x2) + +inst_481: +// fs1 == 1 and fe1 == 0x7f and fm1 == 0x0818d6 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xbf8818d6; op2val:0x7f0; + valaddr_reg:x3; val_offset:962*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 962*FLEN/8, x4, x1, x2) + +inst_482: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x0818d6 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xbf8818d6; + valaddr_reg:x3; val_offset:964*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 964*FLEN/8, x4, x1, x2) + +inst_483: +// fs1 == 1 and fe1 == 0xfd and fm1 == 0x1ffb35 and fs2 == 1 and fe2 == 0x7f and fm2 == 0x0818d6 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe9ffb35; op2val:0xbf8818d6; + valaddr_reg:x3; val_offset:966*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 966*FLEN/8, x4, x1, x2) + +inst_484: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x7f222105; + valaddr_reg:x3; val_offset:968*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 968*FLEN/8, x4, x1, x2) + +inst_485: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:970*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 970*FLEN/8, x4, x1, x2) + +inst_486: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:972*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 972*FLEN/8, x4, x1, x2) + +inst_487: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:974*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 974*FLEN/8, x4, x1, x2) + +inst_488: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:976*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 976*FLEN/8, x4, x1, x2) + +inst_489: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:978*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 978*FLEN/8, x4, x1, x2) + +inst_490: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:980*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 980*FLEN/8, x4, x1, x2) + +inst_491: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:982*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 982*FLEN/8, x4, x1, x2) + +inst_492: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0xfc538835; + valaddr_reg:x3; val_offset:984*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 984*FLEN/8, x4, x1, x2) + +inst_493: +// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x1608ad and fs2 == 1 and fe2 == 0xfc and fm2 == 0x043521 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc9608ad; op2val:0xfe043521; + valaddr_reg:x3; val_offset:986*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 986*FLEN/8, x4, x1, x2) + +inst_494: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x043521 and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x1608ad and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe043521; op2val:0xfc9608ad; + valaddr_reg:x3; val_offset:988*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 988*FLEN/8, x4, x1, x2) + +inst_495: +// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x1608ad and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc9608ad; op2val:0xfc538835; + valaddr_reg:x3; val_offset:990*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 990*FLEN/8, x4, x1, x2) + +inst_496: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x1608ad and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0xfc9608ad; + valaddr_reg:x3; val_offset:992*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 992*FLEN/8, x4, x1, x2) + +inst_497: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:994*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 994*FLEN/8, x4, x1, x2) + +inst_498: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 0 and fe2 == 0xfb and fm2 == 0x14c59d and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x7d94c59d; + valaddr_reg:x3; val_offset:996*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 996*FLEN/8, x4, x1, x2) + +inst_499: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x14c59d and fs2 == 1 and fe2 == 0x00 and fm2 == 0x43dcbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d94c59d; op2val:0x8043dcbc; + valaddr_reg:x3; val_offset:998*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 998*FLEN/8, x4, x1, x2) + +inst_500: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1000*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1000*FLEN/8, x4, x1, x2) + +inst_501: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x43dcbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x8043dcbc; + valaddr_reg:x3; val_offset:1002*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1002*FLEN/8, x4, x1, x2) + +inst_502: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1004*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1004*FLEN/8, x4, x1, x2) + +inst_503: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 0 and fe2 == 0xfc and fm2 == 0x0aa123 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x7e0aa123; + valaddr_reg:x3; val_offset:1006*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1006*FLEN/8, x4, x1, x2) + +inst_504: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0aa123 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x43dcbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0aa123; op2val:0x8043dcbc; + valaddr_reg:x3; val_offset:1008*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1008*FLEN/8, x4, x1, x2) + +inst_505: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1010*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1010*FLEN/8, x4, x1, x2) + +inst_506: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1012*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1012*FLEN/8, x4, x1, x2) + +inst_507: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 0 and fe2 == 0xfc and fm2 == 0x209de3 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x7e209de3; + valaddr_reg:x3; val_offset:1014*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1014*FLEN/8, x4, x1, x2) + +inst_508: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x209de3 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x43dcbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e209de3; op2val:0x8043dcbc; + valaddr_reg:x3; val_offset:1016*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1016*FLEN/8, x4, x1, x2) + +inst_509: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1018*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1018*FLEN/8, x4, x1, x2) + +inst_510: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x27935b; + valaddr_reg:x3; val_offset:1020*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1020*FLEN/8, x4, x1, x2) + +inst_511: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 0 and fe2 == 0xfb and fm2 == 0x5abd82 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x7ddabd82; + valaddr_reg:x3; val_offset:1022*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1022*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_5) + +inst_512: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x5abd82 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x43dcbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ddabd82; op2val:0x8043dcbc; + valaddr_reg:x3; val_offset:1024*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1024*FLEN/8, x4, x1, x2) + +inst_513: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x27935b; + valaddr_reg:x3; val_offset:1026*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1026*FLEN/8, x4, x1, x2) + +inst_514: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x33da99; + valaddr_reg:x3; val_offset:1028*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1028*FLEN/8, x4, x1, x2) + +inst_515: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 0 and fe2 == 0xfc and fm2 == 0x0f4d55 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x7e0f4d55; + valaddr_reg:x3; val_offset:1030*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1030*FLEN/8, x4, x1, x2) + +inst_516: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0f4d55 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x43dcbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0f4d55; op2val:0x8043dcbc; + valaddr_reg:x3; val_offset:1032*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1032*FLEN/8, x4, x1, x2) + +inst_517: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x33da99; + valaddr_reg:x3; val_offset:1034*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1034*FLEN/8, x4, x1, x2) + +inst_518: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1036*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1036*FLEN/8, x4, x1, x2) + +inst_519: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 1 and fe2 == 0xfc and fm2 == 0x03130e and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0xfe03130e; + valaddr_reg:x3; val_offset:1038*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1038*FLEN/8, x4, x1, x2) + +inst_520: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03130e and fs2 == 1 and fe2 == 0x00 and fm2 == 0x43dcbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03130e; op2val:0x8043dcbc; + valaddr_reg:x3; val_offset:1040*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1040*FLEN/8, x4, x1, x2) + +inst_521: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1042*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1042*FLEN/8, x4, x1, x2) + +inst_522: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1044*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1044*FLEN/8, x4, x1, x2) + +inst_523: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 1 and fe2 == 0xfb and fm2 == 0x7671c2 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0xfdf671c2; + valaddr_reg:x3; val_offset:1046*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1046*FLEN/8, x4, x1, x2) + +inst_524: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x7671c2 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x43dcbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdf671c2; op2val:0x8043dcbc; + valaddr_reg:x3; val_offset:1048*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1048*FLEN/8, x4, x1, x2) + +inst_525: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1050*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1050*FLEN/8, x4, x1, x2) + +inst_526: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1052*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1052*FLEN/8, x4, x1, x2) + +inst_527: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 1 and fe2 == 0xfb and fm2 == 0x2f35f8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0xfdaf35f8; + valaddr_reg:x3; val_offset:1054*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1054*FLEN/8, x4, x1, x2) + +inst_528: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x2f35f8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x43dcbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdaf35f8; op2val:0x8043dcbc; + valaddr_reg:x3; val_offset:1056*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1056*FLEN/8, x4, x1, x2) + +inst_529: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1058*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1058*FLEN/8, x4, x1, x2) + +inst_530: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1060*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1060*FLEN/8, x4, x1, x2) + +inst_531: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 1 and fe2 == 0xfc and fm2 == 0x048fde and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0xfe048fde; + valaddr_reg:x3; val_offset:1062*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1062*FLEN/8, x4, x1, x2) + +inst_532: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x048fde and fs2 == 1 and fe2 == 0x00 and fm2 == 0x43dcbc and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe048fde; op2val:0x8043dcbc; + valaddr_reg:x3; val_offset:1064*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1064*FLEN/8, x4, x1, x2) + +inst_533: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x43dcbc and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8043dcbc; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1066*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1066*FLEN/8, x4, x1, x2) + +inst_534: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1068*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1068*FLEN/8, x4, x1, x2) + +inst_535: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x06c946 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x2d09bb and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8006c946; op2val:0xfe2d09bb; + valaddr_reg:x3; val_offset:1070*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1070*FLEN/8, x4, x1, x2) + +inst_536: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2d09bb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x06c946 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2d09bb; op2val:0x8006c946; + valaddr_reg:x3; val_offset:1072*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1072*FLEN/8, x4, x1, x2) + +inst_537: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x06c946 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8006c946; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1074*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1074*FLEN/8, x4, x1, x2) + +inst_538: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x06c946 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x8006c946; + valaddr_reg:x3; val_offset:1076*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1076*FLEN/8, x4, x1, x2) + +inst_539: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0x7f0; + valaddr_reg:x3; val_offset:1078*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1078*FLEN/8, x4, x1, x2) + +inst_540: +// fs1 == 1 and fe1 == 0x81 and fm1 == 0x476de3 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc0c76de3; op2val:0x7f0; + valaddr_reg:x3; val_offset:1080*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1080*FLEN/8, x4, x1, x2) + +inst_541: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x476de3 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc0c76de3; + valaddr_reg:x3; val_offset:1082*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1082*FLEN/8, x4, x1, x2) + +inst_542: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x3b8ad8 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x476de3 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe3b8ad8; op2val:0xc0c76de3; + valaddr_reg:x3; val_offset:1084*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1084*FLEN/8, x4, x1, x2) + +inst_543: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1086*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1086*FLEN/8, x4, x1, x2) + +inst_544: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1088*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1088*FLEN/8, x4, x1, x2) + +inst_545: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1090*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1090*FLEN/8, x4, x1, x2) + +inst_546: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1092*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1092*FLEN/8, x4, x1, x2) + +inst_547: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1094*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1094*FLEN/8, x4, x1, x2) + +inst_548: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1096*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1096*FLEN/8, x4, x1, x2) + +inst_549: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x043521 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe043521; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1098*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1098*FLEN/8, x4, x1, x2) + +inst_550: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x043521 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0xfe043521; + valaddr_reg:x3; val_offset:1100*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1100*FLEN/8, x4, x1, x2) + +inst_551: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1102*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1102*FLEN/8, x4, x1, x2) + +inst_552: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1104*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1104*FLEN/8, x4, x1, x2) + +inst_553: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x043521 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe043521; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1106*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1106*FLEN/8, x4, x1, x2) + +inst_554: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1108*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1108*FLEN/8, x4, x1, x2) + +inst_555: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1110*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1110*FLEN/8, x4, x1, x2) + +inst_556: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1112*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1112*FLEN/8, x4, x1, x2) + +inst_557: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x043521 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe043521; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1114*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1114*FLEN/8, x4, x1, x2) + +inst_558: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1116*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1116*FLEN/8, x4, x1, x2) + +inst_559: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 0 and fe2 == 0xf7 and fm2 == 0x6e08fb and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x7bee08fb; + valaddr_reg:x3; val_offset:1118*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1118*FLEN/8, x4, x1, x2) + +inst_560: +// fs1 == 0 and fe1 == 0xf7 and fm1 == 0x6e08fb and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2fd6de and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7bee08fb; op2val:0x802fd6de; + valaddr_reg:x3; val_offset:1120*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1120*FLEN/8, x4, x1, x2) + +inst_561: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1122*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1122*FLEN/8, x4, x1, x2) + +inst_562: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2fd6de and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x802fd6de; + valaddr_reg:x3; val_offset:1124*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1124*FLEN/8, x4, x1, x2) + +inst_563: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1126*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1126*FLEN/8, x4, x1, x2) + +inst_564: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 0 and fe2 == 0xf8 and fm2 == 0x5dce9f and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x7c5dce9f; + valaddr_reg:x3; val_offset:1128*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1128*FLEN/8, x4, x1, x2) + +inst_565: +// fs1 == 0 and fe1 == 0xf8 and fm1 == 0x5dce9f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2fd6de and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7c5dce9f; op2val:0x802fd6de; + valaddr_reg:x3; val_offset:1130*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1130*FLEN/8, x4, x1, x2) + +inst_566: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1132*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1132*FLEN/8, x4, x1, x2) + +inst_567: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1134*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1134*FLEN/8, x4, x1, x2) + +inst_568: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 0 and fe2 == 0xf9 and fm2 == 0x007e4f and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x7c807e4f; + valaddr_reg:x3; val_offset:1136*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1136*FLEN/8, x4, x1, x2) + +inst_569: +// fs1 == 0 and fe1 == 0xf9 and fm1 == 0x007e4f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2fd6de and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7c807e4f; op2val:0x802fd6de; + valaddr_reg:x3; val_offset:1138*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1138*FLEN/8, x4, x1, x2) + +inst_570: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1140*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1140*FLEN/8, x4, x1, x2) + +inst_571: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x27935b; + valaddr_reg:x3; val_offset:1142*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1142*FLEN/8, x4, x1, x2) + +inst_572: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 0 and fe2 == 0xf8 and fm2 == 0x2efe01 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x7c2efe01; + valaddr_reg:x3; val_offset:1144*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1144*FLEN/8, x4, x1, x2) + +inst_573: +// fs1 == 0 and fe1 == 0xf8 and fm1 == 0x2efe01 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2fd6de and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7c2efe01; op2val:0x802fd6de; + valaddr_reg:x3; val_offset:1146*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1146*FLEN/8, x4, x1, x2) + +inst_574: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x27935b; + valaddr_reg:x3; val_offset:1148*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1148*FLEN/8, x4, x1, x2) + +inst_575: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x33da99; + valaddr_reg:x3; val_offset:1150*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1150*FLEN/8, x4, x1, x2) + +inst_576: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 0 and fe2 == 0xf8 and fm2 == 0x654888 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x7c654888; + valaddr_reg:x3; val_offset:1152*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1152*FLEN/8, x4, x1, x2) + +inst_577: +// fs1 == 0 and fe1 == 0xf8 and fm1 == 0x654888 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2fd6de and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7c654888; op2val:0x802fd6de; + valaddr_reg:x3; val_offset:1154*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1154*FLEN/8, x4, x1, x2) + +inst_578: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x33da99; + valaddr_reg:x3; val_offset:1156*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1156*FLEN/8, x4, x1, x2) + +inst_579: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1158*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1158*FLEN/8, x4, x1, x2) + +inst_580: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x51b817 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0xfc51b817; + valaddr_reg:x3; val_offset:1160*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1160*FLEN/8, x4, x1, x2) + +inst_581: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x51b817 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2fd6de and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc51b817; op2val:0x802fd6de; + valaddr_reg:x3; val_offset:1162*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1162*FLEN/8, x4, x1, x2) + +inst_582: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1164*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1164*FLEN/8, x4, x1, x2) + +inst_583: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1166*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1166*FLEN/8, x4, x1, x2) + +inst_584: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x4527ce and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0xfc4527ce; + valaddr_reg:x3; val_offset:1168*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1168*FLEN/8, x4, x1, x2) + +inst_585: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x4527ce and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2fd6de and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc4527ce; op2val:0x802fd6de; + valaddr_reg:x3; val_offset:1170*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1170*FLEN/8, x4, x1, x2) + +inst_586: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1172*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1172*FLEN/8, x4, x1, x2) + +inst_587: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1174*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1174*FLEN/8, x4, x1, x2) + +inst_588: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x0c2b2c and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0xfc0c2b2c; + valaddr_reg:x3; val_offset:1176*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1176*FLEN/8, x4, x1, x2) + +inst_589: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x0c2b2c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2fd6de and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc0c2b2c; op2val:0x802fd6de; + valaddr_reg:x3; val_offset:1178*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1178*FLEN/8, x4, x1, x2) + +inst_590: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1180*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1180*FLEN/8, x4, x1, x2) + +inst_591: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1182*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1182*FLEN/8, x4, x1, x2) + +inst_592: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x541963 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0xfc541963; + valaddr_reg:x3; val_offset:1184*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1184*FLEN/8, x4, x1, x2) + +inst_593: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x541963 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2fd6de and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc541963; op2val:0x802fd6de; + valaddr_reg:x3; val_offset:1186*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1186*FLEN/8, x4, x1, x2) + +inst_594: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2fd6de and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802fd6de; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1188*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1188*FLEN/8, x4, x1, x2) + +inst_595: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1190*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1190*FLEN/8, x4, x1, x2) + +inst_596: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x04c8af and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x0a6e2f and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8004c8af; op2val:0xfc8a6e2f; + valaddr_reg:x3; val_offset:1192*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1192*FLEN/8, x4, x1, x2) + +inst_597: +// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x0a6e2f and fs2 == 1 and fe2 == 0x00 and fm2 == 0x04c8af and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc8a6e2f; op2val:0x8004c8af; + valaddr_reg:x3; val_offset:1194*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1194*FLEN/8, x4, x1, x2) + +inst_598: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x04c8af and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8004c8af; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1196*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1196*FLEN/8, x4, x1, x2) + +inst_599: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x04c8af and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x8004c8af; + valaddr_reg:x3; val_offset:1198*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1198*FLEN/8, x4, x1, x2) + +inst_600: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0x7f0; + valaddr_reg:x3; val_offset:1200*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1200*FLEN/8, x4, x1, x2) + +inst_601: +// fs1 == 1 and fe1 == 0x81 and fm1 == 0x0c9650 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc08c9650; op2val:0x7f0; + valaddr_reg:x3; val_offset:1202*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1202*FLEN/8, x4, x1, x2) + +inst_602: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x0c9650 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc08c9650; + valaddr_reg:x3; val_offset:1204*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1204*FLEN/8, x4, x1, x2) + +inst_603: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x538835 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x0c9650 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc538835; op2val:0xc08c9650; + valaddr_reg:x3; val_offset:1206*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1206*FLEN/8, x4, x1, x2) + +inst_604: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1208*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1208*FLEN/8, x4, x1, x2) + +inst_605: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f39f704; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1210*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1210*FLEN/8, x4, x1, x2) + +inst_606: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x39f704 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x7f39f704; + valaddr_reg:x3; val_offset:1212*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1212*FLEN/8, x4, x1, x2) + +inst_607: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1214*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1214*FLEN/8, x4, x1, x2) + +inst_608: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1216*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1216*FLEN/8, x4, x1, x2) + +inst_609: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f39f704; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1218*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1218*FLEN/8, x4, x1, x2) + +inst_610: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1220*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1220*FLEN/8, x4, x1, x2) + +inst_611: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f39f704; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1222*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1222*FLEN/8, x4, x1, x2) + +inst_612: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1224*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1224*FLEN/8, x4, x1, x2) + +inst_613: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x14c59d and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d94c59d; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1226*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1226*FLEN/8, x4, x1, x2) + +inst_614: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0xfb and fm2 == 0x14c59d and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x7d94c59d; + valaddr_reg:x3; val_offset:1228*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1228*FLEN/8, x4, x1, x2) + +inst_615: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1230*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1230*FLEN/8, x4, x1, x2) + +inst_616: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f39f704; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1232*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1232*FLEN/8, x4, x1, x2) + +inst_617: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1234*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1234*FLEN/8, x4, x1, x2) + +inst_618: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x14c59d and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d94c59d; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1236*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1236*FLEN/8, x4, x1, x2) + +inst_619: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1238*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1238*FLEN/8, x4, x1, x2) + +inst_620: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f39f704; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1240*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1240*FLEN/8, x4, x1, x2) + +inst_621: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1242*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1242*FLEN/8, x4, x1, x2) + +inst_622: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x39f704 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f39f704; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1244*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1244*FLEN/8, x4, x1, x2) + +inst_623: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1246*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1246*FLEN/8, x4, x1, x2) + +inst_624: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x14c59d and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7d94c59d; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1248*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1248*FLEN/8, x4, x1, x2) + +inst_625: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1250*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1250*FLEN/8, x4, x1, x2) + +inst_626: +// fs1 == 0 and fe1 == 0xf7 and fm1 == 0x6e08fb and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7bee08fb; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1252*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1252*FLEN/8, x4, x1, x2) + +inst_627: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0xf7 and fm2 == 0x6e08fb and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x7bee08fb; + valaddr_reg:x3; val_offset:1254*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1254*FLEN/8, x4, x1, x2) + +inst_628: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1256*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1256*FLEN/8, x4, x1, x2) + +inst_629: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1258*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1258*FLEN/8, x4, x1, x2) + +inst_630: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1260*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1260*FLEN/8, x4, x1, x2) + +inst_631: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1262*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1262*FLEN/8, x4, x1, x2) + +inst_632: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x27935b; + valaddr_reg:x3; val_offset:1264*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1264*FLEN/8, x4, x1, x2) + +inst_633: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1266*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1266*FLEN/8, x4, x1, x2) + +inst_634: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x33da99; + valaddr_reg:x3; val_offset:1268*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1268*FLEN/8, x4, x1, x2) + +inst_635: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1270*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1270*FLEN/8, x4, x1, x2) + +inst_636: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1272*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1272*FLEN/8, x4, x1, x2) + +inst_637: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1274*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1274*FLEN/8, x4, x1, x2) + +inst_638: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1276*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1276*FLEN/8, x4, x1, x2) + +inst_639: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1278*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1278*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_6) + +inst_640: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1280*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1280*FLEN/8, x4, x1, x2) + +inst_641: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1282*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1282*FLEN/8, x4, x1, x2) + +inst_642: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1284*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1284*FLEN/8, x4, x1, x2) + +inst_643: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1286*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1286*FLEN/8, x4, x1, x2) + +inst_644: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1288*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1288*FLEN/8, x4, x1, x2) + +inst_645: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x02b110 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e9d25 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2b110; op2val:0x803e9d25; + valaddr_reg:x3; val_offset:1290*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1290*FLEN/8, x4, x1, x2) + +inst_646: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x02b110 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x2b110; + valaddr_reg:x3; val_offset:1292*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1292*FLEN/8, x4, x1, x2) + +inst_647: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x02b110 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x2b110; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1294*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1294*FLEN/8, x4, x1, x2) + +inst_648: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x02b110 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x2b110; + valaddr_reg:x3; val_offset:1296*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1296*FLEN/8, x4, x1, x2) + +inst_649: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x7f0; + valaddr_reg:x3; val_offset:1298*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1298*FLEN/8, x4, x1, x2) + +inst_650: +// fs1 == 0 and fe1 == 0x80 and fm1 == 0x1e3392 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x401e3392; op2val:0x7f0; + valaddr_reg:x3; val_offset:1300*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1300*FLEN/8, x4, x1, x2) + +inst_651: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x1e3392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x401e3392; + valaddr_reg:x3; val_offset:1302*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1302*FLEN/8, x4, x1, x2) + +inst_652: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x1aeaa5 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x1e3392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x1aeaa5; op2val:0x401e3392; + valaddr_reg:x3; val_offset:1304*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1304*FLEN/8, x4, x1, x2) + +inst_653: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1306*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1306*FLEN/8, x4, x1, x2) + +inst_654: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1308*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1308*FLEN/8, x4, x1, x2) + +inst_655: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1310*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1310*FLEN/8, x4, x1, x2) + +inst_656: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1312*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1312*FLEN/8, x4, x1, x2) + +inst_657: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1314*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1314*FLEN/8, x4, x1, x2) + +inst_658: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1316*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1316*FLEN/8, x4, x1, x2) + +inst_659: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0aa123 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0aa123; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1318*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1318*FLEN/8, x4, x1, x2) + +inst_660: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x0aa123 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x7e0aa123; + valaddr_reg:x3; val_offset:1320*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1320*FLEN/8, x4, x1, x2) + +inst_661: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1322*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1322*FLEN/8, x4, x1, x2) + +inst_662: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1324*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1324*FLEN/8, x4, x1, x2) + +inst_663: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0aa123 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0aa123; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1326*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1326*FLEN/8, x4, x1, x2) + +inst_664: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1328*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1328*FLEN/8, x4, x1, x2) + +inst_665: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1330*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1330*FLEN/8, x4, x1, x2) + +inst_666: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1332*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1332*FLEN/8, x4, x1, x2) + +inst_667: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0aa123 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0aa123; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1334*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1334*FLEN/8, x4, x1, x2) + +inst_668: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1336*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1336*FLEN/8, x4, x1, x2) + +inst_669: +// fs1 == 0 and fe1 == 0xf8 and fm1 == 0x5dce9f and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7c5dce9f; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1338*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1338*FLEN/8, x4, x1, x2) + +inst_670: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0xf8 and fm2 == 0x5dce9f and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x7c5dce9f; + valaddr_reg:x3; val_offset:1340*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1340*FLEN/8, x4, x1, x2) + +inst_671: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1342*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1342*FLEN/8, x4, x1, x2) + +inst_672: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1344*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1344*FLEN/8, x4, x1, x2) + +inst_673: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x27935b; + valaddr_reg:x3; val_offset:1346*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1346*FLEN/8, x4, x1, x2) + +inst_674: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1348*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1348*FLEN/8, x4, x1, x2) + +inst_675: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x33da99; + valaddr_reg:x3; val_offset:1350*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1350*FLEN/8, x4, x1, x2) + +inst_676: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1352*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1352*FLEN/8, x4, x1, x2) + +inst_677: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1354*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1354*FLEN/8, x4, x1, x2) + +inst_678: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1356*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1356*FLEN/8, x4, x1, x2) + +inst_679: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1358*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1358*FLEN/8, x4, x1, x2) + +inst_680: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1360*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1360*FLEN/8, x4, x1, x2) + +inst_681: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1362*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1362*FLEN/8, x4, x1, x2) + +inst_682: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1364*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1364*FLEN/8, x4, x1, x2) + +inst_683: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1366*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1366*FLEN/8, x4, x1, x2) + +inst_684: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1368*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1368*FLEN/8, x4, x1, x2) + +inst_685: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1370*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1370*FLEN/8, x4, x1, x2) + +inst_686: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x05042c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e9d25 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x5042c; op2val:0x803e9d25; + valaddr_reg:x3; val_offset:1372*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1372*FLEN/8, x4, x1, x2) + +inst_687: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x05042c and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x5042c; + valaddr_reg:x3; val_offset:1374*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1374*FLEN/8, x4, x1, x2) + +inst_688: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x05042c and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x5042c; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1376*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1376*FLEN/8, x4, x1, x2) + +inst_689: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x05042c and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x5042c; + valaddr_reg:x3; val_offset:1378*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1378*FLEN/8, x4, x1, x2) + +inst_690: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x7f0; + valaddr_reg:x3; val_offset:1380*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1380*FLEN/8, x4, x1, x2) + +inst_691: +// fs1 == 0 and fe1 == 0x81 and fm1 == 0x136a86 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x40936a86; op2val:0x7f0; + valaddr_reg:x3; val_offset:1382*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1382*FLEN/8, x4, x1, x2) + +inst_692: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x136a86 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x40936a86; + valaddr_reg:x3; val_offset:1384*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1384*FLEN/8, x4, x1, x2) + +inst_693: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3229c1 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x136a86 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3229c1; op2val:0x40936a86; + valaddr_reg:x3; val_offset:1386*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1386*FLEN/8, x4, x1, x2) + +inst_694: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1388*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1388*FLEN/8, x4, x1, x2) + +inst_695: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1390*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1390*FLEN/8, x4, x1, x2) + +inst_696: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1392*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1392*FLEN/8, x4, x1, x2) + +inst_697: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1394*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1394*FLEN/8, x4, x1, x2) + +inst_698: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1396*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1396*FLEN/8, x4, x1, x2) + +inst_699: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1398*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1398*FLEN/8, x4, x1, x2) + +inst_700: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x209de3 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e209de3; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1400*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1400*FLEN/8, x4, x1, x2) + +inst_701: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x209de3 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x7e209de3; + valaddr_reg:x3; val_offset:1402*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1402*FLEN/8, x4, x1, x2) + +inst_702: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1404*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1404*FLEN/8, x4, x1, x2) + +inst_703: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1406*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1406*FLEN/8, x4, x1, x2) + +inst_704: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x209de3 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e209de3; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1408*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1408*FLEN/8, x4, x1, x2) + +inst_705: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1410*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1410*FLEN/8, x4, x1, x2) + +inst_706: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1412*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1412*FLEN/8, x4, x1, x2) + +inst_707: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1414*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1414*FLEN/8, x4, x1, x2) + +inst_708: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x209de3 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e209de3; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1416*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1416*FLEN/8, x4, x1, x2) + +inst_709: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1418*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1418*FLEN/8, x4, x1, x2) + +inst_710: +// fs1 == 0 and fe1 == 0xf9 and fm1 == 0x007e4f and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7c807e4f; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1420*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1420*FLEN/8, x4, x1, x2) + +inst_711: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0xf9 and fm2 == 0x007e4f and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x7c807e4f; + valaddr_reg:x3; val_offset:1422*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1422*FLEN/8, x4, x1, x2) + +inst_712: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x27935b; + valaddr_reg:x3; val_offset:1424*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1424*FLEN/8, x4, x1, x2) + +inst_713: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1426*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1426*FLEN/8, x4, x1, x2) + +inst_714: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x33da99; + valaddr_reg:x3; val_offset:1428*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1428*FLEN/8, x4, x1, x2) + +inst_715: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1430*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1430*FLEN/8, x4, x1, x2) + +inst_716: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1432*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1432*FLEN/8, x4, x1, x2) + +inst_717: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1434*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1434*FLEN/8, x4, x1, x2) + +inst_718: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1436*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1436*FLEN/8, x4, x1, x2) + +inst_719: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1438*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1438*FLEN/8, x4, x1, x2) + +inst_720: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1440*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1440*FLEN/8, x4, x1, x2) + +inst_721: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1442*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1442*FLEN/8, x4, x1, x2) + +inst_722: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1444*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1444*FLEN/8, x4, x1, x2) + +inst_723: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1446*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1446*FLEN/8, x4, x1, x2) + +inst_724: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1448*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1448*FLEN/8, x4, x1, x2) + +inst_725: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x05cfda and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e9d25 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x5cfda; op2val:0x803e9d25; + valaddr_reg:x3; val_offset:1450*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1450*FLEN/8, x4, x1, x2) + +inst_726: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x05cfda and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x5cfda; + valaddr_reg:x3; val_offset:1452*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1452*FLEN/8, x4, x1, x2) + +inst_727: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x05cfda and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x5cfda; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1454*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1454*FLEN/8, x4, x1, x2) + +inst_728: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x05cfda and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x5cfda; + valaddr_reg:x3; val_offset:1456*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1456*FLEN/8, x4, x1, x2) + +inst_729: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x7f0; + valaddr_reg:x3; val_offset:1458*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1458*FLEN/8, x4, x1, x2) + +inst_730: +// fs1 == 0 and fe1 == 0x81 and fm1 == 0x2acc0a and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x40aacc0a; op2val:0x7f0; + valaddr_reg:x3; val_offset:1460*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1460*FLEN/8, x4, x1, x2) + +inst_731: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x2acc0a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x40aacc0a; + valaddr_reg:x3; val_offset:1462*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1462*FLEN/8, x4, x1, x2) + +inst_732: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x3a1e85 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x2acc0a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3a1e85; op2val:0x40aacc0a; + valaddr_reg:x3; val_offset:1464*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1464*FLEN/8, x4, x1, x2) + +inst_733: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1466*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1466*FLEN/8, x4, x1, x2) + +inst_734: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1468*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1468*FLEN/8, x4, x1, x2) + +inst_735: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x27935b; + valaddr_reg:x3; val_offset:1470*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1470*FLEN/8, x4, x1, x2) + +inst_736: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1472*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1472*FLEN/8, x4, x1, x2) + +inst_737: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1474*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1474*FLEN/8, x4, x1, x2) + +inst_738: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1476*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1476*FLEN/8, x4, x1, x2) + +inst_739: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x5abd82 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ddabd82; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1478*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1478*FLEN/8, x4, x1, x2) + +inst_740: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0xfb and fm2 == 0x5abd82 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x7ddabd82; + valaddr_reg:x3; val_offset:1480*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1480*FLEN/8, x4, x1, x2) + +inst_741: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1482*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1482*FLEN/8, x4, x1, x2) + +inst_742: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1484*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1484*FLEN/8, x4, x1, x2) + +inst_743: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x5abd82 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ddabd82; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1486*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1486*FLEN/8, x4, x1, x2) + +inst_744: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1488*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1488*FLEN/8, x4, x1, x2) + +inst_745: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1490*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1490*FLEN/8, x4, x1, x2) + +inst_746: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1492*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1492*FLEN/8, x4, x1, x2) + +inst_747: +// fs1 == 0 and fe1 == 0xfb and fm1 == 0x5abd82 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ddabd82; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1494*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1494*FLEN/8, x4, x1, x2) + +inst_748: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1496*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1496*FLEN/8, x4, x1, x2) + +inst_749: +// fs1 == 0 and fe1 == 0xf8 and fm1 == 0x2efe01 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7c2efe01; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1498*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1498*FLEN/8, x4, x1, x2) + +inst_750: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0xf8 and fm2 == 0x2efe01 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x7c2efe01; + valaddr_reg:x3; val_offset:1500*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1500*FLEN/8, x4, x1, x2) + +inst_751: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x33da99; + valaddr_reg:x3; val_offset:1502*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1502*FLEN/8, x4, x1, x2) + +inst_752: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x27935b; + valaddr_reg:x3; val_offset:1504*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1504*FLEN/8, x4, x1, x2) + +inst_753: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1506*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1506*FLEN/8, x4, x1, x2) + +inst_754: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x27935b; + valaddr_reg:x3; val_offset:1508*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1508*FLEN/8, x4, x1, x2) + +inst_755: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1510*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1510*FLEN/8, x4, x1, x2) + +inst_756: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x27935b; + valaddr_reg:x3; val_offset:1512*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1512*FLEN/8, x4, x1, x2) + +inst_757: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1514*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1514*FLEN/8, x4, x1, x2) + +inst_758: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x27935b; + valaddr_reg:x3; val_offset:1516*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1516*FLEN/8, x4, x1, x2) + +inst_759: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1518*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1518*FLEN/8, x4, x1, x2) + +inst_760: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x27935b; + valaddr_reg:x3; val_offset:1520*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1520*FLEN/8, x4, x1, x2) + +inst_761: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1522*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1522*FLEN/8, x4, x1, x2) + +inst_762: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x03f522 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e9d25 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f522; op2val:0x803e9d25; + valaddr_reg:x3; val_offset:1524*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1524*FLEN/8, x4, x1, x2) + +inst_763: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x03f522 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x3f522; + valaddr_reg:x3; val_offset:1526*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1526*FLEN/8, x4, x1, x2) + +inst_764: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x03f522 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x3f522; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1528*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1528*FLEN/8, x4, x1, x2) + +inst_765: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x03f522 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x3f522; + valaddr_reg:x3; val_offset:1530*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1530*FLEN/8, x4, x1, x2) + +inst_766: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x7f0; + valaddr_reg:x3; val_offset:1532*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1532*FLEN/8, x4, x1, x2) + +inst_767: +// fs1 == 0 and fe1 == 0x80 and fm1 == 0x689ac4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x40689ac4; op2val:0x7f0; + valaddr_reg:x3; val_offset:1534*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1534*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_7) + +inst_768: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x80 and fm2 == 0x689ac4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x40689ac4; + valaddr_reg:x3; val_offset:1536*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1536*FLEN/8, x4, x1, x2) + +inst_769: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x27935b and fs2 == 0 and fe2 == 0x80 and fm2 == 0x689ac4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x27935b; op2val:0x40689ac4; + valaddr_reg:x3; val_offset:1538*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1538*FLEN/8, x4, x1, x2) + +inst_770: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1540*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1540*FLEN/8, x4, x1, x2) + +inst_771: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x7f7fffff; + valaddr_reg:x3; val_offset:1542*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1542*FLEN/8, x4, x1, x2) + +inst_772: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x33da99; + valaddr_reg:x3; val_offset:1544*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1544*FLEN/8, x4, x1, x2) + +inst_773: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1546*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1546*FLEN/8, x4, x1, x2) + +inst_774: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1548*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1548*FLEN/8, x4, x1, x2) + +inst_775: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1550*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1550*FLEN/8, x4, x1, x2) + +inst_776: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0f4d55 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0f4d55; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1552*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1552*FLEN/8, x4, x1, x2) + +inst_777: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x0f4d55 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x7e0f4d55; + valaddr_reg:x3; val_offset:1554*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1554*FLEN/8, x4, x1, x2) + +inst_778: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1556*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1556*FLEN/8, x4, x1, x2) + +inst_779: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1558*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1558*FLEN/8, x4, x1, x2) + +inst_780: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0f4d55 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0f4d55; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1560*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1560*FLEN/8, x4, x1, x2) + +inst_781: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1562*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1562*FLEN/8, x4, x1, x2) + +inst_782: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1564*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1564*FLEN/8, x4, x1, x2) + +inst_783: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1566*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1566*FLEN/8, x4, x1, x2) + +inst_784: +// fs1 == 0 and fe1 == 0xfc and fm1 == 0x0f4d55 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7e0f4d55; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1568*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1568*FLEN/8, x4, x1, x2) + +inst_785: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1570*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1570*FLEN/8, x4, x1, x2) + +inst_786: +// fs1 == 0 and fe1 == 0xf8 and fm1 == 0x654888 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7c654888; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1572*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1572*FLEN/8, x4, x1, x2) + +inst_787: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0xf8 and fm2 == 0x654888 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x7c654888; + valaddr_reg:x3; val_offset:1574*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1574*FLEN/8, x4, x1, x2) + +inst_788: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1576*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1576*FLEN/8, x4, x1, x2) + +inst_789: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x33da99; + valaddr_reg:x3; val_offset:1578*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1578*FLEN/8, x4, x1, x2) + +inst_790: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1580*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1580*FLEN/8, x4, x1, x2) + +inst_791: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x33da99; + valaddr_reg:x3; val_offset:1582*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1582*FLEN/8, x4, x1, x2) + +inst_792: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1584*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1584*FLEN/8, x4, x1, x2) + +inst_793: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x33da99; + valaddr_reg:x3; val_offset:1586*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1586*FLEN/8, x4, x1, x2) + +inst_794: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1588*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1588*FLEN/8, x4, x1, x2) + +inst_795: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x33da99; + valaddr_reg:x3; val_offset:1590*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1590*FLEN/8, x4, x1, x2) + +inst_796: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1592*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1592*FLEN/8, x4, x1, x2) + +inst_797: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x052f75 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e9d25 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x52f75; op2val:0x803e9d25; + valaddr_reg:x3; val_offset:1594*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1594*FLEN/8, x4, x1, x2) + +inst_798: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x052f75 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x52f75; + valaddr_reg:x3; val_offset:1596*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1596*FLEN/8, x4, x1, x2) + +inst_799: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x052f75 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x52f75; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1598*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1598*FLEN/8, x4, x1, x2) + +inst_800: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x052f75 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x52f75; + valaddr_reg:x3; val_offset:1600*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1600*FLEN/8, x4, x1, x2) + +inst_801: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x7f0; + valaddr_reg:x3; val_offset:1602*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1602*FLEN/8, x4, x1, x2) + +inst_802: +// fs1 == 0 and fe1 == 0x81 and fm1 == 0x186289 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x40986289; op2val:0x7f0; + valaddr_reg:x3; val_offset:1604*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1604*FLEN/8, x4, x1, x2) + +inst_803: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x186289 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x40986289; + valaddr_reg:x3; val_offset:1606*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1606*FLEN/8, x4, x1, x2) + +inst_804: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x33da99 and fs2 == 0 and fe2 == 0x81 and fm2 == 0x186289 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x33da99; op2val:0x40986289; + valaddr_reg:x3; val_offset:1608*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1608*FLEN/8, x4, x1, x2) + +inst_805: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1610*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1610*FLEN/8, x4, x1, x2) + +inst_806: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1612*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1612*FLEN/8, x4, x1, x2) + +inst_807: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1614*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1614*FLEN/8, x4, x1, x2) + +inst_808: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1616*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1616*FLEN/8, x4, x1, x2) + +inst_809: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1618*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1618*FLEN/8, x4, x1, x2) + +inst_810: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1620*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1620*FLEN/8, x4, x1, x2) + +inst_811: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03130e and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03130e; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1622*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1622*FLEN/8, x4, x1, x2) + +inst_812: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x03130e and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0xfe03130e; + valaddr_reg:x3; val_offset:1624*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1624*FLEN/8, x4, x1, x2) + +inst_813: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1626*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1626*FLEN/8, x4, x1, x2) + +inst_814: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1628*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1628*FLEN/8, x4, x1, x2) + +inst_815: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03130e and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03130e; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1630*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1630*FLEN/8, x4, x1, x2) + +inst_816: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1632*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1632*FLEN/8, x4, x1, x2) + +inst_817: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1634*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1634*FLEN/8, x4, x1, x2) + +inst_818: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1636*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1636*FLEN/8, x4, x1, x2) + +inst_819: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x03130e and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe03130e; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1638*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1638*FLEN/8, x4, x1, x2) + +inst_820: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1640*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1640*FLEN/8, x4, x1, x2) + +inst_821: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x51b817 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc51b817; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1642*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1642*FLEN/8, x4, x1, x2) + +inst_822: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x51b817 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0xfc51b817; + valaddr_reg:x3; val_offset:1644*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1644*FLEN/8, x4, x1, x2) + +inst_823: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1646*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1646*FLEN/8, x4, x1, x2) + +inst_824: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1648*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1648*FLEN/8, x4, x1, x2) + +inst_825: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1650*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1650*FLEN/8, x4, x1, x2) + +inst_826: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1652*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1652*FLEN/8, x4, x1, x2) + +inst_827: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1654*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1654*FLEN/8, x4, x1, x2) + +inst_828: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1656*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1656*FLEN/8, x4, x1, x2) + +inst_829: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1658*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1658*FLEN/8, x4, x1, x2) + +inst_830: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x04be30 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e9d25 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8004be30; op2val:0x803e9d25; + valaddr_reg:x3; val_offset:1660*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1660*FLEN/8, x4, x1, x2) + +inst_831: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x04be30 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x8004be30; + valaddr_reg:x3; val_offset:1662*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1662*FLEN/8, x4, x1, x2) + +inst_832: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x04be30 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8004be30; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1664*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1664*FLEN/8, x4, x1, x2) + +inst_833: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x04be30 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x8004be30; + valaddr_reg:x3; val_offset:1666*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1666*FLEN/8, x4, x1, x2) + +inst_834: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0x7f0; + valaddr_reg:x3; val_offset:1668*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1668*FLEN/8, x4, x1, x2) + +inst_835: +// fs1 == 1 and fe1 == 0x81 and fm1 == 0x0b61db and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc08b61db; op2val:0x7f0; + valaddr_reg:x3; val_offset:1670*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1670*FLEN/8, x4, x1, x2) + +inst_836: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x0b61db and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc08b61db; + valaddr_reg:x3; val_offset:1672*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1672*FLEN/8, x4, x1, x2) + +inst_837: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2f6de8 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x0b61db and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802f6de8; op2val:0xc08b61db; + valaddr_reg:x3; val_offset:1674*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1674*FLEN/8, x4, x1, x2) + +inst_838: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1676*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1676*FLEN/8, x4, x1, x2) + +inst_839: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1678*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1678*FLEN/8, x4, x1, x2) + +inst_840: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1680*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1680*FLEN/8, x4, x1, x2) + +inst_841: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1682*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1682*FLEN/8, x4, x1, x2) + +inst_842: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1684*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1684*FLEN/8, x4, x1, x2) + +inst_843: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1686*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1686*FLEN/8, x4, x1, x2) + +inst_844: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x7671c2 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdf671c2; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1688*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1688*FLEN/8, x4, x1, x2) + +inst_845: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x7671c2 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0xfdf671c2; + valaddr_reg:x3; val_offset:1690*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1690*FLEN/8, x4, x1, x2) + +inst_846: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1692*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1692*FLEN/8, x4, x1, x2) + +inst_847: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1694*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1694*FLEN/8, x4, x1, x2) + +inst_848: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x7671c2 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdf671c2; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1696*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1696*FLEN/8, x4, x1, x2) + +inst_849: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1698*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1698*FLEN/8, x4, x1, x2) + +inst_850: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1700*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1700*FLEN/8, x4, x1, x2) + +inst_851: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1702*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1702*FLEN/8, x4, x1, x2) + +inst_852: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x7671c2 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdf671c2; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1704*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1704*FLEN/8, x4, x1, x2) + +inst_853: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1706*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1706*FLEN/8, x4, x1, x2) + +inst_854: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x4527ce and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc4527ce; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1708*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1708*FLEN/8, x4, x1, x2) + +inst_855: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x4527ce and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0xfc4527ce; + valaddr_reg:x3; val_offset:1710*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1710*FLEN/8, x4, x1, x2) + +inst_856: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1712*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1712*FLEN/8, x4, x1, x2) + +inst_857: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1714*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1714*FLEN/8, x4, x1, x2) + +inst_858: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1716*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1716*FLEN/8, x4, x1, x2) + +inst_859: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1718*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1718*FLEN/8, x4, x1, x2) + +inst_860: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1720*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1720*FLEN/8, x4, x1, x2) + +inst_861: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x047573 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e9d25 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80047573; op2val:0x803e9d25; + valaddr_reg:x3; val_offset:1722*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1722*FLEN/8, x4, x1, x2) + +inst_862: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x047573 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x80047573; + valaddr_reg:x3; val_offset:1724*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1724*FLEN/8, x4, x1, x2) + +inst_863: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x047573 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80047573; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1726*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1726*FLEN/8, x4, x1, x2) + +inst_864: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x047573 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x80047573; + valaddr_reg:x3; val_offset:1728*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1728*FLEN/8, x4, x1, x2) + +inst_865: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0x7f0; + valaddr_reg:x3; val_offset:1730*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1730*FLEN/8, x4, x1, x2) + +inst_866: +// fs1 == 1 and fe1 == 0x81 and fm1 == 0x030845 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc0830845; op2val:0x7f0; + valaddr_reg:x3; val_offset:1732*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1732*FLEN/8, x4, x1, x2) + +inst_867: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x030845 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc0830845; + valaddr_reg:x3; val_offset:1734*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1734*FLEN/8, x4, x1, x2) + +inst_868: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2c9686 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x030845 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802c9686; op2val:0xc0830845; + valaddr_reg:x3; val_offset:1736*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1736*FLEN/8, x4, x1, x2) + +inst_869: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1738*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1738*FLEN/8, x4, x1, x2) + +inst_870: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1740*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1740*FLEN/8, x4, x1, x2) + +inst_871: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x5b0376 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0xff5b0376; + valaddr_reg:x3; val_offset:1742*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1742*FLEN/8, x4, x1, x2) + +inst_872: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1744*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1744*FLEN/8, x4, x1, x2) + +inst_873: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1746*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1746*FLEN/8, x4, x1, x2) + +inst_874: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1748*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1748*FLEN/8, x4, x1, x2) + +inst_875: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1750*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1750*FLEN/8, x4, x1, x2) + +inst_876: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1752*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1752*FLEN/8, x4, x1, x2) + +inst_877: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1754*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1754*FLEN/8, x4, x1, x2) + +inst_878: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x2f35f8 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdaf35f8; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1756*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1756*FLEN/8, x4, x1, x2) + +inst_879: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0xfb and fm2 == 0x2f35f8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0xfdaf35f8; + valaddr_reg:x3; val_offset:1758*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1758*FLEN/8, x4, x1, x2) + +inst_880: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1760*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1760*FLEN/8, x4, x1, x2) + +inst_881: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1762*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1762*FLEN/8, x4, x1, x2) + +inst_882: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1764*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1764*FLEN/8, x4, x1, x2) + +inst_883: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x2f35f8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdaf35f8; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1766*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1766*FLEN/8, x4, x1, x2) + +inst_884: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1768*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1768*FLEN/8, x4, x1, x2) + +inst_885: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1770*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1770*FLEN/8, x4, x1, x2) + +inst_886: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1772*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1772*FLEN/8, x4, x1, x2) + +inst_887: +// fs1 == 1 and fe1 == 0xfe and fm1 == 0x5b0376 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xff5b0376; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1774*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1774*FLEN/8, x4, x1, x2) + +inst_888: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1776*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1776*FLEN/8, x4, x1, x2) + +inst_889: +// fs1 == 1 and fe1 == 0xfb and fm1 == 0x2f35f8 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfdaf35f8; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1778*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1778*FLEN/8, x4, x1, x2) + +inst_890: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1780*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1780*FLEN/8, x4, x1, x2) + +inst_891: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x0c2b2c and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc0c2b2c; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1782*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1782*FLEN/8, x4, x1, x2) + +inst_892: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x0c2b2c and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0xfc0c2b2c; + valaddr_reg:x3; val_offset:1784*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1784*FLEN/8, x4, x1, x2) + +inst_893: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1786*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1786*FLEN/8, x4, x1, x2) + +inst_894: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1788*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1788*FLEN/8, x4, x1, x2) + +inst_895: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1790*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1790*FLEN/8, x4, x1, x2) +RVTEST_SIGBASE(x1,signature_x1_8) + +inst_896: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x032b85 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e9d25 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80032b85; op2val:0x803e9d25; + valaddr_reg:x3; val_offset:1792*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1792*FLEN/8, x4, x1, x2) + +inst_897: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x032b85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x80032b85; + valaddr_reg:x3; val_offset:1794*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1794*FLEN/8, x4, x1, x2) + +inst_898: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x032b85 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x80032b85; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1796*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1796*FLEN/8, x4, x1, x2) + +inst_899: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x032b85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x80032b85; + valaddr_reg:x3; val_offset:1798*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1798*FLEN/8, x4, x1, x2) + +inst_900: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0x7f0; + valaddr_reg:x3; val_offset:1800*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1800*FLEN/8, x4, x1, x2) + +inst_901: +// fs1 == 1 and fe1 == 0x80 and fm1 == 0x3a50eb and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc03a50eb; op2val:0x7f0; + valaddr_reg:x3; val_offset:1802*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1802*FLEN/8, x4, x1, x2) + +inst_902: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x3a50eb and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc03a50eb; + valaddr_reg:x3; val_offset:1804*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1804*FLEN/8, x4, x1, x2) + +inst_903: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x1fb335 and fs2 == 1 and fe2 == 0x80 and fm2 == 0x3a50eb and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x801fb335; op2val:0xc03a50eb; + valaddr_reg:x3; val_offset:1806*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1806*FLEN/8, x4, x1, x2) + +inst_904: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1808*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1808*FLEN/8, x4, x1, x2) + +inst_905: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1810*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1810*FLEN/8, x4, x1, x2) + +inst_906: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1812*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1812*FLEN/8, x4, x1, x2) + +inst_907: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1814*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1814*FLEN/8, x4, x1, x2) + +inst_908: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1816*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1816*FLEN/8, x4, x1, x2) + +inst_909: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1818*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1818*FLEN/8, x4, x1, x2) + +inst_910: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x048fde and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe048fde; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1820*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1820*FLEN/8, x4, x1, x2) + +inst_911: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x048fde and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0xfe048fde; + valaddr_reg:x3; val_offset:1822*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1822*FLEN/8, x4, x1, x2) + +inst_912: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1824*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1824*FLEN/8, x4, x1, x2) + +inst_913: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1826*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1826*FLEN/8, x4, x1, x2) + +inst_914: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x048fde and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe048fde; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1828*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1828*FLEN/8, x4, x1, x2) + +inst_915: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1830*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1830*FLEN/8, x4, x1, x2) + +inst_916: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1832*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1832*FLEN/8, x4, x1, x2) + +inst_917: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1834*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1834*FLEN/8, x4, x1, x2) + +inst_918: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x048fde and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe048fde; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1836*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1836*FLEN/8, x4, x1, x2) + +inst_919: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1838*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1838*FLEN/8, x4, x1, x2) + +inst_920: +// fs1 == 1 and fe1 == 0xf8 and fm1 == 0x541963 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc541963; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1840*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1840*FLEN/8, x4, x1, x2) + +inst_921: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x541963 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0xfc541963; + valaddr_reg:x3; val_offset:1842*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1842*FLEN/8, x4, x1, x2) + +inst_922: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1844*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1844*FLEN/8, x4, x1, x2) + +inst_923: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x04cbf8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e9d25 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8004cbf8; op2val:0x803e9d25; + valaddr_reg:x3; val_offset:1846*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1846*FLEN/8, x4, x1, x2) + +inst_924: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x04cbf8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x8004cbf8; + valaddr_reg:x3; val_offset:1848*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1848*FLEN/8, x4, x1, x2) + +inst_925: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x04cbf8 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x8004cbf8; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1850*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1850*FLEN/8, x4, x1, x2) + +inst_926: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x04cbf8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x8004cbf8; + valaddr_reg:x3; val_offset:1852*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1852*FLEN/8, x4, x1, x2) + +inst_927: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0x7f0; + valaddr_reg:x3; val_offset:1854*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1854*FLEN/8, x4, x1, x2) + +inst_928: +// fs1 == 1 and fe1 == 0x81 and fm1 == 0x0cf6cd and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc08cf6cd; op2val:0x7f0; + valaddr_reg:x3; val_offset:1856*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1856*FLEN/8, x4, x1, x2) + +inst_929: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x0cf6cd and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc08cf6cd; + valaddr_reg:x3; val_offset:1858*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1858*FLEN/8, x4, x1, x2) + +inst_930: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x2ff7b4 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x0cf6cd and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x802ff7b4; op2val:0xc08cf6cd; + valaddr_reg:x3; val_offset:1860*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1860*FLEN/8, x4, x1, x2) + +inst_931: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1862*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1862*FLEN/8, x4, x1, x2) + +inst_932: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0xfe and fm2 == 0x7fffff and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0xff7fffff; + valaddr_reg:x3; val_offset:1864*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1864*FLEN/8, x4, x1, x2) + +inst_933: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1866*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1866*FLEN/8, x4, x1, x2) + +inst_934: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1868*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1868*FLEN/8, x4, x1, x2) + +inst_935: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1870*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1870*FLEN/8, x4, x1, x2) + +inst_936: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1872*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1872*FLEN/8, x4, x1, x2) + +inst_937: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2d09bb and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2d09bb; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1874*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1874*FLEN/8, x4, x1, x2) + +inst_938: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0xfc and fm2 == 0x2d09bb and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0xfe2d09bb; + valaddr_reg:x3; val_offset:1876*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1876*FLEN/8, x4, x1, x2) + +inst_939: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1878*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1878*FLEN/8, x4, x1, x2) + +inst_940: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1880*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1880*FLEN/8, x4, x1, x2) + +inst_941: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2d09bb and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2d09bb; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1882*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1882*FLEN/8, x4, x1, x2) + +inst_942: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1884*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1884*FLEN/8, x4, x1, x2) + +inst_943: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1886*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1886*FLEN/8, x4, x1, x2) + +inst_944: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1888*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1888*FLEN/8, x4, x1, x2) + +inst_945: +// fs1 == 1 and fe1 == 0xfc and fm1 == 0x2d09bb and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfe2d09bb; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1890*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1890*FLEN/8, x4, x1, x2) + +inst_946: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1892*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1892*FLEN/8, x4, x1, x2) + +inst_947: +// fs1 == 1 and fe1 == 0xf9 and fm1 == 0x0a6e2f and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xfc8a6e2f; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1894*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1894*FLEN/8, x4, x1, x2) + +inst_948: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0xf9 and fm2 == 0x0a6e2f and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0xfc8a6e2f; + valaddr_reg:x3; val_offset:1896*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1896*FLEN/8, x4, x1, x2) + +inst_949: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1898*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1898*FLEN/8, x4, x1, x2) + +inst_950: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1900*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1900*FLEN/8, x4, x1, x2) + +inst_951: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0x00 and fm2 == 0x3e9d25 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x803e9d25; + valaddr_reg:x3; val_offset:1902*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1902*FLEN/8, x4, x1, x2) + +inst_952: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1904*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1904*FLEN/8, x4, x1, x2) + +inst_953: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1906*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1906*FLEN/8, x4, x1, x2) + +inst_954: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1908*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1908*FLEN/8, x4, x1, x2) + +inst_955: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1910*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1910*FLEN/8, x4, x1, x2) + +inst_956: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x27935b; + valaddr_reg:x3; val_offset:1912*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1912*FLEN/8, x4, x1, x2) + +inst_957: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x27935b; + valaddr_reg:x3; val_offset:1914*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1914*FLEN/8, x4, x1, x2) + +inst_958: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x33da99; + valaddr_reg:x3; val_offset:1916*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1916*FLEN/8, x4, x1, x2) + +inst_959: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x33da99; + valaddr_reg:x3; val_offset:1918*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1918*FLEN/8, x4, x1, x2) + +inst_960: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1920*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1920*FLEN/8, x4, x1, x2) + +inst_961: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1922*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1922*FLEN/8, x4, x1, x2) + +inst_962: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1924*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1924*FLEN/8, x4, x1, x2) + +inst_963: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1926*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1926*FLEN/8, x4, x1, x2) + +inst_964: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1928*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1928*FLEN/8, x4, x1, x2) + +inst_965: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1930*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1930*FLEN/8, x4, x1, x2) + +inst_966: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1932*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1932*FLEN/8, x4, x1, x2) + +inst_967: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x3e9d25 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x803e9d25; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1934*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1934*FLEN/8, x4, x1, x2) + +inst_968: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0x7f0; + valaddr_reg:x3; val_offset:1936*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1936*FLEN/8, x4, x1, x2) + +inst_969: +// fs1 == 1 and fe1 == 0x81 and fm1 == 0x38016d and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0xc0b8016d; op2val:0x7f0; + valaddr_reg:x3; val_offset:1938*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1938*FLEN/8, x4, x1, x2) + +inst_970: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x81 and fm2 == 0x38016d and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xc0b8016d; + valaddr_reg:x3; val_offset:1940*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1940*FLEN/8, x4, x1, x2) + +inst_971: +// fs1 == 1 and fe1 == 0x00 and fm1 == 0x0642ea and fs2 == 1 and fe2 == 0x81 and fm2 == 0x38016d and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x800642ea; op2val:0xc0b8016d; + valaddr_reg:x3; val_offset:1942*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1942*FLEN/8, x4, x1, x2) + +inst_972: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1944*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1944*FLEN/8, x4, x1, x2) + +inst_973: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x0007f0 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7f0; + valaddr_reg:x3; val_offset:1946*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1946*FLEN/8, x4, x1, x2) + +inst_974: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x445459 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7ec45459; + valaddr_reg:x3; val_offset:1948*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1948*FLEN/8, x4, x1, x2) + +inst_975: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfd and fm2 == 0x370362 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7eb70362; + valaddr_reg:x3; val_offset:1950*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1950*FLEN/8, x4, x1, x2) + +inst_976: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfc and fm2 == 0x587392 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7e587392; + valaddr_reg:x3; val_offset:1952*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1952*FLEN/8, x4, x1, x2) + +inst_977: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x2eabd8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x7f2eabd8; + valaddr_reg:x3; val_offset:1954*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1954*FLEN/8, x4, x1, x2) + +inst_978: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x39e419 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xfe39e419; + valaddr_reg:x3; val_offset:1956*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1956*FLEN/8, x4, x1, x2) + +inst_979: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x64815a and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xfee4815a; + valaddr_reg:x3; val_offset:1958*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1958*FLEN/8, x4, x1, x2) + +inst_980: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfd and fm2 == 0x1ffb35 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xfe9ffb35; + valaddr_reg:x3; val_offset:1960*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1960*FLEN/8, x4, x1, x2) + +inst_981: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xfc and fm2 == 0x3b8ad8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xfe3b8ad8; + valaddr_reg:x3; val_offset:1962*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1962*FLEN/8, x4, x1, x2) + +inst_982: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0xf8 and fm2 == 0x538835 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0xfc538835; + valaddr_reg:x3; val_offset:1964*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1964*FLEN/8, x4, x1, x2) + +inst_983: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x1aeaa5 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x1aeaa5; + valaddr_reg:x3; val_offset:1966*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1966*FLEN/8, x4, x1, x2) + +inst_984: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3229c1 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x3229c1; + valaddr_reg:x3; val_offset:1968*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1968*FLEN/8, x4, x1, x2) + +inst_985: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x3a1e85 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x3a1e85; + valaddr_reg:x3; val_offset:1970*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1970*FLEN/8, x4, x1, x2) + +inst_986: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x27935b and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x27935b; + valaddr_reg:x3; val_offset:1972*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1972*FLEN/8, x4, x1, x2) + +inst_987: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 0 and fe2 == 0x00 and fm2 == 0x33da99 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x33da99; + valaddr_reg:x3; val_offset:1974*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1974*FLEN/8, x4, x1, x2) + +inst_988: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2f6de8 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x802f6de8; + valaddr_reg:x3; val_offset:1976*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1976*FLEN/8, x4, x1, x2) + +inst_989: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2c9686 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x802c9686; + valaddr_reg:x3; val_offset:1978*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1978*FLEN/8, x4, x1, x2) + +inst_990: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x1fb335 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x801fb335; + valaddr_reg:x3; val_offset:1980*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1980*FLEN/8, x4, x1, x2) + +inst_991: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x2ff7b4 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x802ff7b4; + valaddr_reg:x3; val_offset:1982*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1982*FLEN/8, x4, x1, x2) + +inst_992: +// fs1 == 0 and fe1 == 0x00 and fm1 == 0x0007f0 and fs2 == 1 and fe2 == 0x00 and fm2 == 0x0642ea and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f0; op2val:0x800642ea; + valaddr_reg:x3; val_offset:1984*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1984*FLEN/8, x4, x1, x2) + +inst_993: +// fs1 == 0 and fe1 == 0xfe and fm1 == 0x222105 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7f222105; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1986*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1986*FLEN/8, x4, x1, x2) + +inst_994: +// fs1 == 0 and fe1 == 0xfd and fm1 == 0x445459 and fs2 == 0 and fe2 == 0xfe and fm2 == 0x222105 and fcsr == 0 +/* opcode: fminm.s ; op1:f30; op2:f29; dest:f31; op1val:0x7ec45459; op2val:0x7f222105; + valaddr_reg:x3; val_offset:1988*FLEN/8; fcsr: 0; + correctval:??; testreg:x2 +*/ +TEST_FPRR_OP_NRM(fminm.s, f31, f30, f29, 0, 0, x3, 1988*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2105652228,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2077197933,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2077197933,32,FLEN) +NAN_BOXED(2077197933,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2077197933,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(1537904,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(153790,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(153790,32,FLEN) +NAN_BOXED(153790,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(153790,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1074392209,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1074392209,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(1074392209,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2099056711,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2099056711,32,FLEN) +NAN_BOXED(2099056711,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2099056711,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2099056711,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2099056711,32,FLEN) +NAN_BOXED(2099056711,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2099056711,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2071678270,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2071678270,32,FLEN) +NAN_BOXED(2071678270,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2071678270,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(931160,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(93116,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(93116,32,FLEN) +NAN_BOXED(93116,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(93116,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1067910342,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1067910342,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(1067910342,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2098358555,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2098358555,32,FLEN) +NAN_BOXED(2098358555,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2098358555,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2098358555,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2098358555,32,FLEN) +NAN_BOXED(2098358555,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2098358555,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2070561221,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2070561221,32,FLEN) +NAN_BOXED(2070561221,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2070561221,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(868003,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(86800,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(86800,32,FLEN) +NAN_BOXED(86800,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(86800,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1067167936,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1067167936,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(1067167936,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2106309805,32,FLEN) +NAN_BOXED(2106309805,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4248227297,32,FLEN) +NAN_BOXED(4248227297,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4244633686,32,FLEN) +NAN_BOXED(4244633686,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2091723074,32,FLEN) +NAN_BOXED(4261688609,32,FLEN) +NAN_BOXED(4261688609,32,FLEN) +NAN_BOXED(2091723074,32,FLEN) +NAN_BOXED(2091723074,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2091723074,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(2106901917,32,FLEN) +NAN_BOXED(2106901917,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(2114625827,32,FLEN) +NAN_BOXED(2114625827,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(2116066787,32,FLEN) +NAN_BOXED(2116066787,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(2111487362,32,FLEN) +NAN_BOXED(2111487362,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(2114932053,32,FLEN) +NAN_BOXED(2114932053,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(4261614350,32,FLEN) +NAN_BOXED(4261614350,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(4260786626,32,FLEN) +NAN_BOXED(4260786626,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(4256118264,32,FLEN) +NAN_BOXED(4256118264,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(4261711838,32,FLEN) +NAN_BOXED(4261711838,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(5132977,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(513297,32,FLEN) +NAN_BOXED(4264364475,32,FLEN) +NAN_BOXED(4264364475,32,FLEN) +NAN_BOXED(513297,32,FLEN) +NAN_BOXED(513297,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(513297,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1088826288,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1088826288,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(1088826288,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2106309805,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2106309805,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2106309805,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2106309805,32,FLEN) +NAN_BOXED(2106309805,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2106309805,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2078250056,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2078250056,32,FLEN) +NAN_BOXED(2078250056,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2078250056,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(1656877,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(165687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(165687,32,FLEN) +NAN_BOXED(165687,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(165687,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1075091464,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1075091464,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(1075091464,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4248227297,32,FLEN) +NAN_BOXED(4248227297,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4244633686,32,FLEN) +NAN_BOXED(4244633686,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4237604474,32,FLEN) +NAN_BOXED(4261688609,32,FLEN) +NAN_BOXED(4261688609,32,FLEN) +NAN_BOXED(4237604474,32,FLEN) +NAN_BOXED(4237604474,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4237604474,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2106901917,32,FLEN) +NAN_BOXED(2106901917,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2114625827,32,FLEN) +NAN_BOXED(2114625827,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2116066787,32,FLEN) +NAN_BOXED(2116066787,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2111487362,32,FLEN) +NAN_BOXED(2111487362,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2114932053,32,FLEN) +NAN_BOXED(2114932053,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(4261614350,32,FLEN) +NAN_BOXED(4261614350,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(4260786626,32,FLEN) +NAN_BOXED(4260786626,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(4256118264,32,FLEN) +NAN_BOXED(4256118264,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(4261711838,32,FLEN) +NAN_BOXED(4261711838,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2151891908,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2147924474,32,FLEN) +NAN_BOXED(4264364475,32,FLEN) +NAN_BOXED(4264364475,32,FLEN) +NAN_BOXED(2147924474,32,FLEN) +NAN_BOXED(2147924474,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2147924474,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3234180184,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3234180184,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(3234180184,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4248227297,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4248227297,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4248227297,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4248227297,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4220665422,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4220665422,32,FLEN) +NAN_BOXED(4220665422,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4220665422,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2148567412,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2147592024,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147592024,32,FLEN) +NAN_BOXED(2147592024,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2147592024,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3217187851,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3217187851,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(3217187851,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4244633686,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4244633686,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4244633686,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4244633686,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4216112811,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4216112811,32,FLEN) +NAN_BOXED(4216112811,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4216112811,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2148242414,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2147559524,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147559524,32,FLEN) +NAN_BOXED(2147559524,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2147559524,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3213367510,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3213367510,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(3213367510,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4237691053,32,FLEN) +NAN_BOXED(4261688609,32,FLEN) +NAN_BOXED(4261688609,32,FLEN) +NAN_BOXED(4237691053,32,FLEN) +NAN_BOXED(4237691053,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4237691053,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2106901917,32,FLEN) +NAN_BOXED(2106901917,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2114625827,32,FLEN) +NAN_BOXED(2114625827,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2116066787,32,FLEN) +NAN_BOXED(2116066787,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2111487362,32,FLEN) +NAN_BOXED(2111487362,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2114932053,32,FLEN) +NAN_BOXED(2114932053,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(4261614350,32,FLEN) +NAN_BOXED(4261614350,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(4260786626,32,FLEN) +NAN_BOXED(4260786626,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(4256118264,32,FLEN) +NAN_BOXED(4256118264,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(4261711838,32,FLEN) +NAN_BOXED(4261711838,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2151931068,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2147928390,32,FLEN) +NAN_BOXED(4264364475,32,FLEN) +NAN_BOXED(4264364475,32,FLEN) +NAN_BOXED(2147928390,32,FLEN) +NAN_BOXED(2147928390,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2147928390,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3234295267,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3234295267,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(3234295267,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4261688609,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4261688609,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4261688609,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4261688609,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2079197435,32,FLEN) +NAN_BOXED(2079197435,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2086522527,32,FLEN) +NAN_BOXED(2086522527,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2088795727,32,FLEN) +NAN_BOXED(2088795727,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2083454465,32,FLEN) +NAN_BOXED(2083454465,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2087012488,32,FLEN) +NAN_BOXED(2087012488,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(4233213975,32,FLEN) +NAN_BOXED(4233213975,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(4232390606,32,FLEN) +NAN_BOXED(4232390606,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(4228655916,32,FLEN) +NAN_BOXED(4228655916,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(4233369955,32,FLEN) +NAN_BOXED(4233369955,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150618846,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2147797167,32,FLEN) +NAN_BOXED(4236930607,32,FLEN) +NAN_BOXED(4236930607,32,FLEN) +NAN_BOXED(2147797167,32,FLEN) +NAN_BOXED(2147797167,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2147797167,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3230438992,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3230438992,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(3230438992,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2106901917,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2106901917,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2106901917,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2134505220,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2106901917,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2079197435,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2079197435,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(176400,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(176400,32,FLEN) +NAN_BOXED(176400,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(176400,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1075721106,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1075721106,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(1075721106,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2114625827,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2114625827,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2114625827,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2114625827,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2086522527,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2086522527,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(328748,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(328748,32,FLEN) +NAN_BOXED(328748,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(328748,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1083402886,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1083402886,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(1083402886,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2116066787,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2116066787,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2116066787,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2116066787,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2088795727,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2088795727,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(380890,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(380890,32,FLEN) +NAN_BOXED(380890,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(380890,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1084935178,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1084935178,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(1084935178,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2111487362,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2111487362,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2111487362,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2111487362,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2083454465,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2083454465,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(259362,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(259362,32,FLEN) +NAN_BOXED(259362,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(259362,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1080597188,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1080597188,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(1080597188,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2114932053,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2114932053,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2114932053,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2114932053,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2087012488,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2087012488,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(339829,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(339829,32,FLEN) +NAN_BOXED(339829,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(339829,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1083728521,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1083728521,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(1083728521,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4261614350,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4261614350,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4261614350,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4261614350,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4233213975,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(4233213975,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2147794480,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2147794480,32,FLEN) +NAN_BOXED(2147794480,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2147794480,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3230360027,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3230360027,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(3230360027,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4260786626,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4260786626,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4260786626,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4260786626,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4232390606,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(4232390606,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2147775859,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2147775859,32,FLEN) +NAN_BOXED(2147775859,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2147775859,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3229812805,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3229812805,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(3229812805,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4256118264,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4256118264,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4256118264,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(4284154742,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4256118264,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4228655916,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(4228655916,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2147691397,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2147691397,32,FLEN) +NAN_BOXED(2147691397,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2147691397,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3225047275,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3225047275,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(3225047275,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4261711838,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4261711838,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4261711838,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4261711838,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4233369955,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(4233369955,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2147798008,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2147798008,32,FLEN) +NAN_BOXED(2147798008,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2147798008,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3230463693,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3230463693,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(3230463693,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(4264364475,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4264364475,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(4264364475,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(4264364475,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(4236930607,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(4236930607,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2151587109,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3233284461,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3233284461,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(3233284461,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2125923170,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2119725970,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2133765080,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4265206809,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4276388186,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4271897397,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4265315032,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(4233332789,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(1764005,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3287489,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3808901,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2593627,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(3398297,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2150591976,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2150405766,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2149561141,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2150627252,32,FLEN) +NAN_BOXED(2032,32,FLEN) +NAN_BOXED(2147893994,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +NAN_BOXED(2126795865,32,FLEN) +NAN_BOXED(2132943109,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_2: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_3: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_4: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_5: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_6: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_7: + .fill 256*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_8: + .fill 198*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/F_Zfa/src/fround_b1-01.S b/riscv-test-suite/rv64i_m/F_Zfa/src/fround_b1-01.S new file mode 100644 index 000000000..a55322136 --- /dev/null +++ b/riscv-test-suite/rv64i_m/F_Zfa/src/fround_b1-01.S @@ -0,0 +1,353 @@ + +// ----------- +// This file was generated by riscv_ctg (https://github.com/riscv-software-src/riscv-ctg) +// version : 0.10.3 +// timestamp : Thu Apr 6 11:38:53 2023 GMT +// usage : riscv_ctg \ +// -- cgf // --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/dataset.cgf \ +// --cgf /home/cm/src/riscv-ctg/zfa/sample_cgfs/zfa/fround.s.cgf \ + \ +// -- xlen 64 \ +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the fround.s instruction of the RISC-V RV64F_Zicsr_Zfa,RV64FD_Zicsr_Zfa extension for the fround_b1 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64IF_Zicsr_Zfa,RV64IFD_Zicsr_Zfa") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*I.*F.*Zfa.*);def TEST_CASE_1=True;",fround_b1) + +RVTEST_FP_ENABLE() +RVTEST_VALBASEUPD(x3,test_dataset_0) +RVTEST_SIGBASE(x1,signature_x1_1) + +inst_0: +// rs1 == rd, rs1==f31, rd==f31,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f31; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:0*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f31, f31, dyn, 0, 0, x3, 0*FLEN/8, x4, x1, x2) + +inst_1: +// rs1 != rd, rs1==f29, rd==f30,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f29; dest:f30; op1val:0x80000000; valaddr_reg:x3; +val_offset:1*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f30, f29, dyn, 0, 0, x3, 1*FLEN/8, x4, x1, x2) + +inst_2: +// rs1==f30, rd==f29,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f30; dest:f29; op1val:0x1; valaddr_reg:x3; +val_offset:2*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f29, f30, dyn, 0, 0, x3, 2*FLEN/8, x4, x1, x2) + +inst_3: +// rs1==f27, rd==f28,fs1 == 1 and fe1 == 0x00 and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f27; dest:f28; op1val:0x80000001; valaddr_reg:x3; +val_offset:3*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f28, f27, dyn, 0, 0, x3, 3*FLEN/8, x4, x1, x2) + +inst_4: +// rs1==f28, rd==f27,fs1 == 0 and fe1 == 0x00 and fm1 == 0x000002 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f28; dest:f27; op1val:0x2; valaddr_reg:x3; +val_offset:4*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f27, f28, dyn, 0, 0, x3, 4*FLEN/8, x4, x1, x2) + +inst_5: +// rs1==f25, rd==f26,fs1 == 1 and fe1 == 0x00 and fm1 == 0x7ffffe and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f25; dest:f26; op1val:0x807ffffe; valaddr_reg:x3; +val_offset:5*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f26, f25, dyn, 0, 0, x3, 5*FLEN/8, x4, x1, x2) + +inst_6: +// rs1==f26, rd==f25,fs1 == 0 and fe1 == 0x00 and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f26; dest:f25; op1val:0x7fffff; valaddr_reg:x3; +val_offset:6*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f25, f26, dyn, 0, 0, x3, 6*FLEN/8, x4, x1, x2) + +inst_7: +// rs1==f23, rd==f24,fs1 == 1 and fe1 == 0x00 and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f23; dest:f24; op1val:0x807fffff; valaddr_reg:x3; +val_offset:7*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f24, f23, dyn, 0, 0, x3, 7*FLEN/8, x4, x1, x2) + +inst_8: +// rs1==f24, rd==f23,fs1 == 0 and fe1 == 0x01 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f24; dest:f23; op1val:0x800000; valaddr_reg:x3; +val_offset:8*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f23, f24, dyn, 0, 0, x3, 8*FLEN/8, x4, x1, x2) + +inst_9: +// rs1==f21, rd==f22,fs1 == 1 and fe1 == 0x01 and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f21; dest:f22; op1val:0x80800000; valaddr_reg:x3; +val_offset:9*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f22, f21, dyn, 0, 0, x3, 9*FLEN/8, x4, x1, x2) + +inst_10: +// rs1==f22, rd==f21,fs1 == 0 and fe1 == 0x01 and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f22; dest:f21; op1val:0x800001; valaddr_reg:x3; +val_offset:10*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f21, f22, dyn, 0, 0, x3, 10*FLEN/8, x4, x1, x2) + +inst_11: +// rs1==f19, rd==f20,fs1 == 1 and fe1 == 0x01 and fm1 == 0x055555 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f19; dest:f20; op1val:0x80855555; valaddr_reg:x3; +val_offset:11*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f20, f19, dyn, 0, 0, x3, 11*FLEN/8, x4, x1, x2) + +inst_12: +// rs1==f20, rd==f19,fs1 == 0 and fe1 == 0xfe and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f20; dest:f19; op1val:0x7f7fffff; valaddr_reg:x3; +val_offset:12*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f19, f20, dyn, 0, 0, x3, 12*FLEN/8, x4, x1, x2) + +inst_13: +// rs1==f17, rd==f18,fs1 == 1 and fe1 == 0xfe and fm1 == 0x7fffff and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f17; dest:f18; op1val:0xff7fffff; valaddr_reg:x3; +val_offset:13*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f18, f17, dyn, 0, 0, x3, 13*FLEN/8, x4, x1, x2) + +inst_14: +// rs1==f18, rd==f17,fs1 == 0 and fe1 == 0xff and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f18; dest:f17; op1val:0x7f800000; valaddr_reg:x3; +val_offset:14*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f17, f18, dyn, 0, 0, x3, 14*FLEN/8, x4, x1, x2) + +inst_15: +// rs1==f15, rd==f16,fs1 == 1 and fe1 == 0xff and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f15; dest:f16; op1val:0xff800000; valaddr_reg:x3; +val_offset:15*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f16, f15, dyn, 0, 0, x3, 15*FLEN/8, x4, x1, x2) + +inst_16: +// rs1==f16, rd==f15,fs1 == 0 and fe1 == 0xff and fm1 == 0x400000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f16; dest:f15; op1val:0x7fc00000; valaddr_reg:x3; +val_offset:16*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f15, f16, dyn, 0, 0, x3, 16*FLEN/8, x4, x1, x2) + +inst_17: +// rs1==f13, rd==f14,fs1 == 1 and fe1 == 0xff and fm1 == 0x400000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f13; dest:f14; op1val:0xffc00000; valaddr_reg:x3; +val_offset:17*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f14, f13, dyn, 0, 0, x3, 17*FLEN/8, x4, x1, x2) + +inst_18: +// rs1==f14, rd==f13,fs1 == 0 and fe1 == 0xff and fm1 == 0x400001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f14; dest:f13; op1val:0x7fc00001; valaddr_reg:x3; +val_offset:18*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f13, f14, dyn, 0, 0, x3, 18*FLEN/8, x4, x1, x2) + +inst_19: +// rs1==f11, rd==f12,fs1 == 1 and fe1 == 0xff and fm1 == 0x455555 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f11; dest:f12; op1val:0xffc55555; valaddr_reg:x3; +val_offset:19*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f12, f11, dyn, 0, 0, x3, 19*FLEN/8, x4, x1, x2) + +inst_20: +// rs1==f12, rd==f11,fs1 == 0 and fe1 == 0xff and fm1 == 0x000001 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f12; dest:f11; op1val:0x7f800001; valaddr_reg:x3; +val_offset:20*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f11, f12, dyn, 0, 0, x3, 20*FLEN/8, x4, x1, x2) + +inst_21: +// rs1==f9, rd==f10,fs1 == 1 and fe1 == 0xff and fm1 == 0x2aaaaa and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f9; dest:f10; op1val:0xffaaaaaa; valaddr_reg:x3; +val_offset:21*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f10, f9, dyn, 0, 0, x3, 21*FLEN/8, x4, x1, x2) + +inst_22: +// rs1==f10, rd==f9,fs1 == 0 and fe1 == 0x7f and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f10; dest:f9; op1val:0x3f800000; valaddr_reg:x3; +val_offset:22*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f9, f10, dyn, 0, 0, x3, 22*FLEN/8, x4, x1, x2) + +inst_23: +// rs1==f7, rd==f8,fs1 == 1 and fe1 == 0x7f and fm1 == 0x000000 and fcsr == 0x0 and rm_val == 7 +/* opcode: fround.s ; op1:f7; dest:f8; op1val:0xbf800000; valaddr_reg:x3; +val_offset:23*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f8, f7, dyn, 0, 0, x3, 23*FLEN/8, x4, x1, x2) + +inst_24: +// rs1==f8, rd==f7, +/* opcode: fround.s ; op1:f8; dest:f7; op1val:0x0; valaddr_reg:x3; +val_offset:24*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f7, f8, dyn, 0, 0, x3, 24*FLEN/8, x4, x1, x2) + +inst_25: +// rs1==f5, rd==f6, +/* opcode: fround.s ; op1:f5; dest:f6; op1val:0x0; valaddr_reg:x3; +val_offset:25*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f6, f5, dyn, 0, 0, x3, 25*FLEN/8, x4, x1, x2) + +inst_26: +// rs1==f6, rd==f5, +/* opcode: fround.s ; op1:f6; dest:f5; op1val:0x0; valaddr_reg:x3; +val_offset:26*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f5, f6, dyn, 0, 0, x3, 26*FLEN/8, x4, x1, x2) + +inst_27: +// rs1==f3, rd==f4, +/* opcode: fround.s ; op1:f3; dest:f4; op1val:0x0; valaddr_reg:x3; +val_offset:27*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f4, f3, dyn, 0, 0, x3, 27*FLEN/8, x4, x1, x2) + +inst_28: +// rs1==f4, rd==f3, +/* opcode: fround.s ; op1:f4; dest:f3; op1val:0x0; valaddr_reg:x3; +val_offset:28*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f3, f4, dyn, 0, 0, x3, 28*FLEN/8, x4, x1, x2) + +inst_29: +// rs1==f1, rd==f2, +/* opcode: fround.s ; op1:f1; dest:f2; op1val:0x0; valaddr_reg:x3; +val_offset:29*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f2, f1, dyn, 0, 0, x3, 29*FLEN/8, x4, x1, x2) + +inst_30: +// rs1==f2, rd==f1, +/* opcode: fround.s ; op1:f2; dest:f1; op1val:0x0; valaddr_reg:x3; +val_offset:30*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f1, f2, dyn, 0, 0, x3, 30*FLEN/8, x4, x1, x2) + +inst_31: +// rs1==f0, +/* opcode: fround.s ; op1:f0; dest:f31; op1val:0x0; valaddr_reg:x3; +val_offset:31*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f31, f0, dyn, 0, 0, x3, 31*FLEN/8, x4, x1, x2) + +inst_32: +// rd==f0, +/* opcode: fround.s ; op1:f31; dest:f0; op1val:0x0; valaddr_reg:x3; +val_offset:32*FLEN/8; rmval:dyn; correctval:??; testreg:x2; +fcsr_val: 0 */ +TEST_FPSR_OP(fround.s, f0, f31, dyn, 0, 0, x3, 32*FLEN/8, x4, x1, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +.word 0xabecafeb +.word 0xbecafeba +.word 0xecafebab +test_dataset_0: +NAN_BOXED(0,32,FLEN) +NAN_BOXED(2147483648,32,FLEN) +NAN_BOXED(1,32,FLEN) +NAN_BOXED(2147483649,32,FLEN) +NAN_BOXED(2,32,FLEN) +NAN_BOXED(2155872254,32,FLEN) +NAN_BOXED(8388607,32,FLEN) +NAN_BOXED(2155872255,32,FLEN) +NAN_BOXED(8388608,32,FLEN) +NAN_BOXED(2155872256,32,FLEN) +NAN_BOXED(8388609,32,FLEN) +NAN_BOXED(2156221781,32,FLEN) +NAN_BOXED(2139095039,32,FLEN) +NAN_BOXED(4286578687,32,FLEN) +NAN_BOXED(2139095040,32,FLEN) +NAN_BOXED(4286578688,32,FLEN) +NAN_BOXED(2143289344,32,FLEN) +NAN_BOXED(4290772992,32,FLEN) +NAN_BOXED(2143289345,32,FLEN) +NAN_BOXED(4291122517,32,FLEN) +NAN_BOXED(2139095041,32,FLEN) +NAN_BOXED(4289374890,32,FLEN) +NAN_BOXED(1065353216,32,FLEN) +NAN_BOXED(3212836864,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +NAN_BOXED(0,32,FLEN) +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN +rvtest_sig_begin: +sig_begin_canary: +CANARY; + + + +signature_x1_0: + .fill 0*((SIGALIGN)/4),4,0xdeadbeef + + +signature_x1_1: + .fill 66*((SIGALIGN)/4),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine +tsig_begin_canary: +CANARY; + +mtrap_sigptr: + .fill 64*XLEN/32,4,0xdeadbeef + +tsig_end_canary: +CANARY; +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*XLEN/32,4,0xdeadbeef + +#endif + + +sig_end_canary: +CANARY; +rvtest_sig_end: +RVMODEL_DATA_END